KR101563475B1 - 적외선 검출기 - Google Patents

적외선 검출기 Download PDF

Info

Publication number
KR101563475B1
KR101563475B1 KR1020130117912A KR20130117912A KR101563475B1 KR 101563475 B1 KR101563475 B1 KR 101563475B1 KR 1020130117912 A KR1020130117912 A KR 1020130117912A KR 20130117912 A KR20130117912 A KR 20130117912A KR 101563475 B1 KR101563475 B1 KR 101563475B1
Authority
KR
South Korea
Prior art keywords
microbolometer
cell
integrator
infrared detector
signal
Prior art date
Application number
KR1020130117912A
Other languages
English (en)
Other versions
KR20150039394A (ko
Inventor
이귀로
김민식
백진혁
박승현
Original Assignee
한국과학기술원
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 한국과학기술원 filed Critical 한국과학기술원
Priority to KR1020130117912A priority Critical patent/KR101563475B1/ko
Publication of KR20150039394A publication Critical patent/KR20150039394A/ko
Application granted granted Critical
Publication of KR101563475B1 publication Critical patent/KR101563475B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01JMEASUREMENT OF INTENSITY, VELOCITY, SPECTRAL CONTENT, POLARISATION, PHASE OR PULSE CHARACTERISTICS OF INFRARED, VISIBLE OR ULTRAVIOLET LIGHT; COLORIMETRY; RADIATION PYROMETRY
    • G01J1/00Photometry, e.g. photographic exposure meter
    • G01J1/42Photometry, e.g. photographic exposure meter using electric radiation detectors
    • G01J1/44Electric circuits
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01JMEASUREMENT OF INTENSITY, VELOCITY, SPECTRAL CONTENT, POLARISATION, PHASE OR PULSE CHARACTERISTICS OF INFRARED, VISIBLE OR ULTRAVIOLET LIGHT; COLORIMETRY; RADIATION PYROMETRY
    • G01J1/00Photometry, e.g. photographic exposure meter
    • G01J1/02Details
    • G01J1/0295Constructional arrangements for removing other types of optical noise or for performing calibration
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01JMEASUREMENT OF INTENSITY, VELOCITY, SPECTRAL CONTENT, POLARISATION, PHASE OR PULSE CHARACTERISTICS OF INFRARED, VISIBLE OR ULTRAVIOLET LIGHT; COLORIMETRY; RADIATION PYROMETRY
    • G01J5/00Radiation pyrometry, e.g. infrared or optical thermometry
    • G01J5/02Constructional details
    • G01J5/08Optical arrangements
    • G01J5/0853Optical arrangements having infrared absorbers other than the usual absorber layers deposited on infrared detectors like bolometers, wherein the heat propagation between the absorber and the detecting element occurs within a solid
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N23/00Cameras or camera modules comprising electronic image sensors; Control thereof
    • H04N23/45Cameras or camera modules comprising electronic image sensors; Control thereof for generating image signals from two or more image sensors being of different type or operating in different modes, e.g. with a CMOS sensor for moving images in combination with a charge-coupled device [CCD] for still images

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Engineering & Computer Science (AREA)
  • Human Computer Interaction (AREA)
  • Multimedia (AREA)
  • Signal Processing (AREA)
  • Photometry And Measurement Of Optical Pulse Characteristics (AREA)

Abstract

본 발명의 적외선 검출기는 적외선을 감지하여 전류 신호를 출력하는 마이크로 볼로미터 셀이 N개의 열 및 M개의 행 (N X M, 여기서 N 및 M은 2 이상의 정수) 형태로 배열된 셀 어레이; 및 상기 전류 신호를 입력받아 적분하는 적분기를 포함하는, 적분회로를 포함하며, 상기 N개의 열 중 하나의 열에 포함된 적어도 2개의 마이크로 볼로미터 셀로부터 상기 전류 신호가 동시에 읽기될 수 있고, 상기 동시에 읽기되는 상기 전류 신호는 서로 다른 적분기를 통해서 읽기될 수 있다.

Description

적외선 검출기 {Infrared Detector}
본 발명은 적외선 검출기에 관한 것으로, 보다 구체적으로 마이크로 볼로미터 어레이를 이용한 비냉각형 열상센서에서 판독회로 및 교정의 복잡도를 크게 줄일 수 있는 기술에 관한 것이다.
적외선 검출기는 일반적으로 원적외선 복사에 응답하는 광기반 검출기와 열기반 검출기로 크게 나뉜다 열기반 검출기는 일반적으로 열감지 센서 어레이를 이용하여 대상 물체의 온도 이미지를 생성하도록 영상 시스템을 구현할 수 있다. 이와 같이 물체에서 방출되는 흑체 복사 에너지를 모아 피사체의 온도 영상을 얻는 장비를 원적외선 열영상 시스템(Far-Infrared Thermal Imaging system)이라고 지칭한다.
열기반 검출기는 볼로미터(bolometer), 마이크로 볼로미터(microbolometer), 초전기 및 열전대열을 포함하는 것으로 알려져 있다. 모든 물체에서 흑체 복사하는 8~14μm 대역의 원적외선을 렌즈로 마이크로 볼로미터 상에 집속하면, 마이크로 볼로미터의 온도가 상승/하강하게 되고, 이에 따라 마이크로 볼로미터의 전기적 저항이 변화하게 된다. 따라서, 마이크로 볼로미터 셀의 어레이, 즉, 마이크로 볼로미터 어레이(microbolometer array)를 이용함으로써 대상 장면의 온도 분포를 원격으로 이미징할 수 있게 된다.
이러한 마이크로 볼로미터 어레이는 통상 0.1% 이하의 열상에 의한 저항 변화에 따른 신호 크기를 갖는 것에 비해, 기판온도 1도(degree)마다 2~3%의 기판온도 의존성, 그리고 수%의 공정 비균일성 및 수%의 회로 미스매치(mismatch)에 따른 신호 변화를 나타낸다.
이와 더불어 전기적 저항체의 온도 변화 특성을 위해서는 바이어스 전압을 인가한 후 전기적 저항체에 흐르는 전류를 측정하거나, 전류 바이어스를 인가한 후 저항체 양단에 걸리는 전압을 측정해야 한다. 이때, 줄열(joule-heating)에 의하여 전기적 저항체의 온도가 상승하게 된다. 이러한 현상을 자체 가열(self-heating)이라 지칭하며, 이는 검출하고자 하는 원적외선 복사와는 무관한 값으로서 반드시 보정되어야 한다.
결국, 마이크로 볼로미터 어레이는 공정/기판온도/자체가열 변화(PTS: process/temperature/self-heating variation)에 의한 FPN(Fixed Pattern Noise) 특성을 갖게 된다.
따라서, 마이크로 볼로미터 어레이를 포함하는 적외선 검출기에서 FPN 특성을 줄여 적외선 검출 성능을 향상시킬 수 있는 기법에 대한 다양한 연구가 진행되고 있다.
본 발명은 종래의 필요성을 충족시키기 위해 안출된 것으로써, 마이크로 볼로미터 어레이를 포함하는 적외선 검출기에서 FPN 특성을 줄이고 SNR을 개선하여 적외선 검출 성능을 향상시킬 수 있는 적외선 검출기를 제공하기 위한 것이다.
본 발명이 이루고자 하는 기술적 과제들은 이상에서 언급한 기술적 과제들로 제한되지 않으며, 언급되지 않은 또 다른 기술적 과제들은 본 발명의 기재로부터 당해 분야에서 통상의 지식을 가진 자에게 명확하게 이해될 수 있을 것이다.
본 발명의 실시형태에 따른 적외선 검출기는 적외선을 감지하여 전류 신호를 출력하는 마이크로 볼로미터 셀이 N개의 열 및 M개의 행 (N X M, 여기서 N 및 M은 2 이상의 정수) 형태로 배열된 셀 어레이; 및 상기 전류 신호를 입력받아 적분하는 적분기를 포함하는, 적분회로를 포함하며, 상기 N개의 열 중 하나의 열에 포함된 적어도 2개의 마이크로 볼로미터 셀로부터 상기 전류 신호가 동시에 읽기될 수 있고, 상기 동시에 읽기되는 상기 전류 신호는 서로 다른 적분기를 통해서 읽기될 수 있다.
본 발명의 실시형태에 따른 적외선 검출기는 마이크로 볼로미터 셀로부터의 상기 전류 신호를 해당 적분기에서 입력받아 적분하는 적분 시간을 설정하여 이를 나타내는 제어신호를 상기 적분회로에 전달하는 제어기를 더 포함하며, 적분회로는 상기 N개의 열 각각에 대해서 m개 (m은 2 이상 및 M 이하의 정수)의 적분기를 포함하고, 상기 적분회로는 상기 제어신호에 따라 상기 열마다 최대 m개의 마이크로 볼로미터 셀로부터의 상기 전류 신호를 상기 m개의 적분기에서 동시에 입력받을 수 있도록 구성될 수 있다.
본 발명의 실시형태에 따르면 마이크로 볼로미터 어레이를 포함하는 적외선 검출기에서 FPN 특성, 특히 자체 가열로 인한 영향을 줄여 적외선 검출 성능을 향상시키고 판독회로의 동적 범위를 크게 줄일 수 있는 적외선 검출기를 제공할 수 있다.
또한, 본 발명의 실시형태에 따르면 마이크로 볼로미터 어레이에서 2개 이상의 행에 있는 마이크로 볼로미터 셀들이 동시에 읽기되도록 할 수 있는 적외선 검출기를 제공할 수 있다.
또한, 본 발명의 실시형태에 따르면 마이크로 볼로미터 어레이에서 마이크로 볼로미터 셀들로부터의 신호가 적분될 수 있는 적분 시간을 소정 범위 내에서 선형적으로 조절할 수 있는 적외선 검출기를 제공할 수 있다.
또한, 본 발명의 실시형태에 따르면, 마이크로 볼로미터 셀의 자체 가열(self heating)에 의한 오프셋(offset)을 줄일 수 있는 적외선 검출기를 제공할 수 있다.
또한, 본 발명의 실시형태에 따르면, 열잡음의 영향을 효과적으로 줄일 수 있는 적외선 검출기를 제공할 수 있다.
또한, 본 발명의 실시형태에 따르면 SNR이 향상된 적외선 검출기를 제공할 수 있다.
또한, 본 발명의 실시형태에 따르면, 적외선 검출 효율이 높은 적외선 검출기를 제공할 수 있다.
도1은 마이크로 볼로미터 기반 적외선 검출기의 신호 검출 회로(ROIC)의 기본 구성도이다.
도2는 종래의 마이크로 볼로미터 어레이를 포함하는 적외선 검출기를 도시한다.
도3은 본 발명의 일 실시예에 따른 마이크로 볼로미터 어레이를 포함하는 적외선 검출기를 도시한다.
도4는 본 발명의 일 실시예에 따른 적외선 검출기의 블록도이다.
도5는 본 발명의 실시예에 따른 제어기(600)에서 생성되는 제어 신호를 도시한다.
이하, 본 발명의 바람직한 실시예의 상세한 설명이 첨부된 도면들을 참조하여 설명된다. 그러나, 본 발명의 실시형태는 여러 가지의 다른 형태로 변형될 수 있으며, 본 발명의 범위가 이하 설명하는 실시형태로만 한정되는 것은 아니다. 도면에서의 요소들의 형상 및 크기 등은 보다 명확한 설명을 위해 과장될 수 있으며, 도면들 중 인용부호들 및 동일한 구성요소들에 대해서는 비록 다른 도면상에 표시되더라도 가능한 한 동일한 인용부호들로 표시됨을 유의해야 한다. 참고로 본 발명을 설명함에 있어서 관련된 공지 기능 혹은 구성에 대한 구체적인 설명이 본 발명의 요지를 불필요하게 흐릴 수 있다고 판단되는 경우 그 상세한 설명을 생략한다.
일반적으로, 적외선 검출기에 포함되는 마이크로 볼로미터 어레이의 경우 PTS에 의해 통상 수십%의 저항 변화를 갖게 되는데 반해 피사체로부터의 원적외선으로 인한 최소 신호 레벨은 0.001% 정도의 저항 변화를 갖게 되므로 마이크로 볼로미터 어레이로부터 신호를 판독하는 회로는 대략 15비트(bit) 이상의 동적 범위(Dynamic range)를 필요로 한다. 이 중에서 하위 8비트는 신호 레벨을 나타내며 상위 7비트는 FPN을 제거하기 위하여 사용된다. 이와 같이 판독회로를 구성할 경우 가격효율성은 높으나, 제한적 성능을 갖는 CMOS (Complementary Metal-Oxide Semiconductor) 기술을 이용하여 구현하기는 매우 어렵다. 따라서, 아래와 같은 방안들을 이용하여 필요한 동적 범위의 부담을 감소시킬 수 있다.
첫째, 기준 마이크로 볼로미터 셀을 이용하여 불필요한 직류 바이어스(DC Bias)를 제거하도록 스키밍(Skimming) 기법을 사용한다.
둘째, 열전 냉각기(Thermo-Electric Cooler)를 사용하여 기판의 온도를 일정한 온도로 유지한다.
하지만, 첫 번째 스키밍 기법을 이용하더라도 마이크로 볼로미터 셀과 기준 마이크로 볼로미터 셀의 부정합(Mismatch)에 의한 잔여 오프셋(Residual offset)과 이득의 비선형성(Nonlinearity) 때문에 추가의 오프셋/이득 보정(Offset/Gain calibration)이 요구된다. 이를 해소하기 위해 아래의 2가지 방법이 이용되고 있다.
i) 아날로그 회로상에서 VFID, VEB 그리고 VSKIM을 조정하여 오프셋/이득 오차(Offset/Gain error)를 보정하면서, 및/또는
ii) 아날로그/디지털 데이터 컨버터(ADC) 이후 외부 메모리를 이용하여 디지털 보정(Digital correction)을 적용한다.
따라서, 본 발명에서 i)는 아날로그 회로가 포화되지 않고 선형영역에서 동작하도록 하는 개략적인 보정(Coarse trimming)을 수행하며, ii)는 열상 센서들간의 FPN을 보정하기 위한 NUC(Non-Uniformity Correction)를 보다 편리하게 수행되도록 하는 데에 그 첫 번째 목적이 있다.
덧붙여 일반적인 PTS 변화에 따른 FPN을 보정하기 위해서는 3-차원 보정(3-dimensional calibration)기법이 필요한데 반해 본 발명을 적용할 경우 2-차원 보정(2-dimensional calibration)기법으로 해결할 수 있으므로 보정과정이 간편해지고 보정과정에서 발생하는 시간적/물질적 재원 소모를 크게 줄일 수 있다.
또한, 마이크로 볼로미터에서 원적외선 신호에 의해 발생하는 0.001% 정도의 매우 작은 저항변화를 측정하기 위해서 판독회로의 SNR(Singnal to Noise Ratio)이 매우 커야 하며, 이를 위해서는 하나의 센서를 읽어 들이는 데 사용되는 검출 시간(tsense)을 길게 하는 것이 바람직하다. 그러나 영상 시스템에서 요구되는 프레임률(Frame rate)을 만족하기 위하여 검출 시간(tsense)에 대한 제약이 발생하게 된다. 예를 들면, VGA 해상도(640x480)에 30 fps를 만족하는 영상의 경우, 각 열 별로 병렬 판독을 한다 하여도 tsense =1/(30x480)=69μsec 이하로 제한 될 수 밖에 없기 때문에 SNR을 증가시키는데 제약이 발생하게 된다. 따라서, 본 발명의 두 번째 목적은 이러한 제한된 검출시간으로부터 발생하는 SNR의 제약을 완화시키는 데 있다. 이하에서는 이러한 목적을 달성하기 위한 본원 발명을 상세히 설명한다.
적외선 검출기의 FPN(fixed pattern noise)에 의한 신호 검출 회로(ROIC)의 불필요한 동적 범위를 줄이기 위해서, 불필요한 DC 신호를 제거하는 스키밍(skimming)이 기본적으로 수행된다. 이러한 기법은 도1을 참조하여 이하에서 설명된다.
도1은 마이크로 볼로미터 기반 적외선 검출기의 신호 검출 회로(ROIC)의 기본 구성도이다. 마이크로 볼로미터 기반 적외선 검출기는 마이크로 볼로미터 셀(10), 기준 마이크로 볼로미터 셀(20) 및 적분기(30)를 포함하여 구성될 수 있다.
도1에 도시된 바와 같이 마이크로 볼로미터 셀(10)은 제1소스팔로워(11) 마이크로 볼로미터(12) 및 제1스위치(13)를 포함하여 구성될 수 있다. 마찬가지로 기준 마이크로 볼로미터 셀(20) 또한 제2소스팔로워(21)와 기준 마이크로 볼로미터(22)를 포함하여 구성될 수 있다. 기준 마이크로 볼로미터(22)는 원격의 온도 신호에 반응하지 않으며 기준 마이크로 볼로미터(22)에 흐르는 전류(Ir)는 원격 온도 신호와 무관한 고정된 값을 가질 수 있다. 또한, 기준 마이크로 볼로미터 셀(20)은 마이크로 볼로미터 어레이의 동일한 행 또는 열에 위치하는 셀들이 서로 공유할 수 있다.
도1에서 적분기(30)는 용량성 트랜스-임피던스 증폭기(CTIA:capacitive trans-impedance amplifier)일 수 있다. 이와 같은 적분기(30)를 통해, 적분기(30)에 입력되는 전류 신호가 전압 신호로 변환 및 증폭되어 Vout으로 출력될 수 있다. 적분기(30)에 입력되는 신호에 대해서는 아래에서 살펴본다. 캐패시터(31)는 적분기(30)의 입출력 사이, 즉 궤환 경로에 결합된다. 캐패시터(31)의 사이즈에 따라 적분기(30) 출력의 이득이 제어될 수 있다. 적분기(30)는 캐패시터(31) 상에 전하를 축적함으로써 전류-전압 변환을 수행한다. 적분기(30)는 리셋 스위치(미도시)를 더 포함하여 적분기(30)에 의해 수행되는 전류에서 전압으로의 변환을 리셋(reset)하도록 제공될 수 있다.
비록, 도1에는 도시되지 않았지만, 적분기(30)에서 출력되는 신호는 증폭기, 다중화기 및/또는 ADC(analog to digital converter)에 전달되어 처리된 후 최종적으로 적외선 피사체의 이미지를 디스플레이할 수 있는 전기 신호가 출력될 수 있다. 이때, 적분기(30) 후단의 ADC를 포함한 회로들의 동적 범위(dynamic range)를 줄이기 위해서 적분기(30)에 불필요한 DC 신호가 제거되어 입력될 수 있다.
즉, 마이크로 볼로미터 셀(10)에 흐르는 전류(Ia)와, 기준 마이크로 볼로미터 셀(20)에 흐르는 전류(Ir)의 차이 신호(Ir-Ia)만이 적분기(30)로 입력될 수 있다. 바람직하게, 상기 차이 신호(Ir-Ia)는 마이크로 볼로미터 셀(10)에서 적외선 피사체에 의한 신호 변화량을 나타낼 수 있다. 따라서, 마이크로 볼로미터 셀(10)과 기준 마이크로 볼로미터 셀(20)은 전기적 특성 등이 동일하도록 설계될 수 있다.
도1의 A 지점에서의 신호(Iout)은 아래와 같이 표현될 수 있다.
Iout=Ia-Ir=Va/Ra-Vr/Rr 수학식(1)
여기서, Ra 및 Rr은 각각 마이크로 볼로미터(12)와 기준 마이크로 볼로미터(22)의 전기적 저항값이며, Va=Vfid-Vthn로서 마이크로 볼로미터(12)의 양단에 걸리는 전압이고, Vr=Vskim-Veb-Vthp로서 기준 마이크로 볼로미터(22)의 양단에 걸리는 전압이다.
이때, Vfid는 마이크로 볼로미터 셀(10)의 소스팔로워(11)에 공통으로 인가되는 DC 전압이고, Vskim-Veb는 기준 마이크로 볼로미터 셀(20)의 소스팔로워(21)에 공통으로 인가되는 DC 전압이다.
도1에서 제1소스팔로워(11)로 NMOS 트랜지스터 그리고 제2소스팔로워(21)로 PMOS 트랜지스터가 예시된다. Vthn은 NMOS 트랜지스터(11)의 게이트와 소스 사이에 걸리는 전압, 그리고 Vthp는 PMOS 트랜지스터(21)의 게이트와 소스 사이에 걸리는 전압을 나타낸다.
수학식(1)과 같이 직류 바이어스(DC Bias)를 제거함으로써 불필요하게 소모되는 동적 범위를 줄여 나가는 것을 스키밍(Skimming)이라 한다. 이외에도 마이크로 볼로미터와 기준 마이크로 볼로미터간의 부정합(Mismatch)으로 인한 교정(Calibration)이 필요하며, 이는 VFID, VEB 그리고 VSKIM을 조정함으로써 교정(Calibration)을 수행할 수 있다.
또한, 마이크로 볼로미터 어레이를 포함하는 기판온도 변화에 다른 FPN을 줄이기 위하여, 통상적으로 열전냉각기(TEC: Thermo Electric Cooler)를 사용하여 기판의 온도를 일정한 값으로 고정시킬 수 있다. 하지만, 이러한 열전 냉각기는 적외선 검출기를 제조함에 있어 원가 상승 요인이면서 온도 조절을 위해 많은 전력을 소모하게 된다.
따라서, 본원 발명에서는 이러한 열전냉각기를 사용함이 없이 온도의존성을 줄이고자 한다. 이러한 동작이 가능케 하기 위해서 수학식(1)로부터 아래와 같은 두 가지 조건이 만족되어야 함을 알 수 있다.
<Va/Ra> = <Vr/Rr> 수학식(2)
<d(Va/Ra)/dT> = <d(Vr/Rr)/dT> 수학식(3)
여기서 기호 (<...>)는 적분기(30)의 적분 시간 동안, 즉, 마이크로 볼로미터 셀(10)의 감지 시간(tsense) 동안의 평균값을 나타낸다. d/dT는 온도에 대한 미분을 의미한다.
감지 시간(tsense) 동안에 마이크로 볼로미터(12)의 온도는 아래의 식으로부터 구할 수 있다.
Figure 112013089636707-pat00001
수학식 (4)
여기서, Cth는 마이크로 볼로미터(12)의 열용량(heat capacitor)을 나타낸다. 수학식(4)로부터 아래의 식을 도출할 수 있다.
Figure 112013089636707-pat00002
수학식(5)
T-To는 감지 시간(tsense) 동안의 온도 변화 값이다. 이와 마찬가지로, 기준 마이크로 볼로미터(22)에 대해서, 아래의 식을 도출할 수 있다.
Figure 112013089636707-pat00003
수학식(6)
여기서, Rth는 기준 마이크로 볼로미터(22)의 열저항(Thermal resistor)을 나타낸다.
수학식(2) 및 수학식(3)이 항상 성립하기 위해서는 감지 시간(tsense) 동안에 마이크로 볼로미터(12)의 평균 온도 변화량과 기준 마이크로 볼로미터(22)의 평균 온도 변화량이 동일해야 한다. 이로부터 아래의 3가지 조건이 만족될 필요성이 있다.
i) Va=Vr
ii) Ra=Rr
iii) Cth*Rth=tsense/2
여기서, 자체 가열 동안 Ra의 온도는 시간에 따라 선형적으로 증가하고 Rr의 온도는 정상 상태(steady state)에 있는 것으로 가정하였다.
마이크로 볼로미터는 저항성 적외선 검출 소자로서 적외선 검출 과정 동안에 가해진 전기적인 에너지가 저항에서 소모되어 열 에너지로 변환되게 된다. 즉, 적외선 검출 과정 동안에 마이크로 볼로미터의 온도가 자체적으로 상승하는 현상이 발생하며 이를 자체 가열(self-heating)이라고 지칭한다.
따라서, 마이크로 볼로미터는 적외선 검출 과정 이후 상승된 온도를 냉각시키기 위한 시간이 필요하므로 하드웨어의 효율성을 높이기 위해 여러 개의 마이크로 볼로미터 셀이 하나의 ROIC(Read-Out Integrated Circuit)를 공유할 수 있다.
전술한 바와 같이, 마이크로 볼로미터(12)와 기준 마이크로 볼로미터(22)의 제작시의 공정 변화(process variation), 온도 변화(temperature variation) 및 마이크로 볼로미터와 기준 마이크로 볼로미터 사이의 자기 발열 차이에 따른 오차가 커질수록, 적분기(30)에 입력되는 전류 신호에서 불필요한 오프셋 DC 신호가 충분히 제거되지 못한다. 이에 따라, 적분기(30)의 동적 범위가 감소하고 신호 증폭시 회로가 포화되는 문제점이 야기될 수 있다. 또한, 추후 ADC의 동적 영역이 커져야 하는 문제점이 야기된다.
바람직하게는 감지 시간(tsense) 동안에 마이크로 볼로미터(12)의 자체 가열을 통한 평균 온도 변화량과 기준 마이크로 볼로미터(22)의 평균 온도 변화량을 서로 동일하게 매칭(matching)함으로써 적분기(30)에 입력되는 신호에서 불필요한 DC 신호를 효율적으로 제거할 수 있다.
하지만, 종래의 기술에서와 같이 마이크로 볼로미터 어레이에 포함된 마이크로 볼로미터를 판독하는 경우에는 감지 시간(tsense) 동안에 마이크로 볼로미터(12)의 자체 가열을 통한 온도 변화량과 기준 마이크로 볼로미터(22)의 온도 변화량을 서로 동일하게 매칭(matching)하는데 어려움이 있다.
도2는 종래의 마이크로 볼로미터 어레이를 포함하는 적외선 검출기를 도시한다. 도2에서, 적외선 검출기는 N개의 열 및 M개의 행 (N X M, 여기서 N 및 M은 2 이상의 정수) 형태로 배열된 셀 어레이; 및 상기 N개의 열 각각에 대해서 적분기(30)를 포함할 수 있다.
종래의 적외선 검출기에는 하나의 열에 하나의 적분기(30)를 구비하고, 적분기(30)는 각 열에 포함된 모든 마이크로 볼로미터 셀(10)에 연결된다. 특정 시간 구간 동안에는 하나의 행에 있는 마이크로 볼로미터 셀(10)들만이 각각의 적분기(30)를 통해서 읽기, 즉 감지되어 적분된다. 예컨대, 제1시간 구간 동안에 제1행에 포함된 N개의 마이크로 볼로미터 셀(10)이 각각의 적분기(30)를 통해서 판독되고, 이어지는 제2시간 구간 동안에 제2행에 포함된 N개의 마이크로 볼로미터 셀(10)이 각각의 적분기(30)를 통해서 판독될 수 있다. 이는 마지막 M행까지 수행된 후, 다시 제1행부터 반복될 수 있다. 각 셀(10)에 포함된 스위치(13)는 자신의 감지 시간 동안에만 해당 적분기(30)에 신호를 보낼 수 있도록 닫히도록 구성된다.
하지만, N X M 어레이가 640 X 480 어레이로서 비디오 그래픽에 이용될 수 있도록 하기 위해서는 1초당 30프레임(frame)이 요구된다. 따라서, 1초당 480개의 행에 대해서 반복적으로 30번 스캔(scan)될 필요성이 있다. 이 경우, 하나의 마이크로 볼로미터 셀(10)에 할당되는 스캔 시간은 대략 69μs 에 해당한다.
이때, 통상의 마이크로 볼로미터(12)의 자체 가열 시간은 34μs가 되지만, 기준 마이크로 볼로미터(22)의 열 시상수(thermal time constant)는 이보다 매우 큰 것이 일반적이다. 예컨대, 기준 마이크로 볼로미터(22)의 열 시상수는 대략 50μs일 수 있다. 이 경우, 감지 시간(tsense) 동안에 마이크로 볼로미터(12)의 자체 가열을 통한 평균 온도 변화량과 기준 마이크로 볼로미터(22)의 온도 변화량에 차이가 발생한다.
이때, 하나의 마이크로 볼로미터 셀(10)을 감지하는 감지시간을 길게 예컨대 100μs로 증가시킨다면 두 개의 온도 변화량을 매칭시킬 수 있다. 하지만, 도2에 도시된 바와 같은 판독 방식에 따르는 경우 VGA(Video Graphic Array)의 특성을 살리면서, 감지 시간(tsense)를 증가시키는 것은 불가능하다. 더욱이, 현재 HD(high definition) 영상에 대한 요구가 커지는 시점에서 더욱 그러하다. HD 영상을 위해서는 640 X 480 보다도 더 많은 수의 픽셀이 요구되고, 이는 1초당 스캔되어야 하는 마이크로 볼로미터 셀(10)의 개수가 증가함을 의미한다. 따라서, 감지 시간은 더욱 줄어들 수 밖에 없다.
따라서, 본 발명의 실시형태에서는 하나의 마이크로 볼로미터 셀(10)로부터의 신호를 읽는 시간, 즉 감지 시간을 늘릴 수 있는 적외선 검출기를 제공하고자 한다.
도3는 본 발명의 일 실시예에 따른 마이크로 볼로미터 어레이를 포함하는 적외선 검출기를 도시한다. 본 발명의 일 실시예에 따른 적외선 검출기는 적외선을 감지하여 전류 신호를 출력하는 마이크로 볼로미터 셀이 N개의 열 및 M개의 행 (N X M, 여기서 N 및 M은 2 이상의 정수) 형태로 배열된 셀 어레이(N X M 어레이); 및 상기 N개의 열 각각에 대해서 적분기(30)를 포함하는, 적분회로(300)를 포함하며, 상기 M개의 행 중 두 개의 행에 포함된 상기 마이크로 볼로미터 셀들(10)로부터의 전류 신호가 해당 적분기(30)를 통해서 동시에 읽기될 수 있다.
비록, 도3에는 하나의 제n열에 2개의 적분기(30)가 연결되는 것이 도시되나, 이는 단지 예일뿐이며 하나의 열마다 m개의 적분기(30)가 포함될 수 있다. 여기서, m은 2 이상 및 M 이하의 정수일 수 있다. 이하에서는 이해를 위해 m은 2인 경우를 예를 들어 설명한다.
도3 및 이하의 설명에서는, 하나의 열, 예컨대 제n열만을 기준으로 설명하고 있으나, 제n열의 특정 행의 마이크로 볼로미터 셀(10)이 읽기될 때는 해당 행의 N개의 열에 포함된 마이크로 볼로미터 셀(10)이 각각의 적분기(30)를 통해 모두 읽기되는 것을 나타낸다.
제1열의 전류 신호를 입력받아 적분하는 적분기(30)로는 제1적분기(33_1)와 제2적분기(33_2)를 포함할 수 있다. 이때, 제1적분기(33_1)는 제1행, 제3행…에 포함된 마이크로 볼로미터 셀(10)로부터의 전류 신호를 입력받을 수 있고, 제2적분기(33_2)은 제2행, 제4행…에 포함된 마이크로 볼로미터 셀(10)로부터의 전류 신호를 입력받을 수 있다.
이때, 도3에서는 소정 시간 구간마다 하나의 행에 포함된 마이크로 볼로미터 셀(10)로부터의 전류 신호를 읽기하는 것도 가능하며, 소정 시간 구간마다 두 개의 행에 포함된 마이크로 볼로미터 셀(10)로부터의 전류 신호를 동시에 읽기하는 것도 가능하다.
예컨대, 소정 시간 구간마다 하나의 행에 포함된 마이크로 볼로미터 셀(10)로부터의 전류 신호를 읽기하는 것으로 설정되는 경우, 도2에서와 마찬가지로, 제1시간 구간 동안에 제1행에 포함된 셀(10)로부터의 전류 신호를 제1적분기(33_1)를 통해 읽기하고, 이어지는 제2시간 구간 동안에 제2행에 포함된 셀(10)로부터의 전류 신호를 제2적분기(33_2)를 통해서 읽을 수 있다. 이는 제3행 내지 제 M행까지 수행된 후, 제1행부터 반복 실시될 수 있다. 하지만, 이는 단지 예시일 뿐이며, 제1적분기(33_1) 또는 제2적분기(33_2) 중 어느 하나에서 제1열의 모든 셀(10)로부터의 전류 신호를 읽기하는 것도 가능하다. 이는, 제1적분기(33_1) 및 제2적분기(33_2)는 제1열의 모든 셀(10)에 각각 연결되도록 구성하고, 설정에 따라 제1적분기(33_1) 또는 제2적분기(33_2)로 신호의 전달을 모두 차단함으로써 실현될 수 있다. 이때, 각 셀(10)에는 제1스위치(13) 이외에 이로부터 분기되어 제1적분기(33_1)로 신호 연결을 관장하는 제3스위치(미도시) 및 제2적분기(33_2)로 신호 연결을 관장하는 제4스위치(미도시)를 더 포함하도록 구성함으로써 달성될 수 있다. 즉, 제4스위치는 모두 열린(open) 상태로 하고 제3스위치는 모두 닫힌(close) 상태로 작동할 수 있다. 또는 이와 반대로 작동할 수 있다.
또한, 본 발명의 실시예에 따라 소정 시간 구간마다 두 개의 행에 포함된 마이크로 볼로미터 셀(10)로부터의 전류 신호를 동시에 읽기하는 것도 가능하다. 예컨대, 제1시간 구간 동안에 제1적분기(33_1)는 제1행의 셀(10)로부터의 전류 신호를 읽기하고 제2적분기(33_2)는 제2행의 셀(10)로부터의 전류 신호를 읽기할 수 있다. 이어지는 제2시간 구간 동안에 제1적분기(33_1)는 제3행의 셀(10)로부터의 전류 신호를 읽기하고 제2적분기(33_2)는 제4행의 셀(10)로부터의 전류 신호를 읽기할 수 있다. 이러한 과정은 두 개의 셀씩 M번째 셀까지 수행되며, 이후 제1행부터 반복 수행될 수 있다. 이때, 제1적분기(33_1)와 제2적분기(33_2)의 연결은 역시 모든 행에 있는 셀(10)에 대해서 상기 제3스위치(미도시) 및 제4스위치(미도시)의 개폐를 통해 연결 및 연결되지 않음이 결정될 수도 있다. 또한, 제1적분기(33_1)와 제2적분기(33_2)가 연결되는 행 및/또는 동시에 읽기되는 셀의 선택은 실시예마다 달라질 수 있다.
도3에 도시된 바와 같이, 본 발명의 실시예에서는 동시에 2개 이상의 행에 포함된 셀(10)로부터의 전류 신호의 읽기가 가능하지만, 하나의 열에 포함된 셀(10)을 읽기하는 적분기를 복수개 포함함으로써 동시에 읽기되는 마이크로 볼로미터 셀(10)에 인가되는 구동 신호를 서로 구분시킬 필요가 없고, 서로 다른 구동 신호를 인가함으로써 발생되는 신호대잡음비(SNR)의 저하가 방지될 수 있다.
예컨대, 도3에는 도시된 바와 같이, 본 발명의 실시예에 따른 적외선 검출기는 해당 열(n열)에 포함된 적분기(33_1, 33_2) 마다 기준 신호를 출력하는 기준 마이크로 볼로미터 셀(20_1, 20_2)를 각각 포함할 수 있다. 예컨대, 각 적분기(33_1, 33_2) 마다 하나의 기준 마이크로 볼로미터 셀(20)을 갖도록 N개의 열 및 m개의 행 형태로 배열된 기준 셀 어레이를 더 포함할 수 있다. 도1에서 설명한 바와 마찬가지로, 각각의 적분기(30)에는, 해당 열에 포함되는 마이크로 볼로미터 셀(10)에서 출력되는 전류 신호와 상기 마이크로 볼로미터 셀(10)과 동일한 열에 위치하는 대응 기준 마이크로 볼로미터 셀(20)에서 출력되는 상기 기준 신호의 차동 신호가 입력될 수 있다. 이는 단지 실시예일 뿐이며 임의의 기준 마이크로 볼로미터 셀(10) 어레이가 이용될 수 있다.
도4은 본 발명의 일 실시예에 따른 적외선 검출기의 블록도이다. 본 발명의 실시예에 따른 적외선 검출기는 N X M 어레이(200)와 적분회로(300)뿐 아니라 제어기(600)를 더 포함하여 구성될 수 있다.
본 발명의 실시예에 따른 제어기(600)는 하나의 마이크로 볼로미터 셀(10)을 감지하는 시간, 즉, 하나의 마이크로 볼로미터 셀(10)로부터의 전류 신호를 적분기(30)를 통해 적분하는 적분 시간을 설정하여 이를 나타내는 제어신호를 적분회로(300)에 전달할 수 있다.
이러한 제어신호에 따라 적분회로(300)는 열마다 최대 m개의 마이크로 볼로미터 셀(10)로부터의 전류 신호를 m개의 적분기(30)에서 동시에 입력받을 수 있도록 구성될 수 있다. 각 열마다 m개의 적분기(30)를 포함하는 경우라도, 동시에 읽기하는 마이크로 볼로미터 셀(10)은 m개뿐 아니라 m개보다 적은 개수로 설정될 수 있다.
도5는 본 발명의 실시예에 따른 제어기(600)에서 생성되는 제어 신호를 도시한다. 각 열마다 m개의 적분기(30)를 포함할 때, 제어기(600)에서는 최대 m개의 제어신호가 생성될 수 있다.
도5에서는, 두 개의 행에 위치한 마이크로 볼로미터 셀(10)이 동시에 판독될 때의 타이밍 플롯(timing plot)이다. 최상단에는 제어기의 클럭(clock)이 도시된다. 제1제어신호 및 제2제어신호는 각각 제1적분기(33_1)와 제2적분기(33_2)로 전달되고, 또한 제1제어신호와 제2제어신호는 각 행의 마이크로 볼로미터 셀(10)에 전달될 수 있다. 이때, 마이크로 볼로미터 셀(10)이 적분기(30)를 통해 적분되는 감지 시간(tsense)은 제어기(600)의 기본 클럭(tclk)의 양의 정수배(k1, k2) 만큼의 시간 폭을 가지게 된다. 예컨대, 제1적분기(33_1)를 통한 마이크로 볼로미터 셀(10)에 대한 제1감지 신호(tsense1)는 k1 X tclk의 값을 가지고, 제2적분기(33_2)를 통한 마이크로 볼로미터 셀(10)에 대한 제2감지 신호(tsense2)는 k2 X tclk의 값을 가질 수 있다. 여기서, k1 및 k2는 양의 정수이다. 단, k3이상의 감지신호가 존재할 경우 2개의 감지신호를 제외한 나머지의 경우는 0이 될 수 있다.
비록 도5에서는 k1=k2인 경우를 도시하고 있지만, 각 감지 신호에 대한 k값은 모두 다르게 설정될 수 있다. 따라서, tsense1과 tsense2의 신호 폭이 다르게 설정될 수 있다. 또한, 실시예에 따라 동일한 적분기를 통해서 검출되는 마이크로 볼로미터 셀(10)에 대한 감지 신호의 폭도 서로 다르게 설정될 수 있다. 예컨대, 제1적분기(33_1)를 통해 검출되는 제1행 및 제2행에 위치한 마이크로 볼로미터 셀(10)들에 대한 감지 신호의 폭이 서로 다르게 설정될 수 있다.
제어기(600)는 동시에 구동되어야 하는 행의 개수 및 행의 위치를 구동회로(100)에 전달하여 마이크로 볼로미터 셀(10)이 이에 따라 구동될 수 있도록 할 수 있다. 본 발명의 일 실시예에 따른 적외선 검출기는 다중화기(400) 및 ADC(500)를 더 포함할 수 있다. ADC(500)는 적분회로(300)에 포함된 적분기(30)로부터 출력 전압(vout)을 수신하여 처리하는 다중화기(400)로부터 아날로그 전압 신호를 입력받아 디지털 전압 신호로 변환한다. ADC(500)는 아날로그-디지털 변환을 가능하게 하는 임의의 조합의 하드웨어 및/또는 소프트웨어를 포함할 수 있다.
이때, 소정의 시간 구간 동안에 하나의 행에 대해서만 읽기되는 경우의 하나의 마이크로 볼로미터 셀(10)에 대한 감지 시간 또는 적분 시간이 t라고 할 때, 동시에 두 개의 행에 대해서 읽기되도록 설정되는 경우의 하나의 마이크로 볼로미터 셀(10)에 대한 감지 시간은 2t가 될 수 있다. 마찬가지로, 동시에 m개의 행에 대해서 읽기되도록 설정되는 경우의 하나의 마이크로 볼로미터 셀(10)에 대한 감지 시간은 mt로 표현될 수 있다. 따라서, 각 열마다 m개의 적분기가 결합될 때 최대 m배까지 하나의 마이크로 볼로미터 셀(10)의 감지시간을 늘릴 수 있다.
이와 같이 본 발명의 실시형태에 따르면 하나의 마이크로 볼로미터 셀(10)이 읽기 되는 시간, 즉 감지 시간 또는 적분 시간이 제어기(600)를 통해 설정될 수 있다. 이때, 감지 시간은 필요에 따라 선형적으로 제어될 수 있다. 예컨대, 동시에 m개의 행에 있는 셀(10)들이 동시에 읽기되는 경우라도 감지 시간은 반드시 mt로 설정될 필요는 없으며, mt 이하의 임의의 시간 간격을 갖도록 설정될 수 있다.
도3에 도시된 바와 같이, 본 발명의 실시예에 따르면, 이미 칩(chip) 레벨에서 하나의 열에 결합되는 적분기(m)를 m개까지 설치하고 추후 제어기(600)의 설정에 따라 한번에 이용될 적분기의 개수가 가변 할 수 있도록 설계할 수 있다. 이후 제어기(600)를 통해 필요한 감지시간에 따라 하나의 열에 이용되어야 할 적분기의 개수가 최대 m까지 가변되어 설정될 수 있고, 이를 통해 적분회로(300)의 동작이 제어될 수 있다. 한번에 동시에 구동될 수 있는 행의 개수가 제어기(600)를 통해 설정될 수 있으며, 이에 따라 적분회로(300) 및/또는 N X M 어레이(200)는 작동할 적분기(30)의 개수 및/또는 마이크로 볼로미터 셀(10)로부터 각 적분기(30)로의 연결 여부(예컨대, 제3스위치 및 제4스위치 등의 개폐)를 조정하도록 구성될 수 있다.
본 발명의 실시예에 따르면, 하나의 마이크로 볼로미터 셀(10)에 대한 감지 시간 및 적분 시간이 제어기(600)를 통해 프로그램가능하다. 즉, 제어기(600)를 통해 감지 시간 및 적분 시간이 디지털적으로 조절될 수 있다.
본 발명의 실시예에 따르면, 전술한 바와 같이 하나의 마이크로 볼로미터 셀(10)에 대한 적분 시간을 조절함으로써, 마이크로 볼로미터 셀(10)에서의 자체 가열에 의한 온도 변화량과 기준 마이크로 볼로미터 셀(20)에서의 온도 변화량을 일치시킴으로써, 자체 가열에 따른 오프셋을 줄일 수 있다.
이와 더불어, 하나의 마이크로 볼로미터 셀(10)에 대한 적분 시간을 길게 함으로써 열저항에 따른 잡음, 즉 열잡음(thermal noise)을 줄일 수 있다. 일반적으로 열잡음은 시간에 따라 랜덤하게 바뀌므로 충분히 긴 시간 동안 적분하는 경우 상쇄될 수 있다. 보다 구체적으로, 마이크로 볼로미터 셀(10)로부터의 전류 신호에 포함되는 열잡음은 적분기(30)에서 해당 전류 신호를 적분하는 적분 시간(tsense)의 제곱근에 반비례한다. 따라서, 이러한 적분 시간(tsense)를 길게함으로써 적분기(30)에서 적분된 신호에서 신호대잡음비(SNR)를 향상시킬 수 있다.
다만, 적분 시간이 길어질수록 적분기(30)의 이득(gain)이 상승하여 증폭시에 포화(saturation)되는 문제점이 야기될 수 있다. 이러한 경우라고 하더라도, 적분기(30)에 결합된 캐패시터(31)의 캐패시턴스를 변화시킴으로써 적분기(30)의 이득을 추가로 조절할 수 있다. 즉, 캐패시터(31)의 캐패시턴스가 작을수록 적분기(30)의 이득이 커지고 캐패시턴스가 클수록 적분기(30)의 이득은 작을 수 있다.
따라서, 본 발명의 실시예에 따른 제어기(600)는 마이크로 볼로미터 셀(10)과 해당 기준 마이크로 볼로미터 셀(20) 사이의 온도 변화에 따른 오프셋의 크기, 공정 변화에 따른 FPN, 기판 온도 변화에 따른 FPN, 마이크로 볼로미터 셀(10)에서 발생하는 열잡음, 및 적분기(30)의 이득 중 적어도 어느 하나를 고려하여 상기 적분 시간(tsense)를 설정할 수 있다.
또한, 상기 제어기(600)는 상기 N개의 열에 포함된 N X m 개의 적분기 마다 각각의 이득을 설정할 수 있다. 예컨대, N x m개의 적분기마다 서로 다른 이득이 설정될 수도 있다.
이상 첨부된 도면을 참조하여 본 발명의 실시예를 설명하였지만, 본 발명이 속하는 기술분야의 당업자는 본 발명이 그 기술적 사상이나 필수적 특징을 변경하지 않고 다른 구체적인 형태로 실시될 수 있다는 것을 이해할 수 있을 것이다. 그러므로 이상에서 기술한 실시예들은 모든 면에서 예시적인 것이며 한정적인 것이 아닌 것으로서 이해되어야 하고, 본 발명의 범위는 상기 상세한 설명보다는 후술하는 특허청구범위에 의하여 나타내어지며, 특허청구범위의 의미 및 범위 그리고 그 등가개념으로부터 도출되는 모든 변경 또는 변형된 형태가 본 발명의 범위에 포함되는 것으로 해석되어야 한다.
10: 마이크로 볼로미터 셀
20: 기준 마이크로 볼로미터 셀
30: 적분기

Claims (6)

  1. 적외선을 감지하여 전류 신호를 출력하는 마이크로 볼로미터 셀이 N개의 열 및 M개의 행 (N X M, 여기서 N 및 M은 2 이상의 정수) 형태로 배열된 셀 어레이; 및
    상기 전류 신호를 입력받아 적분하는 적분기를 포함하는, 적분회로를 포함하며,
    상기 N개의 열 중 하나의 열에 포함된 적어도 2개의 마이크로 볼로미터 셀로부터 상기 전류 신호가 동시에 읽기될 수 있고,
    상기 동시에 읽기되는 상기 전류 신호는 서로 다른 적분기를 통해서 읽기되는,
    적외선 검출기.
  2. 제1항에 있어서,
    상기 마이크로 볼로미터 셀로부터의 상기 전류 신호를 해당 적분기에서 입력받아 적분하는 적분 시간을 설정하여 이를 나타내는 제어신호를 상기 적분회로에 전달하는 제어기를 더 포함하며,
    상기 적분회로는 상기 N개의 열 각각에 대해서 m개 (m은 2 이상 및 M 이하의 정수)의 적분기를 포함하고,
    상기 적분회로는 상기 제어신호에 따라 상기 열마다 최대 m개의 마이크로 볼로미터 셀로부터의 상기 전류 신호를 상기 m개의 적분기에서 동시에 입력받을 수 있도록 구성되는,
    적외선 검출기.
  3. 제2항에 있어서,
    상기 적분기는 CTIA(capacitive trans-impedance amplifier)이며,
    상기 제어기는 상기 N개의 열에 포함된 N X m개의 상기 적분기 마다 각각의 이득을 설정할 수 있는,
    적외선 검출기.
  4. 제2항 또는 제3항에 있어서,
    기준 신호를 출력하는 기준 마이크로 볼로미터 셀이 N개의 열 및 m개의 행 형태로 배열된 기준 셀 어레이를 더 포함하며,
    상기 N개의 열 중 하나의 열에 포함되는 m개의 행에 위치하는 상기 기준 마이크로 볼로미터 셀은 상기 하나의 열과 동일한 열에 포함되는 상기 m개의 적분기에 각각 대응하고,
    상기 적분기에는, 해당 열에 포함되는 상기 마이크로 볼로미터 셀에서 출력되는 상기 전류 신호와 상기 마이크로 볼로미터 셀과 동일한 열에 위치하는 대응하는 상기 기준 마이크로 볼로미터 셀에서 출력되는 상기 기준 신호의 차동 신호가 입력되는,
    적외선 검출기.
  5. 제2항 또는 제3항에 있어서,
    상기 적분 시간은:
    상기 마이크로 볼로미터 셀과 해당 기준 마이크로 볼로미터 셀 사이의 온도 변화에 따른 오프셋 크기, 공정 변화에 따른 FPN, 기판온도 변화에 따른 FPN, 상기 마이크로 볼로미터 셀에서 발생하는 열잡음, 및 상기 적분기의 이득 중 적어도 하나를 고려하여 설정되는,
    적외선 검출기.
  6. 제4항에 있어서,
    상기 마이크로 볼로미터 셀에 포함된 마이크로 볼로미터의 저항은 상기 마이크로 볼로미터 셀과 동일한 열에 위치하는 대응하는 상기 기준 마이크로 볼로미터 셀에 포함된 기준 마이크로 볼로미터의 저항과 같고,
    상기 마이크로 볼로미터의 양단에 인가되는 전압이 상기 기준 마이크로 볼로미터의 양단에 인가되는 전압과 같도록 동작하는,
    적외선 검출기.
KR1020130117912A 2013-10-02 2013-10-02 적외선 검출기 KR101563475B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020130117912A KR101563475B1 (ko) 2013-10-02 2013-10-02 적외선 검출기

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020130117912A KR101563475B1 (ko) 2013-10-02 2013-10-02 적외선 검출기

Publications (2)

Publication Number Publication Date
KR20150039394A KR20150039394A (ko) 2015-04-10
KR101563475B1 true KR101563475B1 (ko) 2015-10-26

Family

ID=53029707

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020130117912A KR101563475B1 (ko) 2013-10-02 2013-10-02 적외선 검출기

Country Status (1)

Country Link
KR (1) KR101563475B1 (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101881461B1 (ko) * 2018-04-02 2018-07-27 (주)시리우스 마이크로 볼로미터 기반 적외선 검출기
KR102041234B1 (ko) * 2018-07-25 2019-11-07 전자부품연구원 화소별 공정편차를 보정하는 볼로미터 열화상 장치 및 방법

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100866763B1 (ko) 2007-05-22 2008-11-03 주식회사 엠실리콘 다중 제어 적외선 센서 어레이 구조와 이를 구동하는 방법

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100866763B1 (ko) 2007-05-22 2008-11-03 주식회사 엠실리콘 다중 제어 적외선 센서 어레이 구조와 이를 구동하는 방법

Also Published As

Publication number Publication date
KR20150039394A (ko) 2015-04-10

Similar Documents

Publication Publication Date Title
US7417230B2 (en) Microbolometer focal plane array with temperature compensated bias
CN103776544B (zh) 一种非制冷红外焦平面阵列的读出电路
US10197448B2 (en) Low cost and high performance bolometer circuitry and methods
US6028309A (en) Methods and circuitry for correcting temperature-induced errors in microbolometer focal plane array
KR101158259B1 (ko) 적외선 센서의 신호 검출 회로 및 그 보정방법
KR101732346B1 (ko) 다중 기준 상관 이중 표본화 감지 방법 및 이를 이용한 마이크로 볼로미터
US6683310B2 (en) Readout technique for microbolometer array
KR101570445B1 (ko) 적외선 검출기
KR101563475B1 (ko) 적외선 검출기
KR101804860B1 (ko) 적외선 검출기
JP3578037B2 (ja) 半導体装置及びその制御方法
Howard et al. DRS U6000 640x480 VOx uncooled IR focal plane
Fieque et al. 320x240 uncooled microbolometer 2D array for radiometric and process control applications
CA3100661A1 (en) Rolling subframe pulsed bias microbolometer integration
US7560694B2 (en) Method and system for increasing signal-to-noise ratio in microbolometer arrays
Perenzoni et al. A 160× 120-pixel uncooled IR-FPA readout integrated circuit with on-chip non-uniformity compensation
Svärd et al. A readout circuit for an uncooled IR camera with mismatch and self-heating compensation
Howard et al. Advanced high-performance 320x240 VOx microbolometer uncooled IR focal plane
US11125625B2 (en) Microbolometer readout circuit and calibration method using the same
Eminoglu et al. MT3250BA: a 320× 256-50µm Snapshot Microbolometer ROIC for high-resistance Detector Arrays
Haider et al. Pair-wise serial ROIC for uncooled microbolometer array
Çavdar A new approach to shutterless operation of microbolometer based infrared cameras
Haider et al. Column-wise ROIC design for uncooled microbolometer array
Lv et al. Novel high uniformity readout circuit allowing microbolometers to operate with low noise
KR101439081B1 (ko) 적외선 영상데이터 취득용 신호처리 회로 및 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20181002

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20191001

Year of fee payment: 5