KR101494274B1 - 사이클릭 박막 증착 방법 및 반도체 제조 방법, 그리고 비휘발성 메모리 셀 - Google Patents

사이클릭 박막 증착 방법 및 반도체 제조 방법, 그리고 비휘발성 메모리 셀 Download PDF

Info

Publication number
KR101494274B1
KR101494274B1 KR20130135639A KR20130135639A KR101494274B1 KR 101494274 B1 KR101494274 B1 KR 101494274B1 KR 20130135639 A KR20130135639 A KR 20130135639A KR 20130135639 A KR20130135639 A KR 20130135639A KR 101494274 B1 KR101494274 B1 KR 101494274B1
Authority
KR
South Korea
Prior art keywords
oxide film
silicon
chamber
reaction
plasma
Prior art date
Application number
KR20130135639A
Other languages
English (en)
Inventor
김해원
김석윤
Original Assignee
주식회사 유진테크
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 유진테크 filed Critical 주식회사 유진테크
Priority to KR20130135639A priority Critical patent/KR101494274B1/ko
Priority to JP2016521663A priority patent/JP6165333B2/ja
Priority to CN201480053162.XA priority patent/CN105612604B/zh
Priority to US14/915,919 priority patent/US9741574B2/en
Priority to PCT/KR2014/008813 priority patent/WO2015068948A1/ko
Priority to TW103135787A priority patent/TWI568877B/zh
Application granted granted Critical
Publication of KR101494274B1 publication Critical patent/KR101494274B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40117Multistep manufacturing processes for data storage electrodes the electrodes comprising a charge-trapping insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • H01L21/205Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy using reduction or decomposition of a gaseous compound yielding a solid condensate, i.e. chemical deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • H01L21/02332Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen into an oxide layer, e.g. changing SiO to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42364Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66833Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a charge trapping gate insulator, e.g. MNOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/792Field effect transistors with field effect produced by an insulated gate with charge trapping gate insulator, e.g. MNOS-memory transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Abstract

본 발명의 일 실시예에 의하면, 사이클릭 박막 증착 방법은, 대상물이 로딩된 챔버의 내부에 실리콘 전구체를 주입하여 상기 대상물 상에 실리콘을 증착하는 증착 단계, 상기 챔버의 내부에서 미반응 실리콘 전구체 및 반응 부산물을 제거하는 제1 퍼지 단계, 상기 챔버의 내부에 산소를 포함하는 제1 반응 소스를 공급하여 증착된 상기 실리콘을 실리콘이 포함되는 산화막으로 형성하는 반응 단계 및 상기 챔버의 내부에서 미반응의 제1 반응 소스와 반응 부산물을 제거하는 제2 퍼지 단계를 반복하여 수행하는 산화막 증착 단계; 그리고 상기 챔버의 내부에 질소를 포함하는 제2 반응 소스로부터 생성된 플라즈마를 제공하여 상기 실리콘이 포함되는 산화막을 처리하는 플라즈마 처리 단계를 포함한다.

Description

사이클릭 박막 증착 방법 및 반도체 제조 방법, 그리고 비휘발성 메모리 셀{CYCLIC DEPOSITION METHOD OF THIN FILM AND MANUFACTURING METHOD OF SEMICONDUCTOR, NON-VOLATILE MEMORY CELL}
본 발명은 사이클릭 박막 증착 방법 및 반도체 제조 방법, 그리고 비휘발성 메모리 셀에 관한 것으로, 더욱 상세하게는 질소를 포함하는 플라즈마를 통해 산화막을 처리하는 사이클릭 박막 증착 방법 및 반도체 제조 방법, 그리고 비휘발성 메모리 셀에 관한 것이다.
최근 반도체 산업의 발전과 사용자의 요구에 따라 전자기기는 더욱 더 고집적화 및 고성능화되고 있으며 이에 따라 전자기기의 핵심 부품인 반도체 소자 또한 고집적화 및 고성능화가 요구되고 있다. 그러나 반도체 소자의 고집적화를 위하여 미세 구조를 실현하기에는 어려움을 겪고 있다.
예를 들어, 미세 구조를 실현하기 위해서는 더 얇은 절연막이 요구되나, 절연막의 두께가 얇게 형성하면 절연 특성 등 막질이 저하되는 문제가 발생하고 있다. 또한 박막의 두께를 얇게 형성하면서, 우수한 스텝 커버리지를 얻기가 어려워지고 있다.
한국공개특허공보 2005-0060268호 2005.06.22.
본 발명의 목적은 우수한 막질과 스텝 커버리지를 가지는 산화막을 증착할 수 있는 사이클릭 박막 증착 방법 및 반도체 제조 방법, 그리고 비휘발성 메모리 셀을 제공하는 데 있다.
본 발명의 다른 목적은 반도체 소자의 소거 속도가 개선된 사이클릭 박막 증착 방법 및 반도체 제조 방법, 그리고 비휘발성 메모리 셀을 제공하는 데 있다.
본 발명의 또 다른 목적들은 다음의 상세한 설명과 첨부한 도면으로부터 보다 명확해질 것이다.
본 발명의 일 실시예에 의하면, 사이클릭 박막 증착 방법은, 대상물이 로딩된 챔버의 내부에 실리콘 전구체를 주입하여 상기 대상물 상에 실리콘을 증착하는 증착 단계, 상기 챔버의 내부에서 미반응 실리콘 전구체 및 반응 부산물을 제거하는 제1 퍼지 단계, 상기 챔버의 내부에 산소를 포함하는 제1 반응 소스를 공급하여 증착된 상기 실리콘을 실리콘이 포함되는 산화막으로 형성하는 반응 단계 및 상기 챔버의 내부에서 미반응의 제1 반응 소스와 반응 부산물을 제거하는 제2 퍼지 단계를 반복하여 수행하는 산화막 증착 단계; 그리고 상기 챔버의 내부에 질소를 포함하는 제2 반응 소스로부터 생성된 플라즈마를 제공하여 상기 실리콘이 포함되는 산화막을 처리하는 플라즈마 처리 단계를 포함한다.
상기 제1 반응 소스는 O2, O3, N2O를 포함하는 군으로부터 선택된 하나 이상의 가스일 수 있다.
상기 플라즈마 처리 단계는, Ar, He, Kr 및 Xe를 포함하는 군으로부터 선택된 하나 이상의 점화 가스(ignition gas)를 주입하여 상기 제2 반응 소스로부터 상기 플라즈마를 생성할 수 있다.
상기 반응 단계는, O2 분위기에서 플라즈마를 이용하여 형성된 O2-(산소 음이온) 또는 O*(산소 라디칼)을 제1 반응 소스로 사용할 수 있다.
상기 제2 반응 소스는 N2 및 NH3를 포함하는 군으로부터 선택된 하나 이상의 가스일 수 있다.
상기 산화막 증착 단계는, 상기 챔버의 내부 압력이 0.01 내지 10 Torr일 수 있다.
상기 플라즈마 처리 단계는, 상기 챔버의 내부 압력을 0.01 내지 10 Torr일 수 있다.
상기 플라즈마 처리 단계 전에, 상기 증착 단계, 상기 제1 퍼지 단계, 상기 반응 단계 및 상기 제2 퍼지 단계를 3회 내지 50회 반복할 수 있다.
또한, 상기 산화막 증착 단계 및 상기 플라즈마 처리 단계를 반복할 수 있다.
본 발명의 일 실시예에 의하면, 앞서 기재된 사이클릭 박막 증착 방법 중 어느 하나를 통해 터널 산화막(tunnel oxide)을 증착할 수 있다.
상기 터널 산화막의 두께는 20 내지 100Å 일 수 있다.
상기 터널 산화막 내의 질소 농도는 0.5 내지 20 atomic%일 수 있다.
또한, 상기 터널 산화막 상에 포획층 및 전하 차단층, 그리고 게이트층을 차례로 형성할 수 있다.
본 발명의 일 실시예에 의하면, 터널 산화막 및 포획층, 전하 차단층, 그리고 게이트층이 차례로 적층된 비휘발성 메모리 셀은, 상기 터널 산화막 내의 질소 농도가 0.5 내지 20 atomic%일 수 있다.
본 발명의 일 실시예에 의하면, 얇은 두께를 가지면서도 우수한 막질과 스텝 커버리지를 가지는 산화막을 형성할 수 있다. 따라서, 고집적화된 반도체 소자를 실현하기 위하여, 얇은 두께를 가지는 산화막을 형성할 수 있으며, 스텝 커버리지도 우수하기 때문에 미세 구조를 실현할 수 있다. 또한 우수한 막질을 가지기 때문에, 고집적화된 반도체 소자에서 요구되는 성능을 만족할 수 있다. 특히, 반도체 소자의 소거 속도를 크게 개선할 수 있다.
도 1은 본 발명의 일 실시예에 따른 사이클릭 박막 증착 방법을 나타내는 흐름도이다.
도 2는 본 발명의 일 실시예에 따른 사이클릭 박막 증착 방법을 수행하는 반도체 제조장치를 개략적으로 나타내는 단면도이다.
도 3은 본 발명의 일 실시예에 따른 사이클릭 박막 증착 방법의 진행과정을 나타내는 다이어그램이다.
도 4a 내지 도 4c는 본 발명의 일 실시예에 따른 실리콘을 증착하는 단계를 나타내는 단면도이다.
도 5a 내지 도 5c는 본 발명의 일 실시예에 따른 실리콘이 포함되는 산화막을 형성하는 단계를 나타내는 단면도이다.
도 6은 본 발명의 일 실시예에 따른 복수의 실리콘이 포함되는 산화막을 형성한 모습을 나타내는 단면도이다.
도 7a 및 도 7b는 본 발명의 일 실시예에 따른 산화막을 플라즈마 처리하는 단계를 나타내는 단면도이다.
도 8은 본 발명의 다른 실시예에 따른 실리콘이 포함된 산화막을 형성한 모습을 나타내는 단면도이다.
도 9는 도 8에 도시한 산화막의 조성비를 나타내는 그래프이다.
도 10은 전하 트랩형 반도체 소자를 나타내는 단면도이다.
도 11은 반도체 소자에 대한 에너지 밴드를 나타내는 도면이다.
도 12는 반도체 소자의 소거 특성을 나타내는 그래프이다.
이하, 본 발명의 바람직한 실시예들을 첨부된 도 1 내지 도 12를 참고하여 더욱 상세히 설명한다. 본 발명의 실시예들은 여러 가지 형태로 변형될 수 있으며, 본 발명의 범위가 아래에서 설명하는 실시예들에 한정되는 것으로 해석되어서는 안 된다. 본 실시예들은 당해 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 본 발명을 더욱 상세하게 설명하기 위해서 제공되는 것이다. 따라서 도면에 나타난 각 요소의 형상은 보다 분명한 설명을 강조하기 위하여 과장될 수 있다.
도 1은 본 발명의 일 실시예에 따른 사이클릭 박막 증착 방법을 나타내는 흐름도이다. 도 1에 도시한 바와 같이, 반도체 제조장치의 챔버 내부에 기판을 로딩한다(S100). 챔버 내부에 로딩된 기판에 산화막이 증착되며(S200), 산화막을 증착하기 위하여 실리콘을 증착하는 단계(S210), 제1 퍼지 단계(S220), 반응 단계(S230) 및 제2 퍼지 단계(S240)가 함께 수행된다.
실리콘을 증착하기 위하여 챔버 내부에 실리콘 전구체를 주입하여, 기판 상에 실리콘이 증착되도록 할 수 있다(S210). 기판 상에 실리콘을 증착한 후, 미반응 실리콘 전구체 및 반응 부산물을 제거하는 제1 퍼지 단계를 수행한다(S220).
이후, 기판 상에 형성된 실리콘을 반응 소스와 반응시켜, 실리콘이 포함되는 산화막으로 형성하는 반응 단계를 수행한다(S230). 실리콘을 실리콘이 포함되는 산화막으로 형성하기 위하여, 챔버 내부에 제1 반응 소스를 주입할 수 있다. 제1 반응 소스는 예를 들면 O2, O3, N2O를 포함하는 군으로부터 선택된 하나 이상의 가스일 수 있다. 또한, 제1 반응 소스는 O2 또는 O3와 같은 산소 원자를 포함하는 가스, 또는 O2 분위기에서 플라즈마를 이용하여 형성된 O2-(산소 음이온) 또는 O*(산소 라디칼)일 수 있다. 이후, 챔버의 내부에서 반응 부산물과 반응 소스 또는 점화 가스를 제거하는 제2 퍼지 단계를 수행할 수 있다(S240).
실리콘을 증착하는 단계(S210), 제1 퍼지 단계(S220), 반응 단계(S230) 및 제2 퍼지 단계(S240)는 반복하여 수행될 수 있다(S250). 실리콘을 증착하는 단계(S210), 제1 퍼지 단계(S220), 반응 단계(S230) 및 제2 퍼지 단계(S240)는 예를 들면, 3 내지 50회 반복하여 수행될 수 있다.
실리콘을 증착하는 단계(S210), 제1 퍼지 단계(S220), 반응 단계(S230) 및 제2 퍼지 단계(S240)를 포함하는 산화막 증착 단계(S200) 동안에 기판의 온도 및 챔버 내부의 압력을 일정하게 유지할 수 있다. 각 실리콘을 증착하는 단계(S210)에서는 적어도 1개의 실리콘 원자층이 기판 상에 형성될 수 있다. 예를 들어, 실리콘이 포함되는 산화막은 20 내지 100Å의 두께를 가지도록 형성될 수 있다. 실리콘이 포함되는 산화막이 형성된 후, 플라즈마 처리 단계를 수행한다(S300).
실리콘이 포함되는 산화막을 플라즈마 처리하기 위하여, 챔버 내부에 플라즈마 분위기를 형성할 수 있다. 또한 플라즈마 분위기와 함께 추가로 제2 반응 소스를 주입할 수 있다. 제2 반응 소스는 예를 들면 N2 및 NH3를 포함하는 군으로부터 선택된 하나 이상의 가스일 수 있다. 원하는 두께의 실리콘이 포함되는 산화막을 얻기 위하여, 필요에 따라 산화막 증착 단계(S200) 및 플라즈마 처리 단계(S300)는 반복하여 수행될 수 있다(S400). 원하는 두께의 실리콘이 포함되는 산화막이 형성된 경우, 기판은 챔버로부터 언로딩될 수 있다(S900).
도 2는 본 발명의 일 실시예에 따른 사이클릭 박막 증착 방법을 수행하는 반도체 제조장치를 개략적으로 나타내는 단면도이다. 도 2에 도시한 바와 같이, 반도체 제조 장치(10)의 챔버(11) 내에 반응 소스가 도입되기 위한 도입부(12)가 형성된다. 도입부(12)에 의해 도입된 반응 소스는 샤워헤드(13)를 통해 챔버(11) 내부로 분사될 수 있다. 증착의 대상이 되는 기판(100)이 척(14)상에 놓여지게 되는데, 이러한 척(14)은 척지지대(16)에 의해 지지된다. 척(14)은 필요한 경우, 기판(100)에 열을 가하여, 기판(100)이 소정의 온도를 가지도록 할 수 있다. 이러한 장치에 의해 증착이 수행되고 나서는 배출부(17)에 의해 챔버(11)의 내부는 배기된다. 또한 반도체 제조 장치(10)는 플라즈마 분위기를 형성하기 위하여 플라즈마 발생부(18)를 포함할 수 있다.
도 3은 본 발명의 일 실시예에 따른 사이클릭 박막 증착 방법의 진행과정을 나타내는 다이어그램이다. 도 3에 도시한 바와 같이, 실리콘(Si) 전구체의 주입 및 퍼지(purge)와 제1 반응 소스의 주입 및 퍼지가 반복적으로 수행된다. 실리콘(Si) 전구체의 주입 후 퍼지(purge)와 제1 반응 소스의 주입 후 퍼지가 반복적으로 수행된 후, 플라즈마 분위기가 형성된다. 플라즈마 분위기가 형성된 상태에서는 필요에 따라서 제2 반응 소스가 주입될 수 있다.
이와 같이, 실리콘 전구체의 주입 및 퍼지와 제1 반응 소스의 주입 및 퍼지가 반복 수행된 후 플라즈마 분위기가 형성되는 단계까지가 1 사이클로 동작한다. 즉, 실리콘 전구체의 주입 및 퍼지와 반응 소스의 주입 및 퍼지가 반복 수행되어 실리콘이 포함되는 산화막을 형성한 후, 플라즈마 분위기를 형성하여 실리콘이 포함되는 산화막을 플라즈마 처리한다. 또한 전술한 과정을 모두 반복하여, 원하는 두께의 실리콘이 포함되는 산화막을 얻을 수 있다. 따라서, 사이클릭 박막 증착 방법은 실리콘 전구체의 주입 및 퍼지와 제1 반응 소스의 주입 및 퍼지가 반복적으로 수행될 수 있음은 물론, 실리콘이 포함되는 산화막의 형성과 플라즈마 처리 또한 반복적으로 수행될 수 있다.
도 4a 내지 도 9는 전술한 내용을 토대로, 본 발명의 실시 예에 따른 사이클릭 박막 증착 방법을 단계별로 자세히 설명한다. 도 4a 내지 도 9에 관한 설명에서, 필요한 경우 도 1 내지 도 3에 대한 참조 부호가 함께 사용될 수 있다.
도 4a 내지 도 4c는 본 발명의 일 실시예에 따른 실리콘을 증착하는 단계를 나타내는 단면도이다. 도 4a는 본 발명의 일 실시예에 따른 실리콘 전구체를 주입하는 단계를 나타내는 단면도이다.
도 4a를 참조하면, 기판(100)이 로딩된 챔버(11) 내로 실리콘 전구체(50)가 주입된다. 기판(100)은 예를 들면, 실리콘 또는 화합물 반도체 웨이퍼와 같은 반도체 기판을 포함할 수 있다. 또는 기판(100)은 글라스, 금속, 세라믹, 석영과 같은 반도체와 다른 기판 물질 등이 포함될 수 있다. 실리콘 전구체(50)는 예를 들면, BEMAS (bisethylmethylaminosilane), BDMAS (bisdimethylaminosilane), BEDAS, TEMAS (tetrakisethylmethylaminosilane), TDMAS (tetrakisidimethylaminosilane), TEDAS와 같은 아미노계 실란, 또는 HCD(hexachlorinedisilan)와 같은 염화계 실란일 수 있다. 기판(100)이 실리콘 전구체(50)와 반응할 수 있도록, 기판(100)은 50 내지 600℃의 온도를 유지할 수 있다. 또한 기판(100)이 로딩된 챔버(11) 내부의 압력은 0.01 내지 10 Torr를 유지할 수 있다.
도 4b는 본 발명의 일 실시예에 따른 기판 상에 실리콘을 증착한 모습을 나타내는 단면도이다. 도 4b를 참조하면, 실리콘 전구체(50) 중 기판(100)과 반응한 것들에 의하여, 기판(100) 상에는 실리콘 원자가 증착되어 실리콘층(112)이 형성될 수 있다. 실리콘층(112)은 적어도 1개의 실리콘 원자층으로 이루어질 수 있다. 실리콘 전구체(50)는 기판(100)과 반응한 후 반응 부산물(52)을 형성할 수 있다. 또한 실리콘 전구체(50) 중 일부는 기판(100)과 반응하지 않고, 미반응 상태로 남아있을 수 있다.
도 4c는 본 발명의 일 실시예에 따른 제1 퍼지 단계를 수행한 모습을 나타내는 단면도이다. 도 4c를 참조하면, 기판(100) 상에 실리콘층(112)을 형성한 후, 잔류한 미반응 상태의 실리콘 전구체(50) 및 반응 부산물(52)을 챔버(11) 내부에서 제거하는 퍼지(purge)를 수행할 수 있다. 미반응 실리콘 전구체(50) 및 반응 부산물(52)을 챔버(11) 내부에서 제거하는 퍼지(purge) 단계를 제1 퍼지 단계라 호칭할 수 있다. 제1 퍼지 단계 동안, 기판(100)은 50 내지 600℃의 온도를 유지할 수 있다. 또한 기판(100)이 로딩된 챔버(11) 내부의 압력은 0.01 내지 10 Torr를 유지할 수 있다. 즉, 실리콘층(112)을 증착하는 단계와 상기 제1 퍼지 단계 동안에 기판(100)의 온도 및 챔버(11) 내부의 압력을 일정하게 유지할 수 있다.
도 5a 내지 도 5c는 본 발명의 일 실시예에 따른 실리콘이 포함되는 산화막을 형성하는 단계를 나타내는 단면도이다. 도 5a는 본 발명의 일 실시예에 따른 반응 소스를 주입하는 단계를 나타내는 단면도이다. 도 5a를 참조하면, 기판(100)이 로딩된 챔버(11) 내로 제1 반응 소스(60)가 주입된다. 제1 반응 소스(60)는 예를 들면, O2, O3, N2O를 포함하는 군으로부터 선택된 하나 이상의 가스일 수 있다. 또는 제1 반응 소스(60)는 예를 들면, O2 분위기에서 플라즈마를 이용하여 형성된 O2-(산소 음이온) 또는 O*(산소 라디칼)일 수 있다. 기판(100)이 제1 반응 소스(60)와 반응할 수 있도록, 기판(100)은 50 내지 600℃의 온도를 유지할 수 있다. 또한 기판(100)이 로딩된 챔버(11) 내부의 압력은 0.01 내지 10 Torr를 유지할 수 있다.
도 5b는 본 발명의 일 실시예에 따른 기판 상에 실리콘이 포함되는 산화막을 증착한 모습을 나타내는 단면도이다. 도 5b를 참조하면, 제1 반응 소스(60) 중 실리콘층(112)과 반응한 것들에 의하여, 기판(100) 상에는 실리콘이 포함되는 산화막(122a)이 형성될 수 있다. 제1 반응 소스(60)는 실리콘층(112)과 반응한 후 반응 부산물(62)을 형성할 수 있다. 또한, 제1 반응 소스(60) 중 일부는 실리콘층(112)과 반응하지 않고, 미반응 상태로 남아있을 수 있다.
제1 반응 소스(60)로 예를 들어, O2, O3와 같은 산소 원자를 포함하는 가스 또는 O2 분위기에서 플라즈마를 이용하여 형성된 O2-(산소 음이온) 또는 O*(산소 라디칼)을 사용할 경우, 실리콘층(112)은 제1 반응 소스(60)에 포함된 산소 원자와 반응하여 실리콘산화막으로 형성될 수 있다.
도 5c는 본 발명의 일 실시예에 따른 제2 퍼지 단계를 수행한 모습을 나타내는 단면도이다. 도 5c를 참조하면, 기판(100) 상에 실리콘이 포함되는 산화막(122a)을 형성한 후, 잔류한 미반응 상태의 제1 반응 소스(60) 및 반응 부산물(62)을 챔버(11) 내부에서 제거하는 퍼지(purge)를 수행할 수 있다. 미반응 상태의 제1 반응 소스(60) 및 반응 부산물(62)을 챔버(11) 내부에서 제거하는 퍼지(purge) 단계를 제2 퍼지 단계라 호칭할 수 있다. 상기 제2 퍼지 단계 동안, 기판(100)은 50 내지 600℃의 온도를 유지할 수 있다. 또한 기판(100)이 로딩된 챔버(11) 내부의 압력은 0.01 내지 10 Torr를 유지할 수 있다.
도 6은 본 발명의 일 실시예에 따른 복수의 실리콘이 포함되는 산화막을 형성한 모습을 나타내는 단면도이다. 도 6을 참조하면, 도 4a 내지 도 5c에서 보인 단계를 반복하여, 복수의 실리콘이 포함되는 산화막(122a, 122b, 122c)이 이루는 산화막층(122)을 형성한다. 산화막층(122)은 20 내지 100Å의 두께를 가질 수 있다. 산화막층(122)은 3 내지 10개의 실리콘이 포함되는 산화막(122a, 122b, 122c)을 포함하도록, 각 실리콘이 포함되는 산화막(122a, 122b 또는 122c)을 증착하는 과정은 3 내지 50회 반복하여 수행될 수 있다. 이와 같이 산화막층(122)을 복수의 실리콘이 포함되는 산화막들(122a, 122b, 122c)로 형성하면, 산화막층(122)은 우수한 막질과 스텝 커버리지(step coverage)를 가질 수 있다.
도 7a 및 도 7b는 본 발명의 일 실시예에 따른 산화막을 플라즈마 처리하는 단계를 나타내는 단면도이다. 도 7a는 본 발명의 실시 예에 따른 산화막층에 플라즈마 분위기를 공급하는 모습을 나타내는 단면도이다. 도 7a를 참조하면, 산화막층(122)이 형성된 기판(100) 상에 플라즈마를 가한다. 즉, 기판(100)이 로딩된 챔버(11) 내부를 플라즈마 분위기로 형성한다. 플라즈마 분위기를 형성하기 위하여, ICP(Inductively Coupled Plasma), CCP(Capacitively Coupled Plasma) 또는 MW(Microwave) Plasma 방식이 사용될 수 있다. 이때 플라즈마 분위기를 형성하기 위하여, 100W 내지 3kW의 전력이 인가될 수 있다.
플라즈마 분위기를 형성하기 위하여, 예를 들면, Ar, He, Kr 및 Xe를 포함하는 군으로부터 선택된 하나 이상의 점화 가스(ignition gas)가 주입될 수 있다. 이때, 점화 가스는 100 내지 3000sccm의 유량으로 주입될 수 있다. 플라즈마 분위기에서 제2 반응 소스(64)가 추가로 주입될 수 있다. 제2 반응 소스(64)는 예를 들면, N2 및 NH3를 포함하는 군으로부터 선택된 하나 이상의 가스일 수 있다.
도 7b는 본 발명의 일 실시예에 따른 산화막층(122D)을 형성한 모습을 나타내는 단면도이다. 도 7a 및 도 7b를 함께 참조하면, 플라즈마 분위기에서 산화막층(122)은 플라즈마 처리(plasma treatment)되며, 질소 원자가 산화막층(122)의 상부에 배치되어 산화막층(122)의 상부(122D1)는 실리콘 옥시나이트라이드막(SiON)의 형태를 가지는 반면, 산화막층(122)의 하부(122D2)는 실리콘 옥사이드(SiO2)의 형태를 가진다. 도 6에 도시한 바와 같이, 산화막들(122a,122b,122c) 사이에 경계가 존재하므로, 플라즈마 처리시 산화막들(122a,122b,122c)의 경계가 플라즈마 처리의 경계로 작용하여 산화막층(122)의 상부(122D1) 및 하부(122D2)의 경계가 산화막들(122a,122b,122c)의 경계 중 하나와 일치할 수 있으며, 해당 경계에 따라 산화막층(122)의 상부(122D1) 및 하부(122D2)를 구분할 수 있다.
또한, 산화막층(122)은 치밀화(densification)가 이루어질 수 있으며, 플라즈마 처리 동안 기판(100)이 로딩된 챔버(11)의 압력을 0.05 내지 10 Torr로 유지할 수 있다. 또한, 산화막층(122)을 플라즈마 분위기에서 처리하여 얻어진 치밀화된 산화막층(122)은 절연 특성 등이 막질이 우수할 수 있다. 특히, 치밀화된 산화막층(112D)이 얇은 두께를 가지도록 형성하여도, 우수한 막질을 가질 수 있다.
도 8은 본 발명의 다른 실시예에 따른 실리콘이 포함된 산화막을 형성한 모습을 나타내는 단면도이다. 도 8을 참조하면, 도 4a 내지 도 7b에서 설명한 단계들을 반복하여, 복수의 치밀화된 산화막층(122, 124)이 포함되는 산화막(120)을 형성할 수 있으며, 앞서 설명한 바와 마찬가지로, 산화막층(124)의 상부(124D1)는 대체로 실리콘 옥시나이트라이드막(SiON)의 형태를 가지는 반면, 산화막층(124)의 하부(124D2)는 대체로 실리콘 옥사이드(SiO2)의 형태를 가진다. 도 7a에서 보인 산화막층(122)이 상대적으로 두꺼울 경우, 산화막층(122)의 하부에는 플라즈마 또는 제2 반응 소스(64)에 의한 영향은 상대적으로 적을 수 있다. 따라서, 산화막(120)의 막질을 더욱 향상시키기 위하여, 상대적으로 얇은 복수의 치밀화된 산화막층(122, 124)이 포함되는 산화막(120)을 형성할 수 있다.
또한, 산화막(120)은 2개의 치밀화된 산화막층(122, 124)이 포함되는 것으로 도시되었으나, 3개 이상의 치밀화된 산화막층을 포함하는 것도 가능하다. 즉, 산화막(120)이 포함하는 치밀화된 산화막층의 개수는, 산화막(120)의 원하는 두께를 고려하여 결정할 수 있다. 즉, 산화막(120)의 원하는 두께를 고려하여 도 4a 내지 도 7b에서 설명한 단계들을 반복할 회수를 결정할 수 있다.
도 9는 도 8에 도시한 산화막의 조성비를 나타내는 그래프이며, 가로축은 산화막의 표면으로부터 깊이를 나타내고 세로축은 atomic%를 나타낸다. 도 9에 도시한 바와 같이, 실리콘과 산소는 산화막(120) 내에 일정하게 분포하며, 질소는 가로값이 0인 표면으로부터 계단 형태로 나타난다. 즉, 앞서 설명한 바와 같이, 산화막층(122,124)의 상부(122D1,124D1)는 대체로 실리콘 옥시나이트라이드막(SiON)의 형태를 가지는 반면, 산화막층(122,124)의 하부(122D2,124D2)는 대체로 실리콘 옥사이드(SiO2)의 형태를 가지므로, 산화막층(122,124)의 상부(122D1,124D1)에서는 질소의 조성이 높게 나타나는 반면, 산화막층(122,124)의 하부(122D2,124D2)에서는 질소의 조성이 낮게 나타난다. 질소의 조성이 산화막(120)의 깊이에 따라 감소하는 추세를 나타내는 것은 산화막층(122,124)의 상부(122D1,124D1)에 존재하는 질소가 상하로 확산되어 산화막(120)의 표면에 질소가 누적되므로, 산화막(120)의 표면에서 조성이 최대값을 가지는 것으로 판단된다.
한편, 데이터를 저장하는 반도체 메모리 소자들은, 일반적으로, 휘발성(volatile) 또는 비휘발성(non-volatile) 메모리 소자로 구별될 수 있다. 휘발성 메모리 소자는 전원 공급이 차단됨에 따라 저장된 데이터를 소실하지만, 비휘발성 메모리 소자는 전원 공급이 차단되더라도 저장된 데이터를 유지한다.
비휘발성 메모리 소자는 트랜지스터의 문턱 전압 천이(thresold voltage transition)를 이용하는 것과 전하 이동(charge displacement)을 이용하는 것, 저항 변화를 이용하는 것들이 있다. 문턱 전압 천이를 이용하는 메모리 소자는 전하 저장을 위한 스토리지 노드를 구비하고 있다는 점에서 전하 저장형 메모리 소자로 불린다. 예를 들어, 플로팅 게이트(floating gate)를 스토리지 노드로 이용하는 플로팅 게이트형 메모리 소자와, 전하 트랩층(charge trap layer)을 스토리지 노드로 이용하는 소노스(SONOS)형 메모리 소자가 전하 트랩형 메모리 소자에 속한다.
도 10은 전하 트랩형 반도체 소자를 나타내는 단면도이다. 도 10에 도시한 바와 같이, 메모리 소자(200)는 전하 포획(또는 트랩)을 위한 질화막(130)을 스토리지 노드로 이용한다. 본 실시예와 달리, 질화막(Si3N4)(130)은 실리콘 산화막(SiO2), 실리콘 옥시나이트라이드막(SiON), SRN(Si rich nitride), 알루미늄 산화막(Al2O3), 알루미늄 질화막(AlN), 하프늄 산화막(HfO2), 하프늄 실리콘 산화막(HfSiO), 하프늄 실리콘 (HfSiON), 하프늄 옥시나이트라이드막(HfON), 하프늄 알루미늄 산화막(HfAlO), 지르코늄 산화막(ZrO2), 탄탈륨 산화막(Ta2O3), 하프늄 탄탈륨 산화막(HfTaxOy), 란탄 산화막(LaO), 란탄 알루미늄 산화막 (LaAlO), 란탄 하프늄 산화막(LaHfO) 및 하프늄 알루미늄 산화막(HfAlO) 이루어지는 군에서 선택되는 하나 또는 그 이상의 물질을 포함하는 단일 또는 복합 층으로 대체될 수 있다. 스토리지 노드인 질화막(130)과 반도체 기판(100) 사이에는 전하의 터널링 또는 핫캐리어 주입을 위한 터널 절연막, 일 예로 산화막(120)이 형성된다.
또한, 질화막(130)과 제어 게이트 전극(150) 사이에는 전하 차단층, 일례로 실리콘 산화막(140)이 형성된다. 본 실시예와 달리, 산화막(SiO2)(140)은 실리콘 옥시나이트라이드막(SiON), 실리콘 질화막(Si3N4), SRN(Si rich nitride), 알루미늄 산화막(Al2O3), 알루미늄 질화막(AlN), 하프늄 산화막(HfO2), 하프늄 실리콘 산화막(HfSiO), 하프늄 실리콘 옥시나이트라이드막(HfSiON), 하프늄 옥시나이트라이드막(HfON), 하프늄 알루미늄 산화막(HfAlO), 지르코늄 산화막(ZrO2), 탄탈륨 산화막(Ta2O3), 하프늄 탄탈륨 산화막(HfTaxOy), 란탄 산화막(LaO), 란탄 알루미늄 산화막 (LaAlO), 란탄 하프늄 산화막(LaHfO) 및 하프늄 알루미늄 산화막(HfAlO)으로 이루어지는 군에서 선택되는 어느 하나, 또는 이들의 조합으로 대체될 수 있다. 또한, 산화막(120)은 상기 군에서 선택된 둘 또는 그 이상의 물질들이 혼합된 단일층이거나 상기 군에서 선택된 어느 하나 또는 그 이상의 물질들로 각각 이루어진 복수의 층들이 적층된 복합층으로 대체될 수 있다.
반도체 기판(100)은 예를 들어 실리콘 기판이 사용될 수 있고, 제어 게이트 전극(150)은 예를 들어 폴리실리콘으로 형성될 수 있다. 본 실시예와 달리, 제어 게이트 전극(150)은 알루미늄(Al), 루테늄(Ru), 탄탈 질화물(TaN), 티타늄 질화물(TiN), 텅스텐(W), 텅스텐 질화물(WN), 하프늄 질화물(HfN) 및 텅스텐 실리사이드(WSi)로 이루어지는 군에서 선택되는 어느 하나 또는 이들의 조합을 포함할 수 있다.
결론적으로, 메모리 소자(200)는 실리콘 기판(100)과 폴리실리콘(150) 사이에 산화막(120)/질화막(130)/산화막(140)이 개재된 SONOS 구조를 이룬다. 메모리 소자(200)의 기록 동작은 제어 게이트 전극(150)에 양의 기록 전압을 인가하는 방법으로 이루어진다. 이에 따라, 소오스/드레인부(110)에서 가속된 전자가 에너지를 얻어 질화막(130)으로 주입될 수 있다. 또는, 반도체 기판(100)의 전자가 터널링(tunneling)에 의해 질화막(130)으로 주입될 수도 있다. 소거 동작은 제어 게이트 전극(150)에 음의 전압을 인가하거나, 또는 반도체 기판(100)에 양의 전압을 인가함으로써 수행할 수 있다. 이에 따라, 질화막(130)에 저장된 전자가 터널링에 의해 반도체 기판(100)으로 소거된다.
앞서 설명한 산화막(120)은 전하 트랩형 반도체 소자의 터널 절연막으로 사용될 수 있으며, 산화막(120)은 복수의 산화막층을 포함하므로, 각각의 산화막층은 대체로 실리콘 옥시나이트라이드막(SiON)의 형태를 가지는 상부와 대체로 실리콘 옥사이드(SiO2)의 형태를 가지는 하부가 교대로 적층된 구조를 가진다. 이와 같은 구조는 메모리 소자(200) 내에서 프로그램/소거 시에 전하 이동을 용이하게 한다.
도 11은 반도체 소자에 대한 에너지 밴드를 나타내는 도면이다. 도 11에 도시한 바와 같이, 산화막(120)의 에너지 밴드 갭은 질화막(130)의 에너지 밴드 갭에 비하여 크며, 상부(D1)는 질소 원자를 함유함에 따라 전도 밴드와 가전자 밴드 간의 간격이 줄어든 에너지 밴드를 가진다. 또한, 상부(D1) 내에 질소 원자의 함량이 증가할수록 전도 밴드와 가전자 밴드 사이의 차이는 감소된다. 제어 게이트 전극(150)에 소거 전압이 인가되면, 질화막(130)에 저장된 전자는 산화막(120)을 거쳐 반도체 기판(100)으로 이동하며, 낮아진 전도 밴드의 에너지 준위에 의하여 전자의 이동이 용이하게 되는 효과가 나타난다.
다시 말해, 일반적인 소노스형 메모리 소자는 소거 동작이 전자와 홀의 터널링 전류에 의하여 동작특성이 달라진다. 일반적으로 터널링 절연층의 두께를 얇게 형성하면, 소자의 동작특성이 개선되지만, 누설전류가 증가되어 데이터 리텐션(retention)이 감소하는 문제가 있다. 반면, 두꺼운 터널링 절연층은 소자의 프로그램/소거 동작 속도가 저하되는 문제가 있다. 또한, 소자의 소거 동작속도를 개선하기 위해서는 높은 소거 전압이 요구된다. 그러나, 본 실시예의 경우, 산화막(120)의 두께를 얇게 형성하지 않고도 소자의 동작특성을 개선하여 소자의 소거 동작속도를 증가시킬 수 있으며, 산화막(120)의 두께는 20 내지 100Å일 수 있다.
구체적으로, 메모리 소자는 각 제품별로 특성에 따라 산화막(120)의 두께가 정해져 있으며, 순수한 산화막(SiO2)의 두께를 기준으로 하여 EOT(Equivalent Oxide Thickness)라고 한다. 예를 들어, 특정 제품에서 요구하는 EOT가 50Å인 경우, 본 실시예와 같은 방법으로 산화막(120)을 50Å 두께로 형성하면 EOT는 45Å에 불과하므로, 55~60Å 두께로 형성한다. 그러나, 후술하는 바와 같이 산화막(120)의 두께가 증가함으로 인한 소거 속도의 저하 문제는 발생하지 않는 반면, 산화막(120)의 충분한 두께를 통해 데이터 리텐션을 유지할 수 있는 장점이 있다.
이때, 산화막(120) 내의 질소 농도는 0.5 내지 20atmic%인 것이 바람직하다. 산화막(120) 내의 질소 농도가 0.5atomic% 미만일 경우 플라즈마 처리 효과가 거의 없어 후술하는 소거 속도의 개선 효과가 미미하며, 반대로, 산화막(120) 내의 질소 농도가 20atomic%를 초과할 경우 누설전류가 증가되어 데이터 리텐션(retention)이 감소하는 문제가 있다.
도 12는 반도체 소자의 소거 특성을 나타내는 그래프이다. PGM은 최초 상태에서 전압 인가시 프로그램(질화막(130)에 전하가 저장)이 이루어지는 상태를 의미한다. 도 12에 도시한 바와 같이, 동일한 전압이 인가된 상태(예를 들어, -1V)에서 본 발명의 실시예에 따른 산화막(120)을 가지는 메모리 소자(200)의 경우 가장 빠른 소거 속도를 나타내는 것을 확인할 수 있다. 소거속도에 따라 분류하면, 저압화학기상증착(LPCVD)을 통해 증착된 산화막을 가지는 메모리 소자(파란색선), 원자층증착(ALD)을 통해 증착된 산화막의 상부에 질소 플라즈마 처리한 메모리 소자(검정색선), 원자층증착(ALD)을 통해 증착된 산화막을 가지는 메모리 소자(빨강색선) 순이다. 즉, 본 발명의 실시예와 마찬가지로, 사이클릭 박막 증착을 통해 질소 플라즈마 처리한 산화막을 가지는 메모리 소자가 가장 빠른 소거 속도를 나타내며, 원자층증착(ALD)을 통해 증착된 산화막의 상부에 질소 플라즈마 처리한 메모리 소자는 소거 속도가 낮은 점을 알 수 있다.
본 발명을 바람직한 실시예들을 통하여 상세하게 설명하였으나, 이와 다른 형태의 실시예들도 가능하다. 그러므로, 이하에 기재된 청구항들의 기술적 사상과 범위는 바람직한 실시예들에 한정되지 않는다.
100 : 기판
120,140 : 산화막
130 : 질화막
150 : 제어 게이트 전극
200 : 반도체 소자

Claims (15)

  1. 대상물이 로딩된 챔버의 내부에 실리콘 전구체를 주입하여 상기 대상물 상에 실리콘을 증착하는 증착 단계, 상기 챔버의 내부에서 미반응 실리콘 전구체 및 반응 부산물을 제거하는 제1 퍼지 단계, 상기 챔버의 내부에 산소를 포함하는 제1 반응 소스를 공급하여 증착된 상기 실리콘을 실리콘이 포함되는 산화막으로 형성하는 반응 단계 및 상기 챔버의 내부에서 미반응의 제1 반응 소스와 반응 부산물을 제거하는 제2 퍼지 단계를 반복하여 수행하는 산화막 증착 단계; 및
    상기 챔버의 내부에 질소를 포함하는 제2 반응 소스로부터 생성된 플라즈마를 제공하여 상기 실리콘이 포함되는 산화막의 상부 및 하부를 실리콘 옥시나이트라이드막 및 실리콘 옥사이드로 각각 형성하는 플라즈마 처리 단계를 포함하는, 사이클릭 박막 증착 방법.
  2. 제1항에 있어서,
    상기 제1 반응 소스는 O2, O3, N2O를 포함하는 군으로부터 선택된 하나 이상의 가스인, 사이클릭 박막 증착 방법.
  3. 제2항에 있어서,
    상기 플라즈마 처리 단계는,
    Ar, He, Kr 및 Xe를 포함하는 군으로부터 선택된 하나 이상의 점화 가스(ignition gas)를 주입하여 상기 제2 반응 소스로부터 상기 플라즈마를 생성하는, 사이클릭 박막 증착 방법.
  4. 제1항에 있어서,
    상기 반응 단계는,
    O2 분위기에서 플라즈마를 이용하여 형성된 O2-(산소 음이온) 또는 O*(산소 라디칼)을 제1 반응 소스로 사용하는, 사이클릭 박막 증착 방법.
  5. 제3항에 있어서,
    상기 제2 반응 소스는 N2 및 NH3를 포함하는 군으로부터 선택된 하나 이상의 가스인, 사이클릭 박막 증착 방법.
  6. 제1항에 있어서,
    상기 산화막 증착 단계는,
    상기 챔버의 내부 압력이 0.01 내지 10 Torr인, 사이클릭 박막 증착 방법.
  7. 제1항에 있어서,
    상기 플라즈마 처리 단계는,
    상기 챔버의 내부 압력을 0.01 내지 10 Torr인, 사이클릭 박막 증착 방법.
  8. 제1항에 있어서,
    상기 플라즈마 처리 단계 전에,
    상기 증착 단계, 상기 제1 퍼지 단계, 상기 반응 단계 및 상기 제2 퍼지 단계를 3회 내지 50회 반복하는, 사이클릭 박막 증착 방법.
  9. 제1항에 있어서,
    상기 산화막 증착 단계 및 상기 플라즈마 처리 단계를 반복하는, 사이클릭 박막 증착 방법.
  10. 제1항 내지 제9항 중 어느 한 항에 기재된 사이클릭 박막 증착 방법을 통해 터널 산화막(tunnel oxide)을 증착하는, 반도체 제조 방법.
  11. 제10항에 있어서,
    상기 터널 산화막의 두께는 20 내지 100Å인, 반도체 제조 방법.
  12. 제11항에 있어서,
    상기 터널 산화막 내의 질소 농도는 0.5 내지 20 atomic%인, 반도체 제조 방법.
  13. 제10항에 있어서,
    상기 터널 산화막 상에 포획층 및 전하 차단층, 그리고 게이트층을 차례로 형성하는, 반도체 제조 방법.
  14. 터널 산화막 및 포획층, 전하 차단층, 그리고 게이트층이 차례로 적층된 비휘발성 메모리 셀에 있어서,
    상기 터널 산화막은 실리콘 옥시나이트라이드막 및 실리콘 옥사이드가 교대로 상하적층된 구조이며,
    상기 터널 산화막 내의 질소 농도는 0.5 내지 20 atomic%인, 비휘발성 메모리 셀.
  15. 제14항에 있어서,
    상기 터널 산화막의 두께는 20 내지 100Å인, 비휘발성 메모리 셀.
KR20130135639A 2013-11-08 2013-11-08 사이클릭 박막 증착 방법 및 반도체 제조 방법, 그리고 비휘발성 메모리 셀 KR101494274B1 (ko)

Priority Applications (6)

Application Number Priority Date Filing Date Title
KR20130135639A KR101494274B1 (ko) 2013-11-08 2013-11-08 사이클릭 박막 증착 방법 및 반도체 제조 방법, 그리고 비휘발성 메모리 셀
JP2016521663A JP6165333B2 (ja) 2013-11-08 2014-09-23 サイクリック薄膜蒸着方法及び半導体製造方法及び半導体素子
CN201480053162.XA CN105612604B (zh) 2013-11-08 2014-09-23 薄膜循环蒸镀方法、半导体制造方法及半导体元件
US14/915,919 US9741574B2 (en) 2013-11-08 2014-09-23 Cyclic deposition method for thin film and manufacturing method for semiconductor, and semiconductor device
PCT/KR2014/008813 WO2015068948A1 (ko) 2013-11-08 2014-09-23 사이클릭 박막 증착 방법 및 반도체 제조 방법, 그리고 반도체 소자
TW103135787A TWI568877B (zh) 2013-11-08 2014-10-16 周期式薄膜沉積方法、半導體製造方法及半導體裝置

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR20130135639A KR101494274B1 (ko) 2013-11-08 2013-11-08 사이클릭 박막 증착 방법 및 반도체 제조 방법, 그리고 비휘발성 메모리 셀

Publications (1)

Publication Number Publication Date
KR101494274B1 true KR101494274B1 (ko) 2015-02-17

Family

ID=52593939

Family Applications (1)

Application Number Title Priority Date Filing Date
KR20130135639A KR101494274B1 (ko) 2013-11-08 2013-11-08 사이클릭 박막 증착 방법 및 반도체 제조 방법, 그리고 비휘발성 메모리 셀

Country Status (6)

Country Link
US (1) US9741574B2 (ko)
JP (1) JP6165333B2 (ko)
KR (1) KR101494274B1 (ko)
CN (1) CN105612604B (ko)
TW (1) TWI568877B (ko)
WO (1) WO2015068948A1 (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180106660A (ko) * 2017-03-21 2018-10-01 에스케이하이닉스 주식회사 비휘발성 메모리 장치

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20060052126A (ko) * 2004-10-08 2006-05-19 삼성전자주식회사 비휘발성 반도체 메모리 소자
KR20070013337A (ko) * 2004-05-21 2007-01-30 어플라이드 머티어리얼스, 인코포레이티드 높은 k 유전체 물질 상에 실리콘 옥시니트라이드 층의형성
KR20120012582A (ko) * 2010-08-02 2012-02-10 주식회사 유진테크 사이클릭 박막 증착 방법
KR20120054660A (ko) * 2009-11-04 2012-05-30 가부시끼가이샤 도시바 불휘발성 반도체 기억 장치

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4643884B2 (ja) * 2002-06-27 2011-03-02 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
KR100543209B1 (ko) 2003-12-16 2006-01-20 주식회사 하이닉스반도체 Sonos 구조를 갖는 트랜지스터 제조 방법
US7405125B2 (en) * 2004-06-01 2008-07-29 Macronix International Co., Ltd. Tunnel oxynitride in flash memories
JP2006086511A (ja) * 2004-08-17 2006-03-30 Nec Electronics Corp 半導体装置
US8187679B2 (en) * 2006-07-29 2012-05-29 Lotus Applied Technology, Llc Radical-enhanced atomic layer deposition system and method
KR100827450B1 (ko) * 2007-05-18 2008-05-07 삼성전자주식회사 비휘발성 메모리 소자 및 그 제조 방법
JP2009253195A (ja) 2008-04-10 2009-10-29 Toshiba Corp 半導体装置の製造方法、及び半導体装置
JP5459650B2 (ja) * 2008-09-22 2014-04-02 株式会社東芝 不揮発性半導体記憶装置のメモリセル
JP5541223B2 (ja) * 2010-07-29 2014-07-09 東京エレクトロン株式会社 成膜方法及び成膜装置
KR101147728B1 (ko) * 2010-08-02 2012-05-25 주식회사 유진테크 사이클릭 박막 증착 방법
US20120263887A1 (en) * 2011-04-13 2012-10-18 Varian Semiconductor Equipment Associates, Inc. Technique and apparatus for ion-assisted atomic layer deposition

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20070013337A (ko) * 2004-05-21 2007-01-30 어플라이드 머티어리얼스, 인코포레이티드 높은 k 유전체 물질 상에 실리콘 옥시니트라이드 층의형성
KR20060052126A (ko) * 2004-10-08 2006-05-19 삼성전자주식회사 비휘발성 반도체 메모리 소자
KR20120054660A (ko) * 2009-11-04 2012-05-30 가부시끼가이샤 도시바 불휘발성 반도체 기억 장치
KR20120012582A (ko) * 2010-08-02 2012-02-10 주식회사 유진테크 사이클릭 박막 증착 방법

Also Published As

Publication number Publication date
CN105612604A (zh) 2016-05-25
JP6165333B2 (ja) 2017-07-19
TWI568877B (zh) 2017-02-01
CN105612604B (zh) 2019-07-12
JP2016535932A (ja) 2016-11-17
WO2015068948A1 (ko) 2015-05-14
US20160300723A1 (en) 2016-10-13
US9741574B2 (en) 2017-08-22
TW201518534A (zh) 2015-05-16

Similar Documents

Publication Publication Date Title
KR102533116B1 (ko) 반도체 표면의 패시베이션 방법 및 관련 시스템들
KR100894098B1 (ko) 빠른 소거속도 및 향상된 리텐션 특성을 갖는 불휘발성메모리소자 및 그 제조방법
US8198671B2 (en) Modification of charge trap silicon nitride with oxygen plasma
US7419888B2 (en) Method of forming a silicon-rich nanocrystalline structure by an atomic layer deposition process and method of manufacturing a non-volatile semiconductor device using the same
US9123644B2 (en) Semiconductor device, method of manufacturing semiconductor device and system of processing substrate
KR100615093B1 (ko) 나노크리스탈을 갖는 비휘발성 메모리 소자의 제조방법
US7851285B2 (en) Non-volatile memory device and method for fabricating the same
US7221018B2 (en) NROM flash memory with a high-permittivity gate dielectric
US6806145B2 (en) Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US7087495B2 (en) Method for manufacturing semiconductor device
US7033957B1 (en) ONO fabrication process for increasing oxygen content at bottom oxide-substrate interface in flash memory devices
KR101078498B1 (ko) 절연체 박막의 제조 방법
US7704821B2 (en) In-situ nitridation of high-k dielectrics
JP4584356B2 (ja) 基板処理方法、基板処理装置、mos−fetの製造方法、不揮発メモリの製造方法、およびコンピュータ読み取り可能な記録媒体
KR100763123B1 (ko) 플래시 메모리 소자의 유전체막 형성 방법
US6955965B1 (en) Process for fabrication of nitride layer with reduced hydrogen content in ONO structure in semiconductor device
KR101494274B1 (ko) 사이클릭 박막 증착 방법 및 반도체 제조 방법, 그리고 비휘발성 메모리 셀
US20050287740A1 (en) System and method of forming a split-gate flash memory cell
KR100936995B1 (ko) 박막 형성방법
KR100927751B1 (ko) 플래시 메모리 소자의 제조방법
KR101576639B1 (ko) 절연막 증착 방법
KR20100018751A (ko) 플래시 메모리 소자의 제조방법
KR20040087310A (ko) 저온 게이트 스택

Legal Events

Date Code Title Description
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20180130

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20190130

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20200128

Year of fee payment: 6