KR101432257B1 - Vortex chamber lids for atomic layer deposition - Google Patents

Vortex chamber lids for atomic layer deposition Download PDF

Info

Publication number
KR101432257B1
KR101432257B1 KR1020127006822A KR20127006822A KR101432257B1 KR 101432257 B1 KR101432257 B1 KR 101432257B1 KR 1020127006822 A KR1020127006822 A KR 1020127006822A KR 20127006822 A KR20127006822 A KR 20127006822A KR 101432257 B1 KR101432257 B1 KR 101432257B1
Authority
KR
South Korea
Prior art keywords
gas
substrate
flow
distribution channel
lid assembly
Prior art date
Application number
KR1020127006822A
Other languages
Korean (ko)
Other versions
KR20120048685A (en
Inventor
디엔-예 우
푸니트 바자제이
시아오시옹 유안
스티븐 에이치. 김
슈베르트 에스. 츄
폴 에프. 마
조셉 에프. 오부?
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20120048685A publication Critical patent/KR20120048685A/en
Application granted granted Critical
Publication of KR101432257B1 publication Critical patent/KR101432257B1/en

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45506Turbulent flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45582Expansion of gas before it reaches the substrate

Abstract

본 발명의 실시예는 원자 증착 공정 동안 기판 상의 재료 증착을 위한 장치 및 방법에 관한 것이다. 일 실시예에서, 기판을 처리하기 위한 챔버가 제공되며, 이 챔버는 중앙에 위치하는 가스 분산 채널을 포함하는 챔버 리드 조립체를 포함하며, 가스 분산 채널의 수렴 부분은 가스 분산 채널의 중앙을 향하여 테이퍼를 형성하며 가스 분산 채널의 발산 부분은 상기 중앙 부분으로부터 먼쪽으로 테이퍼를 형성한다. 챔버 리드 조립체는 가스 분산 채널의 발산 부분으로부터 챔버 리드 조립체의 주변 부분으로 연장하는 테이퍼 형성 바닥면을 더 포함하며, 테이퍼 형성 바닥면은 기판을 실질적으로 커버하기 위한 크기 및 형상을 가지며 두 개의 도관은 가스 분산 채널의 수렴 부분 내의 가스 인렛에 결합되고 가스 분산 채널을 통한 원형 가스 유동이 제공되도록 위치된다.Embodiments of the present invention are directed to an apparatus and method for material deposition on a substrate during an atomic deposition process. In one embodiment, there is provided a chamber for processing a substrate, the chamber including a chamber lid assembly including a centrally located gas distribution channel, wherein the converging portion of the gas distribution channel is tapered toward the center of the gas dispersion channel And the diverging portion of the gas dispersion channel forms a taper away from the central portion. The chamber lid assembly further includes a tapered bottom surface extending from a diverging portion of the gas distribution channel to a peripheral portion of the chamber lid assembly, wherein the tapered bottom surface has a size and shape for substantially covering the substrate, Is coupled to the gas inlet in the converging portion of the gas distribution channel and is positioned to provide a circular gas flow through the gas distribution channel.

Figure R1020127006822
Figure R1020127006822

Description

원자 층 증착을 위한 보텍스 챔버 리드 {VORTEX CHAMBER LIDS FOR ATOMIC LAYER DEPOSITION}[0001] VORTEX CHAMBER LIDS FOR ATOMIC LAYER DEPOSITION FOR ATOMIC LAYER DEPOSITION [0002]

본 발명의 실시예들은 일반적으로 원자 층 증착을 위한 장치 및 방법에 관한 것이다. 더욱 상세하게는, 본 발명의 실시예들은 원자 층 증착을 위한 개선된 가스 전달 장치 및 방법에 관한 것이다.
Embodiments of the present invention generally relate to an apparatus and method for atomic layer deposition. More particularly, embodiments of the present invention are directed to an improved gas delivery apparatus and method for atomic layer deposition.

마이크론 이하(submicron) 및 더 작은 피쳐(feature)를 신뢰성있게 생산하는 것은 반도체 장치의 초고밀도 집적 회로(VLSI) 및 극초대규모 집적 회로(ULSI)의 다음 세대에 대한 중요 기술들 중 하나이다. 그러나, 회로 기술의 프린지(fringe)가 가압될 때, VLSI 및 ULSI 기술에서의 인터코넥트(interconnect)의 수축 크기가 처리 용량 상에서 추가 요구가 있었다. 이러한 기술의 중심에 있는 다중 레벨 인터코넥트는 비아(via) 및 다른 인터코넥트와 같은 고 종횡비 피쳐의 정밀한 처리를 요구한다. 이러한 인터코넥트의 신뢰성있는 형성은 VLSI 및 ULSI 성공 및 개별 기판의 회로 밀도 및 품질을 증가시키기 위한 계속된 노력에 매우 중요하다.
Reliable production of submicron and smaller features is one of the key technologies for the next generation of ultra high density integrated circuits (VLSI) and ultra large scale integrated circuits (ULSI) in semiconductor devices. However, when the fringe of the circuit technology is pressed, the shrinkage size of the interconnect in the VLSI and ULSI technologies has been additionally demanded on the processing capacity. The multilevel interconnect at the heart of this technology requires precise processing of high aspect ratio features such as vias and other interconnects. The reliable formation of these interconnects is critical to VLSI and ULSI success and to continued efforts to increase the circuit density and quality of individual substrates.

회로 밀도가 증가할 때, 비아, 트렌치(trench), 콘택(contact), 및 다른 피쳐, 뿐만 아니라 그 사이의 유전 재료와 같은 인터코넥트의 폭은 45 nm 및 32 nm 크기로 감소되며, 반면 유전 층의 두께는 실질적으로 일정하게 남아 있게 되어, 그 결과 피쳐의 종횡비가 증가한다. 다수의 종래의 증착 공정은 종횡비가 4:1을 초과하고, 특히 종횡비가 10:1을 초과하는 마이크론 이하 구조물을 채우는데 어려움이 있다. 따라서, 고 종횡비를 가지는 실질적으로 보이드(void)가 없고 시임(seam)이 없는 마이크론 이하 피쳐의 형성에 관한 진행되는 많은 노력이 있다.
As circuit density increases, the width of interconnects such as vias, trenches, contacts, and other features, as well as dielectric material therebetween, is reduced to 45 nm and 32 nm sizes, The thickness of the layer remains substantially constant, resulting in an increase in the aspect ratio of the feature. Many conventional deposition processes have an aspect ratio exceeding 4: 1, and particularly difficult to fill submicron structures with aspect ratios exceeding 10: 1. Thus, there is a lot of ongoing effort to form submicron features without substantial voids with high aspect ratios and no seams.

원자 층 증착(ALD)은 고 종횡비를 가지는 피쳐 위의 재료 층의 증착을 조사하는 증착 기술이다. ALD 공정의 일 예는 가스의 펄스의 연속적인 도입을 포함한다. 예를 들면, 가스의 펄스의 연속적인 도입을 위한 하나의 사이클은 퍼지 가스 및/또는 펌프 배출의 펄스가 후속되고, 제 2 반응물 가스의 펄스가 후속되고, 그리고 퍼지 가스 및/또는 펌프 배출의 펄스가 후속되는 제 1 반응물 가스의 펄스를 포함한다. 본 명세서에서 사용된 용어 " 가스 "는 단일 가스 또는 다수의 가스를 포함하는 것으로 정의한다. 제 1 반응물 및 제 2 반응물의 개별 펄스의 후속적인 도입은 기판의 표면 상에 반응물의 단일층의 선택적인 자체 제한 흡수를 초래할 수 있다. 사이클은 증착 재료의 원하는 두께로 반복될 수 있다. 제 1 반응물 가스의 펄스와 제 2 반응물 가스의 펄스 사이의 퍼지 가스 및/또는 펌프 배출의 펄스는 챔버 내에 남아 있는 반응물의 초과 양에 의해 반응물의 가스 상태 반응 가능성을 감소시키기 위한 기능을 한다.
Atomic layer deposition (ALD) is a deposition technique that investigates the deposition of a layer of material on a feature having a high aspect ratio. One example of an ALD process involves the continuous introduction of a pulse of gas. For example, one cycle for the continuous introduction of a pulse of gas may be followed by a pulse of purge gas and / or pump discharge, followed by a pulse of the second reactant gas, and a pulse of purge gas and / Lt; RTI ID = 0.0 > 1 < / RTI > The term "gas" as used herein is defined to include a single gas or multiple gases. Subsequent introduction of individual pulses of the first reactant and the second reactant may result in selective self-limiting absorption of a single layer of reactants on the surface of the substrate. The cycle may be repeated with a desired thickness of the deposition material. Pulses of purge gas and / or pump discharge between the pulses of the first reactant gas and the pulses of the second reactant gas serve to reduce the reactivity of the reactants to a gaseous state due to the excess amount of reactants remaining in the chamber.

따라서, ALD 공정 동안 재료 필름을 증착하기 위해 이용되는 장치 및 방법에 대한 요구가 있다.
Thus, there is a need for apparatus and methods that are used to deposit material films during an ALD process.

본 발명의 실시예는 원자 층 증착(ALD) 공정 동안 기판 상에 재료를 균일하게 증착하기 위한 장치 및 방법에 관한 것이다. 증착된 재료에 대한 높은 정도의 균일성은 보텍스 패턴과 같은, 원형 가스 유동 패턴을 가지는 증착 가스에 대한 기판의 노출에 기여한다. 일 실시예에서, 공정 챔버는 팽창 채널로부터 챔버 리드 조립체의 주변 부분으로 연장하는 테이퍼 형성 바닥면(tapered bottom surface) 및 집중된 팽창 채널을 포함하는 챔버 리드 조립체를 포함한다. 테이퍼 형성 바닥면은 기판 수용면을 실질적으로 커버(cover)하는 크기 및 형상을 가진다. 챔버의 또 다른 실시예는 수렴 채널 및 발산 채널을 포함하는 집중 가스 분산 채널을 포함하는 챔버 리드 조립체를 포함한다. 챔버의 또 다른 실시예는 팽창 채널을 에워싸는 두 개 이상의 가스 통로를 포함하는 챔버 리드 조립체를 포함한다. 다수의 인렛은 각각의 가스 통로로부터 팽창 통로 내로 연장하여 팽창 채널을 통하여 원형 가스 유동 패턴을 제공하도록 위치된다.
Embodiments of the present invention are directed to an apparatus and method for uniformly depositing material on a substrate during an atomic layer deposition (ALD) process. The high degree of uniformity of the deposited material contributes to the exposure of the substrate to a deposition gas having a circular gas flow pattern, such as a vortex pattern. In one embodiment, the process chamber includes a chamber lid assembly including a tapered bottom surface extending from the expansion channel to a peripheral portion of the chamber lid assembly and a centralized expansion channel. The tapered bottom surface has a size and shape that substantially covers the substrate receiving surface. Another embodiment of the chamber includes a chamber lid assembly including a centralized gas dispersion channel including a converging channel and a diverging channel. Another embodiment of the chamber includes a chamber lid assembly including two or more gas passages surrounding the expansion channel. A plurality of inlets are positioned to extend from each gas passageway into the expansion passageway and provide a circular gas flow pattern through the expansion channel.

일 실시예에서, 기판 수용면 및 챔버 리드 조립체를 포함하는 기판 지지부르 포함하는 기판을 처리하기 위한 챔버가 제공된다. 챔버 리드 조립체는 챔버 리드 조립체의 중앙 부분에서 가스 분산 채널을 포함하며, 가스 분산 채널의 수렴 부분은 가스 분산 채널의 중심 축선을 향하여 테이퍼를 형성하며, 가스 분산 채널의 분산 부분은 중심 축선으로부터 먼쪽으로 테이퍼를 형성하며, 테이퍼 형성 바닥면은 가스 분산 채널의 발산 부분으로부터 챔버 리드 조립체의 주변 부분으로 연장하고, 테이퍼 형성 바닥면은 기판 수용면을 실질적으로 커버하도록 하는 형상 및 크기를 가진다. 챔버 리드 조립체는 가스 분산 채널의 수렴 부분 내에 제 1 가스 인렛으로 결합되는 제 1 도관 및 가스 분산 채널의 수렴 부분 내의 제 2 가스 인렛에 결합되는 제 2 도관을 더 포함하며, 제 1 도관 및 제 2 도관은 가스 분산 채널을 통하여 원형 가스 유동 패턴을 제공하도록 위치설정된다.
In one embodiment, a chamber is provided for processing a substrate comprising a substrate receiving surface and a chamber lid assembly. Wherein the chamber lid assembly includes a gas distribution channel in a central portion of the chamber lid assembly and wherein the converging portion of the gas dispersion channel forms a taper toward a central axis of the gas dispersion channel, Wherein the tapered bottom surface extends from the diverging portion of the gas distribution channel to a peripheral portion of the chamber lid assembly and the tapered bottom surface has a shape and size to substantially cover the substrate receiving surface. The chamber lid assembly further comprises a first conduit coupled to the first gas inlet in the converging portion of the gas distribution channel and a second conduit coupled to the second gas inlet in the converging portion of the gas distribution channel, The conduit is positioned to provide a circular gas flow pattern through the gas distribution channel.

하나의 예에서, 제 1 도관 및 제 2 도관은 가스 분산 채널의 수렴 부분의 내측면에서 가스를 지향시키도록 독립적으로 위치설정된다. 원형 가스 유동 패턴은 보텍스(vortex), 헬릭스(helix), 스파이럴(spiral), 트월(twirl), 트위스트(twist), 코일(coil), 월풀(whirlpool), 이들의 유도형 또는 이들의 조합형의 유동 패턴을 포함한다. 일부 예에서, 원형 가스 유동 패턴은 가스 분산 채널의 중심 축선 둘레로 적어도 약 1회전, 바람직하게는 가스 분산 채널의 중심 축선 둘레로 약 1.5, 약 2, 약 3, 약 4, 또는 그 보다 많은 회전으로 연장한다.
In one example, the first conduit and the second conduit are independently positioned to direct gas at the inner side of the converging portion of the gas distribution channel. The circular gas flow pattern can be a flow of a vortex, a helix, a spiral, a twirl, a twist, a coil, a whirlpool, an induction type thereof, Pattern. In some instances, the circular gas flow pattern is rotated at least about one revolution about the central axis of the gas distribution channel, preferably about 1.5, about 2, about 3, about 4 or more revolutions about the central axis of the gas distribution channel .

일부 실시예에서, 제 1 밸브는 제 1 도관에 연결되고, 제 2 밸브는 제 2 도관에 결합되고, 제 1 가스 소스(source)는 제 1 밸브에 유체 소통되고 제 2 가스 소스는 제 2 밸브에 유체 소통된다. 제 1 및 제 2 밸브는 약 0.05 초 내지 약 0.5 초의 범위 내와 같은, 약 2초 또는 그 미만의 펄스 시간을 가진 원자 층 증착 공정을 가능하게 한다. 다른 예에서, 제 1 도관 및 제 2 도관은 원형 가스 유동을 형성하기 위하여 가스 분산 채널의 중심 축선으로부터 0°보다 큰 각도로 독립적으로 위치설정된다.
In some embodiments, the first valve is coupled to the first conduit, the second valve is coupled to the second conduit, a first gas source is in fluid communication with the first valve, As shown in FIG. The first and second valves enable an atomic layer deposition process with a pulse time of about 2 seconds or less, such as in the range of about 0.05 seconds to about 0.5 seconds. In another example, the first conduit and the second conduit are independently positioned at an angle greater than 0 DEG from the central axis of the gas distribution channel to form a circular gas flow.

일 예에서, 공정 챔버는 약 3,000 cm3 또는 그 미만의 용적을 가지는 반응 영역을 포함할 수 있으며, 반응 영역은 테이퍼 형성 바닥면과 기판 수용면 사이에 형성된다. 용적이 약 600 cm3 또는 그 미만과 같은, 약 1,500 cm3 또는 그 미만일 수 있는 다른 예가 제공된다.
In one example, the process chamber may include a reaction zone having a volume of about 3,000 cm 3 or less, and the reaction zone is formed between the tapered bottom surface and the substrate receiving surface. The volume is approximately 600 cm 3 Or less, such as about 1,500 cm < 3 > ≪ / RTI > or less.

또 다른 실시예에서, 챔버 리드 조립체의 중앙 부분에 가스 분산 채널을 포함하는 챔버 리드 조립체를 포함하는 기판을 처리하기 위한 챔버가 제공되며, 가스 분산 채널의 수렴 부분은 가스 분산 채널의 중심 축선을 향하여 테이퍼를 형성하며 가스 분산 채널의 발산 부분은 중심 축선으로부터 먼쪽으로 테이퍼를 형성하며, 제 1 도관이 가스 분산 채널의 수렴 부분 내에 제 1 가스 인렛으로 결합되고, 제 2 도관은 가슨 분산 채널의 수렴 부분 내에 제 2 가스 인렛으로 결합되며, 제 1 도관 및 제 2 도관은 원형 가스 유동 패턴을 제공하도록 위치설정되고, 제 1 밸브는 제 1 도관에 결합되고 제 2 밸브는 제 2 도관에 결합되며, 제 1 및 제 2 밸브는 약 2 초 또는 그 미만의 펄스 시간을 가진 원자 층 증착 공정을 가능하게 한다.
In another embodiment, a chamber is provided for processing a substrate comprising a chamber lid assembly including a gas distribution channel in a central portion of the chamber lid assembly, wherein the converging portion of the gas distribution channel is directed toward a central axis of the gas distribution channel Wherein the diverging portion of the gas distribution channel forms a taper away from the central axis and the first conduit is coupled to the first gas inlet within the converging portion of the gas distribution channel and the second conduit is connected to the converging portion Wherein the first conduit and the second conduit are positioned to provide a circular gas flow pattern wherein the first valve is coupled to the first conduit and the second valve is coupled to the second conduit, 1 and the second valve enable an atomic layer deposition process with a pulse time of about 2 seconds or less.

일 실시예에서, 챔버 리드 조립체는 가스 분산 채널의 발산 부분으로부터 챔버 리드 조립체의 주변 부분으로 연장하는 테이퍼 형성 바닥면을 더 포함한다. 테이퍼 형성 바닥면은 기판 수용면을 실질적으로 커버하는 형상 및 크기를 가질 수 있다. 다른 예에서, 제 1 가스 소스는 제 1 밸브로 유체 소통될 수 있고 제 2 가스 소스는 제 2 밸브에 유체 소통될 수 있으며, 제 1 도관 및 제 2 도관은 가스 분산 채널의 수렴 부분의 내측면에서 가스를 지향시키도록 독립적으로 위치설정된다. 원형 가스 유동 패턴은 보텍스, 헬릭스, 스파이럴, 트월, 트위스트, 코일, 월풀, 이들의 유도형 또는 이들의 조합형의 유동 패턴을 포함한다. 다른 예에서, 팽창 채널의 내측면의 평균 표면 거칠기는 팽창 채널을 통하여 중심 축선을 따라 증가한다(예를 들면, 팽창 채널 내로 연장하는 다수의 제 2 인렛으로부터-기판 지지부를 향하여).
In one embodiment, the chamber lid assembly further includes a tapered bottom surface extending from the diverging portion of the gas distribution channel to a peripheral portion of the chamber lid assembly. The tapered bottom surface may have a shape and size that substantially covers the substrate receiving surface. In another example, the first gas source may be in fluid communication with the first valve and the second gas source may be in fluid communication with the second valve, wherein the first conduit and the second conduit are in fluid communication with the inner side As shown in FIG. The circular gas flow pattern includes flow patterns of vortex, helix, spiral, twirl, twist, coil, whirlpool, induction type thereof or a combination thereof. In another example, the average surface roughness of the inner surface of the expansion channel increases along the central axis through the expansion channel (e.g., from the plurality of second inlets extending into the expansion channel-toward the substrate support).

또 다른 실시예에서, 기판 상에 재료를 증착하기 위한 방법이 제공되며, 이 방법은 챔버 바디 및 챔버 리드 조립체를 포함하는 공정 챔버 내의 기판 지지부에 기판을 위치시키는 단계를 포함하며, 상기 챔버 리드 조립체는 챔버 리드 조립체의 중앙 부분에서 가스 분산 채널을 포함하며, 상기 가스 분산 채널의 수렴 부분은 가스 분산 채널의 중심 축선을 향하여 테이퍼를 형성하고 상기 가스 분산 채널의 발산 부분은 중심 축선으로부터 먼쪽으로(away) 테이퍼를 형성하고, 테이퍼 형성 바닥면이 가스 분산 채널의 발산 부분으로부터 챔버 리드 조립체의 주변 부분으로 연장하고, 상기 테이퍼 형성 바닥면은 기판을 실질적으로 커버하는 형상 및 크기를 가지며, 제 1 도관이 가스 분산 채널의 수렴 부분 내에 제 1 가스 인렛으로 연결되고, 제 2 도관이 가스 분산 채널의 수렴 부분 내에 제 2 가스 인렛으로 결합되며, 제 1 도관 및 제 2 도관은 원형 가스 유동 패턴을 제공하도록 위치되고, 원형 유동 가스를 형성하도록 제 1 및 제 2 도관을 통하여 하나 이상의 캐리어 가스가 유동되고, 기판을 원형 유동 가스에 노출시키고 하나 이상의 전구체가 원형 유동 가스로 펄싱되고, 그리고 기판 상으로 하나 이상의 전구체로부터 유도되는 하나 이상의 요소를 포함하는 재료를 증착하는 것을 포함한다.
In yet another embodiment, a method is provided for depositing material on a substrate, the method comprising positioning a substrate in a substrate support in a process chamber including a chamber body and a chamber lid assembly, the chamber lid assembly Wherein the converging portion of the gas distribution channel forms a taper toward the central axis of the gas distribution channel and the diverging portion of the gas dispersion channel is spaced away from the central axis away from the central axis, ) Taper and the tapered bottom surface extends from the diverging portion of the gas distribution channel to a peripheral portion of the chamber lid assembly and wherein the tapered bottom surface has a shape and size that substantially covers the substrate, Is connected to the first gas inlet in the converging portion of the gas distribution channel, Wherein the first and second conduits are positioned to provide a circular gas flow pattern and wherein one or more carrier gases are introduced through the first and second conduits to form a circular flow gas And exposing the substrate to a circular flow gas, wherein the at least one precursor is pulsed with a circular flow gas, and depositing a material comprising at least one element derived from the at least one precursor onto the substrate.

또 다른 실시예에서, 챔버 리드 조립체의 중앙 부분에서 중심 축선을 따라 연장하는 팽창 채널을 포함하는 챔버 리드 조립체를 포함하는 기판을 처리하기 위한 챔버가 제공되고, 테이퍼 형성 바닥면은 팽창 채널로부터 챔버 리드 조립체의 주변 부분으로 연장하며, 테이퍼 형성 바닥면은 기판 수용면을 실질적으로 커버하는 형상 및 크기를 가진다. 챔버 리드 조립체는 제 1 가스 통로에 결합되는 제 1 도관을 포함하며, 제 1 가스 통로는 팽창 채널을 우회하고(circumvent) 팽창 채널 내로 연장하는 다수의 제 1 인렛을 포함하며 제 2 도관은 제 2 가스 통로로 결합되고, 제 2 가스 통로는 팽창 채널을 우회하고, 팽창 채널 내로 연장하는 다수의 제 2 인렛을 포함하며, 다수의 제 1 인렛 및 다수의 제 2 인렛은 팽창 채널을 통하여 원형 가스 유동을 제공하도록 위치설정된다.
In another embodiment, a chamber is provided for processing a substrate comprising a chamber lid assembly including an expansion channel extending along a central axis in a central portion of the chamber lid assembly, wherein the tapered bottom surface extends from the expansion channel to the chamber lid And the tapered bottom surface has a shape and size that substantially covers the substrate receiving surface. The chamber lid assembly includes a first conduit coupled to the first gas passage, wherein the first gas passage includes a plurality of first inlets circumventing the expansion channel and extending into the expansion channel, Wherein the plurality of first inlets and the plurality of second inlets are connected to a gas flow passage through an expansion channel, the plurality of first inlets and the plurality of second inlets being connected to a gas flow passage through the expansion channel, the second gas passage bypassing the expansion channel and extending into the expansion channel, As shown in FIG.

하나의 예에서, 제 1 가스 통로는 제 2 가스 통로 바로 위에 위치시킬 수 있고, 제 2 가스 통로 및 제 1 가스 통로는 둘다 팽창 채널의 상부를 우회한다. 다수의 제 1 인렛 및 다수의 제 2 인렛은 팽창 채널의 내부면에서 가스를 지향시키도록 독립적으로 위치설정될 수 있다. 원형 가스 유동 패턴은 보텍스, 헬릭스, 스파이럴, 트월, 트위스트, 코일, 월풀, 이들의 유도형 또는 이들의 조합형의 유동 패턴을 포함한다. 다른 예에서, 제 1 밸브는 제 1 도관에 결합될 수 있고 제 2 밸브는 제 2 도관에 결합될 수 있으며, 제 1 가스 소스는 제 1 밸브로 유체 소통되고 제 2 가스 소스는 제 2 밸브에 유체 소통된다. 제 1 및 제 2 밸브는 약 1 초 또는 그 미만과 같은 약 2초 또는 그 미만, 또는 약 0.05 초 내지 약 0.5초 범위 내의 펄스 시간으로 원자 층 증착 공정을 가능하게 한다.
In one example, the first gas passageway can be positioned directly above the second gas passageway, and both the second gas passageway and the first gas passageway bypass the top of the expansion channel. A plurality of first inlets and a plurality of second inlets may be independently positioned to direct gas at the inner surface of the expansion channel. The circular gas flow pattern includes flow patterns of vortex, helix, spiral, twirl, twist, coil, whirlpool, induction type thereof or a combination thereof. In another example, a first valve may be coupled to the first conduit and a second valve may be coupled to the second conduit, wherein the first gas source is in fluid communication with the first valve and the second gas source is coupled to the second valve Fluid communication. The first and second valves enable atomic layer deposition processes with pulse times in the range of about 2 seconds or less such as about 1 second or less, or about 0.05 to about 0.5 seconds.

또 다른 실시예에서, 챔버 리드 조립체의 중앙 부분에서 중심 축선을 따라 연장하는 팽창 채널을 포함하는 챔버 리드 조립체를 포함하는 기판을 처리하기 위한 챔버가 제공되며, 제 1 도관이 제 1 가스 통로에 결합되고, 제 1 가스 통로는 팽창 채널을 우회하고 팽창 채널 내로 연장하는 제 1의 다수의 인렛을 포함하며, 제 2 도관은 제 2 가스 통로에 결합되고, 제 2 가스 통로는 팽창 채널을 우회하고, 팽창 채널로 연장하는 제 2의 다수의 인렛을 포함하고, 다수의 제 1 인렛 및 다수의 제 2 인렛은 팽창 채널을 통하여 원형 가스 유동 패턴을 제공하도록 위치설정되고, 제 1 밸브는 제 1 도관에 결합되고, 제 2 밸브는 제 2 도관에 결합되고, 제 1 및 제 2 밸브는 약 1초 또는 그 미만과 같은 약 2 초 또는 그 미만, 또는 약 0.05 초 내지 약 0.5 초 범위 내의 펄스 시간으로 원자 층 증착 공정을 가능하게 한다.
In another embodiment, there is provided a chamber for processing a substrate comprising a chamber lid assembly including an expansion channel extending along a central axis in a central portion of the chamber lid assembly, wherein a first conduit is coupled to the first gas passageway The first gas passageway includes a first plurality of inlets that bypass the expansion channel and extend into the expansion channel, the second conduit is coupled to the second gas passageway, the second gas passageway bypasses the expansion channel, Wherein the plurality of first inlets and the plurality of second inlets are positioned to provide a circular gas flow pattern through the expansion channels and the first valve is positioned in the first conduit And the second valve is coupled to the second conduit, wherein the first and second valves have a pulse time in the range of about 2 seconds or less such as about 1 second or less, or about 0.05 to about 0.5 seconds It allows for the atomic layer deposition process.

또 다른 실시예에서, 챔버 리드 조립체의 중앙 부분에서 중심 축선을 따라 연장하는 팽창 채널을 포함하는 챔버 리드 조립체를 포함하는 공정 챔버 내에 기판 지지부 상에 기판을 위치설정 단계를 포함하며, 테이퍼 형성 바닥면은 팽창 채널로부터 챔버 리드 조립체의 주변 부분으로 연장하고, 테이퍼 형성 바닥면은 기판 수용면을 실질적으로 커버하는 형상 및 크기를 가지며, 제 1 가스 통로는 팽창 채널을 우회하고 팽창 채널 내로 연장하는 다수의 제 1 인렛을 포함하며, 제 2 도관은 제 2 가스 통로에 결합되고, 제 2 가스 통로는 팽창 채널을 우회하고, 팽창 채널 내로 연장하는 다수의 제 2 인렛을 포함하고, 다수의 제 1 인렛 및 다수의 제 2 인렛은 팽창 채널을 통하여 원형 가스 유동 패턴을 제공하도록 위치시키고, 다수의 제 1 인렛 또는 다수의 제 2 인렛을 통하여 하나 이상의 캐리어 가스를 유동시킴으로써 원형 유동 가스를 형성하고, 기판을 원형 유동 가스로 노출시키고, 하나 이상의 전구체를 원형 유동 가스를 펄싱(pulsing)하고, 하나 이상의 전구체로부터 유도되는 하나 이상의 요소를 포함하는 재료를 기판 상으로 증착한다.
In another embodiment, the method includes positioning a substrate on a substrate support within a process chamber including a chamber lid assembly including an expansion channel extending along a central axis in a central portion of the chamber lid assembly, Wherein the tapered bottom surface has a shape and size that substantially covers the substrate receiving surface and wherein the first gas passageway includes a plurality of gas conduits extending circumferentially of the chamber lid assembly and extending into the expansion channel The first inlet and the second inlet being coupled to the second gas passage and the second gas passage bypassing the expansion channel and extending into the expansion channel, A plurality of second inlets are positioned to provide a circular gas flow pattern through the expansion channels, and a plurality of first inlets or a plurality of second inlets Forming a circular flow gas by flowing at least one carrier gas through the at least one precursor, exposing the substrate to a circular flow gas, pulsing the at least one precursor with the circular flow gas, The material containing is deposited onto the substrate.

또 다른 실시예에서, 챔버 리드 조립체의 중앙 부분에서 팽창 채널을 포함하는 챔버 리드 조립체를 포함하는 기판을 처리하기 위한 챔버가 제공되며, 팽창 채널의 상부는 팽창 채널의 중심 축선을 따라 실질적으로 팽행하게 연장하며 팽창 채널의 팽창 부분은 중심 축선으로부터 먼쪽으로 테이퍼를 형성하고, 팽창 채널의 상부 내의 내부면은 팽창 채널의 팽창 부분 내의 내측면 보다 더 낮은 평균 표면 거칠기를 가지며, 테이퍼 형성 바닥면은 팽창 채널의 팽창 부분으로부터 챔버 리드 조립체의 주변 부분으로 연장하고, 테이퍼 형성 바닥면은 기판 수용면을 실질적으로 커버하는 형상 및 크기를 가지며, 제 1 도관은 팽창 채널의 상부 내에 제 1 가스 인렛으로 결합되고, 제 2 도관은 팽창 채널의 상부 내에 제 2 가스 인렛으로 결합되고, 제 1 도관 및 제 2 도관은 팽창 채널을 통하여 원형 가스 유동 패턴을 제공하도록 위치설정된다.
In yet another embodiment, a chamber is provided for processing a substrate comprising a chamber lid assembly including an expansion channel in a central portion of the chamber lid assembly, wherein an upper portion of the expansion channel extends substantially along a central axis of the expansion channel The inner surface in the upper portion of the expansion channel has a lower average surface roughness than the inner surface in the expanded portion of the expansion channel and the tapered bottom surface is tapered away from the central axis, Wherein the tapered bottom surface has a shape and size that substantially covers the substrate receiving surface, wherein the first conduit is coupled to the first gas inlet within the upper portion of the expansion channel, The second conduit is coupled to the second gas inlet within the upper portion of the expansion channel and the first conduit and the second conduit Tube is positioned to provide a circular gas flow pattern through the expanding channel.

다른 실시예에서, 챔버 리드 조립체의 중앙 부분에서 팽창 체널을 포함하는 챔버 리드 조립체를 포함하는 기판 처리용 챔버가 제공되고, 팽창 채널의 상부가 팽창 채널의 중심 축선을 따라 실질적으로 평행하게 연장하고 팽창 채널의 팽창 부분은 중심 축선으로부터 먼쪽으로 테이퍼를 형성하고, 제 1 도관은 팽창 채널의 상부 내의 제 1 가스 인렛으로 연결되고, 제 2 도관은 팽창 채널의 상부 내에 제 2 가스 인렛으로 결합되고, 제 1 도관 및 제 2 도관은 원형 가스 유동 패턴을 제공하도록 위치시키고, 제 1 밸브는 제 1 도관에 결합되고 제 2 밸브는 제 2 도관에 결합되고, 제 1 및 제 2 밸브는 약 2 초 또는 그 미만의 펄스 시간으로 원자 층 증착 공정을 가능하게 한다. 챔버 리드 조립체는 팽창 채널의 팽창 부분으로부터 챔버 리드 조립체의 주변 부분으로 연장하는 테이퍼 형성 바닥면을 더 포함한다.
In another embodiment, there is provided a chamber for processing a substrate comprising a chamber lid assembly including an expansion channel in a central portion of the chamber lid assembly, wherein an upper portion of the expansion channel extends substantially parallel to a central axis of the expansion channel, The expansion portion of the channel forms a taper away from the central axis and the first conduit is connected to the first gas inlet in the upper portion of the expansion channel and the second conduit is joined to the second gas inlet in the upper portion of the expansion channel, One conduit and the second conduit are positioned to provide a circular gas flow pattern wherein the first valve is coupled to the first conduit and the second valve is coupled to the second conduit, Lt; RTI ID = 0.0 > atomic < / RTI > layer deposition process. The chamber lid assembly further includes a tapered bottom surface extending from the inflation portion of the expansion channel to a peripheral portion of the chamber lid assembly.

또 다른 실시예에서, 챔버 바디 및 챔버 리드 조립체를 포함하는 공정 챔버 내에 기판 지지부 상에 기판을 위치설정시키는 단계를 포함하는 기판 상에 재료를 증착하기 위한 방법이 제공되며, 챔버 리드 조립체는 챔버 리드 조립체의 중앙 부분에서 팽창 채널을 포함하며, 팽창 채널의 상부는 팽창 채널의 중심 축선을 따라 실질적으로 팽행하게 연장하고 팽창 채널의 팽창 부분은 중심 축선으로부터 테이퍼를 형성하며, 테이퍼 형성 바닥면은 팽창 채널의 팽창 부분으로부터 챔버 리드 조립체의 주변 부분으로 연장하며, 테이퍼 형성 바닥면은 기판을 실질적으로 커버하는 형상 및 크기를 가지며, 제 1 도관은 팽창 채널의 상부 내에 제 1 가스 인렛으로 결합되고, 제 2 도관은 팽창 채널의 상부 내의 제 2 가스 인렛으로 결합되고, 제 1 도관 및 제 2 도관은 원형 가스 유동 패턴을 제공하도록 위치시키고, 원형 유동 가스를 형성하도록 제 1 및 제 2 도관을 통하여 하나 이상의 캐리어 가스를 유동시키고, 기판을 원형 유동 가스에 노출시키고, 하나 이상의 전구체를 원형 유동 가스 내로 펄싱하고, 하나 이상의 전구체로부터 유도되는 하나 이상의 요소를 포함하는 재료를 기판 상으로 증착한다. 원형 가스 유동 패턴은 보텍스, 헬릭스, 스파이럴, 트월, 트위스트, 코일, 월풀, 이들의 유도형 또는 이들의 조합형의 유동 패턴을 포함한다.
In another embodiment, there is provided a method for depositing material on a substrate comprising positioning a substrate on a substrate support in a process chamber including a chamber body and a chamber lid assembly, the chamber lid assembly comprising: Wherein the upper portion of the expansion channel extends substantially along the central axis of the expansion channel and the inflation portion of the expansion channel forms a taper from the central axis, and the tapered bottom surface comprises an expansion channel Wherein the tapered bottom surface has a shape and size that substantially covers the substrate and wherein the first conduit is coupled to the first gas inlet within the upper portion of the expansion channel and the second conduit is coupled to the second gas inlet from the second portion of the chamber lid assembly, The conduit is coupled to a second gas inlet in an upper portion of the expansion channel, the first conduit and the second conduit Type gas flow pattern, to flow one or more carrier gases through the first and second conduits to form a circular flow gas, to expose the substrate to the circular flow gas, to pulsate one or more precursors into the circular flow gas And one or more elements derived from one or more precursors are deposited onto the substrate. The circular gas flow pattern includes flow patterns of vortex, helix, spiral, twirl, twist, coil, whirlpool, induction type thereof or a combination thereof.

소정의 예에서, 제 1 도관 및 제 2 도관은 가스 분산 채널을 수렴 부분의 내부면에서 가스를 지향시키도록 독립적으로 위치한다. 따라서, 제 1 도관 및 제 2 도관은 가스 분산 채널의 중심 축선으로부터 일정한 각도로 (예를 들면, > 0°) 독립적으로 위치할 수 있다. 선택적으로, 인렛의 제 1 도관 및 인렛의 제 2 도관은 팽창 채널의 내부면에서 가스를 지향시키도록 독립적으로 위치될 수 있다. 따라서, 다수의 제 1 인렛 및 다수의 제 2 인렛은 팽창 채널의 중심 축선으로부터 일정한 각도로(예를 들면, > 0°) 독립적으로 위치될 수 있다. 원형 가스 유동 패턴은 보텍스 패턴, 헬릭스 패턴, 스파이럴 패턴, 트월 패턴, 트위스트 패턴, 코일 패턴, 월풀 패턴, 또는 이들의 유도형 패턴과 같은 유동 패턴일 수 있다. 원형 가스 유동 패턴은 팽창 채널의 가스 분산 채널의 중심 축선 둘레를 적어도 약 1.5회전, 바람직하게는 약 2 회전, 더욱 바람직하게는 약 3 회전, 그리고 더욱 바람직하게는 약 4 회전으로 연장할 수 있다. 다른 예에서, 챔버는 테이퍼 형성 바닥면과 기판 수용면 사이에 형성된 반응 존을 포함할 수 있다. 반응 존은 약 3,000 cm3 또는 그 미만의 용적을 가질 수 있다. 일 예에서, 용적은 약 1,500 cm3 또는 그 미만일 수 있다. 또 다른 예에서, 용적은 약 600 cm3 또는 그 미만일 수 있다. 용적은 기판 지지부를 측방향으로 위치시킴으로써 조정될 수 있다.
In certain examples, the first conduit and the second conduit are independently positioned to direct the gas dispersion channel to direct the gas at the interior surface of the converging portion. Thus, the first conduit and the second conduit may be positioned independently from the central axis of the gas distribution channel at an angle (e.g.,> 0 °). Optionally, the first conduit of the inlet and the second conduit of the inlet may be independently positioned to direct gas at the interior surface of the expansion channel. Thus, the plurality of first inlets and the plurality of second inlets may be positioned independently from the central axis of the expansion channel at an angle (e.g., > 0 degrees). The circular gas flow pattern can be a flow pattern such as a vortex pattern, a helix pattern, a spiral pattern, a twirl pattern, a twist pattern, a coil pattern, a whirlpool pattern, or an inductive pattern thereof. The circular gas flow pattern can extend about the center axis of the gas distribution channel of the expansion channel by at least about 1.5 turns, preferably about 2 turns, more preferably about 3 turns, and more preferably about 4 turns. In another example, the chamber may include a reaction zone formed between the tapered bottom surface and the substrate receiving surface. The reaction zone may have a volume of about 3,000 cm 3 or less. In one example, the volume may be about 1,500 cm < 3 > or less. In another example, the volume may be about 600 cm 3 or less. The volume can be adjusted by laterally positioning the substrate support.

또 다른 실시예에서, 기판에 재료를 증착하기 위한 방법이 제공되며, 이 방법은 챔버 바디 및 챔버 리드 조립체를 포함하는 공정 챔버 내의 기판 지지부 상에 기판을 위치시키는 단계를 포함하며, 챔버 리드 조립체는 챔버 리드 조립체의 중앙 부분에 가스 분산 채널을 포함한다. 가스 분산 채널은 중심 축선으로부터 먼쪽으로 테이퍼를 형성하는 가스 분산 채널의 발산 부분 및 가스 분산 채널의 중심 축선을 향하여 테이퍼를 형성하는 가스 분산 채널의 수렴 부분을 포함할 수 있다. 챔버 리드 조립체는 가스 분산 채널의 발산 부분으로부터 챔버 리드 조립체의 주변 부분으로 연장하는 테이퍼 형성 바닥면을 더 포함할 수 있다. 테이퍼 형성 바닥면은 기판을 실질적으로 커버하도록 하는 크기 및 형상을 가질 수 있다. 또한, 챔버 리드 조립체는 가스 분산 채널의 수렴 부분 내에 제 1 가스 인렛에 결합되는 제 1 도관 및 가스 분산 채널의 수렴 부분 내에제 제 2 가스 인렛에 결합되는 제 2 도관을 더 포함할 수 있다. 제 1 도관 및 제 2 도관은 원형 가스 유동 패턴을 제공하기 위해 위치될 수 있다.
In yet another embodiment, a method is provided for depositing material on a substrate, the method comprising positioning a substrate on a substrate support in a process chamber including a chamber body and a chamber lid assembly, wherein the chamber lid assembly And a gas distribution channel in the central portion of the chamber lid assembly. The gas dispersion channel may include a diverging portion of the gas dispersion channel forming a taper away from the central axis and a converging portion of the gas dispersion channel forming a taper toward the central axis of the gas dispersion channel. The chamber lid assembly may further include a tapered bottom surface extending from the diverging portion of the gas distribution channel to a peripheral portion of the chamber lid assembly. The tapered bottom surface may have a size and shape to substantially cover the substrate. The chamber lid assembly may further include a first conduit coupled to the first gas inlet and a second conduit coupled to the second gas inlet in a converging portion of the gas distribution channel in the converging portion of the gas distribution channel. The first conduit and the second conduit may be positioned to provide a circular gas flow pattern.

상기 방법은 원형 유동 가스를 형성하도록 제 1 및 제 2 도관을 통하여 하나 이상의 캐리어 가스를 유동시키는 단계, 기판을 원형 유동 가스에 노출시키는 단계, 하나 이상의 전구체를 원형 유동 가스에 펄싱하는 단계, 및 하나 이상의 전구체로부터 유도되는 하나 이상의 요소를 포함하는 재료를 기판 상으로 증착하는 단계를 더 제공한다. 일 예에서, 두 개 이상의 화학물 전구체(chemical precursor)가 원자 증착 공정 동안 원형 유동 가스 내로 순차적으로 펄싱된다. 또 다른 예에서, 3개 이상의 전구체가 원자 층 증착 공정 동안 원형 유동 가스 내로 순차적으로 펄싱된다.
The method includes flowing one or more carrier gases through the first and second conduits to form a circular flow gas, exposing the substrate to a circular flow gas, pulsing the one or more precursors into a circular flow gas, Lt; RTI ID = 0.0 > of: < / RTI > one or more elements derived from the above precursors. In one example, two or more chemical precursors are sequentially pulsed into the circular flow gas during the atomic deposition process. In another example, three or more precursors are sequentially pulsed into the circular flow gas during the atomic layer deposition process.

또 다른 실시예에서, 기판 상에 재료를 증착하기 위한 방법이 제공되고, 이 방법은 챔버 바디 및 챔버 리드 조립체를 포함하는 공정 챔버 내의 기판 지지부 상에 기판을 위치시키는 단계를 포함하며, 챔버 리드 조립체는 챔버 리드 조립체의 중앙 부분에서 중심 축선을 따라 연장하는 팽창 채널을 포함한다. 챔버 리드 조립체는 팽창 채널로부터 챔버 리드 조립체의 주변 부분으로 연장하는 테이퍼 형성 바닥면을 더 포함할 수 있으며, 테이퍼 형성 바닥면은 기판 수용면을 실질적으로 커버하도록 하는 형상 및 크기를 가진다. 또한, 챔버 리드 조립체는 제 1 가스 통로에 결합되는 제 1 도관을 더 포함할 수 있고, 제 1 가스 통로는 팽창 채널을 우회하고 팽창 채널 내로 연장하는 다수의 제 1 인렛을 포함하며, 제 2 가스 통로는 팽창 채널을 우회하고, 팽창 채널 내로 연장하는 다수의 제 2 인렛을 포함하며 다수의 제 1 인렛 및 다수의 제 2 인렛은 팽창 채널을 통하여 원형 가스 유동 패턴을 제공하도록 위치된다.
In yet another embodiment, a method is provided for depositing material on a substrate, the method comprising positioning a substrate on a substrate support in a process chamber including a chamber body and a chamber lid assembly, Includes an expansion channel extending along a central axis in a central portion of the chamber lid assembly. The chamber lid assembly may further include a tapered bottom surface extending from the inflation channel to a peripheral portion of the chamber lid assembly, wherein the tapered bottom surface has a shape and size to substantially cover the substrate receiving surface. The chamber lid assembly may further include a first conduit coupled to the first gas passage, wherein the first gas passage includes a plurality of first inlets that bypass the expansion channel and extend into the expansion channel, The passageway includes a plurality of second inlets that bypass the expansion channels and extend into the expansion channels, and the plurality of first inlets and the plurality of second inlets are positioned to provide a circular gas flow pattern through the expansion channels.

상기 방법은 다수의 제 1 인렛 또는 다수의 제 2 인렛을 통하여 하나 이상의 캐리어 가스가 유동함으로써 원형 가스 유동을 형성하는 단계, 원형 유동 가스로 기판을 노출시키는 단계, 원형 유동 가스 내로 하나 이상의 전구체를 펄싱하는 단계, 및 기판 상으로 하나 이상의 전구체로부터 유동된 하나 이상의 요소를 포함하는 재료를 증착하는 단계를 추가로 제공한다. 하나의 예에서, 두 개 이상의 화학물 전구체는 원자 층 증착 공정 동안 원형 유동 가스 내로 순차적으로 펄싱된다. 또 다른 예에서, 3개 이상의 화학물 전구체가 원자 층 증착 공정 동안 원형 유동 가스 내로 순차적으로 펄싱된다.
The method comprises the steps of forming a circular gas flow by flowing one or more carrier gases through a plurality of first inlets or a plurality of second inlets, exposing the substrate with a circular flow gas, pulsing one or more precursors into the circular flow gas And depositing a material comprising at least one element flowing from the at least one precursor onto the substrate. In one example, two or more chemical precursors are sequentially pulsed into the circular flow gas during the atomic layer deposition process. In another example, three or more chemical precursors are sequentially pulsed into the circular flow gas during the atomic layer deposition process.

또 다른 실시예에서, 기판 구조물 위에 재료 층을 증착하기 위한 방법은 제 1 가스 도관을 통하여 제 1 반응물 가스 및 제 1 퍼지 가스를 전달하는 단계를 포함하며 제 1 반응물 가스는 펄스로 제공되고 제 1 퍼지 가스는 연속 유동으로 제공된다. 상기 방법은 제 2 가스 도관을 통하여 제 2 퍼지 및 제 2 반응물 가스를 전달하는 단계를 더 포함하며 제 2 반응물 가스는 펄스로 제공되고 제 2 퍼지 가스는 연속 유동으로 제공된다.
In another embodiment, a method for depositing a material layer over a substrate structure comprises delivering a first reactant gas and a first purge gas through a first gas conduit, wherein the first reactant gas is provided in a pulse and the first The purge gas is provided in a continuous flow. The method further comprises delivering a second purge and a second reactant gas through a second gas conduit, wherein the second reactant gas is provided in pulses and the second purge gas is provided in a continuous flow.

또 다른 실시예에서, 기판 구조물 위에 재료 층을 증착하기 위한 방법이 제공되며, 이 방법은 기판 처리 챔버 내로 하나 이상의 가스를 제공하는 것을 포함하는 기판 처리 챔버 내의 기판으로 가스를 전달하는 단계, 비 단열 팽창을 통하여 가스의 속도를 감소시키는 단계, 기판의 중앙 부분으로 가스를 제공하는 단계, 및 기판의 중앙 부분으로부터 기판의 주변 부분에 걸쳐 반지름방향으로 가스를 지향시키는 단계를 포함한다.
In yet another embodiment, a method is provided for depositing a layer of material over a substrate structure, the method comprising delivering a gas to a substrate in a substrate processing chamber comprising providing at least one gas into the substrate processing chamber, Reducing the velocity of the gas through expansion, providing gas to a central portion of the substrate, and directing the gas in a radial direction across a peripheral portion of the substrate from a central portion of the substrate.

본 발명의 상술된 피쳐가 얻어지고 상세하게 이해되도록, 위에서 간단히 요약된 본 발명이 첨부된 도면에 도시되는 실시예를 참조하여 더욱 상세하게 설명된다.
BRIEF DESCRIPTION OF THE DRAWINGS In order that the above-described features of the present invention may be obtained and understood in detail, the invention briefly summarized above will be described in more detail with reference to embodiments shown in the accompanying drawings.

그러나, 첨부된 도면은 본 발명의 통상적인 실시예만을 설명하며 따라서 본 발명의 범위를 제한하는 것으로 고려되지 않으며 본 발명에 대해 다른 균등한 효과의 실시예를 인정할 수 있다.
It should be understood, however, that the appended drawings illustrate only typical embodiments of the invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.

도 1은 실시예에서 설명된 바와 같은 원자 층 증착을 위해 적용되는 가스 전달 장치를 포함하는 공정 챔버의 개략적인 단면도이고,
도 2는 도 1의 챔버 리드의 팽창 채널의 단면도이고,
도 3은 도 1의 챔버의 팽창 채널의 단면도이고,
도 4는 도 1의 챔버 리드의 바닥면과 기판의 표면 사이의 두 개의 상이한 위치에서 가스의 유동을 도시하는 개략적인 단면도이고,
도 5는 일 실시예로 설명되는 바와 같이 단일 가스 유동을 수용하도록 하는 팽창 채널의 단면도이고,
도 6은 일 실시예로 설명되는 바와 같이 3개의 가스 유동을 수용하도록 하는 팽창 채널의 단면도이고,
도 7은 또 다른 실시예로 설명되는 바와 같이 원자 층 증착을 위해 적용되는 가스 전달 장치를 포함하는 공정 챔버의 개략적인 단면도이고,
도 8은 또 다른 실시예에서 설명되는 바와 같이 원자 층 증착을 위해 적용되는 가스 전달 장치를 포함하는 공정 챔버의 개략적인 단면도이고,
도 9a 내지 도 9b는 다른 실시예에서 설명되는 바와 같이 챔버 리드 쵸크의 개략적인 단면도이고,
도 10a 내지 도 10f는 또 다른 실시예로 설명되는 바와 같이 원자 층 층작을 위해 적용된 공정 챔버 리드 조립체의 개략도이고,
도 11a 내지 도 11c는 또 다른 실시예로 설명되는 바와 같이 원자 층 증착을 위해 적용되는 가스 전달 장치 및 리드 조립체를 포함하는 공정 챔버의 개략적인 단면도이고,
도 12a 내지 도 12e는 또 다른 실시예로 설명되는 바와 같이 원자 층 증착을 위해 적용되는 공정 챔버 리드 조립체의 개략도이고,
도 13a 내지 도 13c는 또 다른 실시예로 설명되는 바와 같이 도 12a 내지 도 12e의 챔버 리드 조립체의 다른 개략도이고,
도 14a 내지 도 14c는 실시예로 설명되는 바와 같이, 도 12a 내지 도 13c의 공정 챔버 리드 조립체 내에 가스 유동 패턴 및 가스 주입 조립체의 개략도이고,
도 15a 내지 도 15c는 또 다른 실시예로 설명되는 바와 같이 원자 층 증착을 위해 적용되는 가스 전달 장치 및 리드 조립체를 포함하는 공정 챔버의 개략도이고,
도 16a 내지 도 16e는 또 다른 실시예로 설명되는 바와 같이 원자 층 증착을 위해 적용되는 공정 챔버 리드 조립체의 개략도이고,
도 17a 내지 도 17d는 또 다른 실시예로 설명되는 바와 같이 원자 층 증착을 위해 적용되는 가스 전달 장치 및 리드 조립체를 포함하는 공정 챔버의 개략적인 단면도이고,
도 18a 내지 도 18h는 선택적인 실시예로 설명되는 바와 같이 원자 층 증착을 위해 적용되는 챔버 리드 캡의 개략도이다.
1 is a schematic cross-sectional view of a process chamber including a gas delivery apparatus adapted for the atomic layer deposition as described in the Example,
Figure 2 is a cross-sectional view of the expansion channel of the chamber lid of Figure 1,
Figure 3 is a cross-sectional view of the expansion channel of the chamber of Figure 1,
Figure 4 is a schematic cross-sectional view showing the flow of gas at two different locations between the bottom surface of the chamber lid of Figure 1 and the surface of the substrate,
5 is a cross-sectional view of the inflation channel to receive a single gas flow, as described in an embodiment,
6 is a cross-sectional view of the expansion channel adapted to receive three gas flows as described in an embodiment,
7 is a schematic cross-sectional view of yet as will be described in other embodiments the processing chamber including a gas delivery apparatus adapted for atomic layer deposition,
8 is a schematic cross-sectional view of yet as will be described in other embodiments the processing chamber including a gas delivery apparatus adapted for atomic layer deposition,
Figure 9a to Figure 9b is a schematic cross-sectional view of a chamber lid choke as will be described in another embodiment,
And Figure 10a to Figure 10f is a schematic view of process chamber lid assembly applied to smaller atomic layer layer, as described in another embodiment,
11A-11C are schematic cross-sectional views of a process chamber including a gas delivery device and a lid assembly, which are applied for atomic layer deposition as described in yet another embodiment,
FIG 12a to FIG. 12e is again as will be described in another embodiment schematic view of process chamber lid assembly adapted for atomic layer deposition,
And Figure 13a to Figure 13c is a schematic view of yet another chamber lid assembly of the alternative embodiment Figure 12a to Figure 12e, as described in,
Figs. 14A-14C are schematic views of gas flow patterns and gas injection assemblies in the process chamber lid assembly of Figs. 12A-13C,
15A-15C are schematic views of a process chamber including a gas delivery device and a lid assembly applied for atomic layer deposition as described in yet another embodiment,
And it Figures 16a through 16e are also as described in another embodiment schematic view of process chamber lid assembly adapted for atomic layer deposition,
And Figure 17a to Figure 17d is also a schematic cross-sectional view of another embodiment as described in the process chamber including a gas delivery device, and the lid assembly to be applied to the atomic layer deposition,
Figure 18a to Figure 18h is a schematic view of a chamber lid cap adapted for atomic layer deposition, as described in an alternative embodiment.

본 발명의 실시예는 원자 층 증착(ALD) 공정 동안 재료를 증착하기 위해 이용될 수 있는 장치 및 방법을 제공한다. 실시예들은 ALD 공정 챔버 및 팽창 채널 리드 조립체, 수렴-발산 리드 조립체, 다중 주입 리드 조립체, 또는 연장된 캡 리드 조립체를 포함하는 가스 전달 시스템을 포함한다. 다른 실시예들은 ALD 공정 동안 이러한 가스 전달 시스템을 이용하여 재료를 증착하는 위한 방법을 제공한다.
Embodiments of the present invention provide apparatus and methods that can be used to deposit materials during an atomic layer deposition (ALD) process. Embodiments include a gas delivery system including an ALD process chamber and an expansion channel lid assembly, a convergent-diverging lid assembly, multiple injection lid assemblies, or an extended cap lid assembly. Other embodiments provide a method for depositing material using such a gas delivery system during an ALD process.

팽창 채널 리드 조립체Expansion channel lead assembly

도 1은 ALD 또는 순차적 층 적층을 위해 적용되는 가스 전달 시스템(230)을 포함하는 공정 챔버(200)의 일 실시예의 개략적인 단면도이다. 공정 챔버(200)는 측벽(204) 및 바닥부(206)를 가지는 챔버 바디(202)를 포함한다. 공정 챔버(200) 내의 슬릿 밸브(208)는 200 mm 또는 300 mm 반도체 웨이퍼 또는 유리 기판과 같은 기판(210)을 공정 챔버(200)로 및 공정 챔버로부터 전달 및 회수하기 위한 로봇(도시안됨)을 위한 접근을 제공한다.
Figure 1 is a schematic cross-sectional view of one embodiment of a process chamber 200 that includes a gas delivery system 230 applied for ALD or sequential layer deposition. The process chamber 200 includes a chamber body 202 having a sidewall 204 and a bottom 206. The slit valve 208 in the process chamber 200 is connected to a robot 210 (not shown) for transferring and retrieving a substrate 210 such as a 200 mm or 300 mm semiconductor wafer or glass substrate to and from the process chamber 200 .

기판 지지부(212)는 공정 챔버(200) 내의 기판 수용면(211) 상에 기판(210)을 지지한다. 기판 지지부(212)는 리프트 모터(214)에 장착되어 기판 지지부(212) 및 그 위의 기판(210)을 상승 및 하강시킨다. 리프트 모터(218)에 연결되는 리프트판(216)은 공정 챔버(200)에 장착되어 기판 지지부(212)를 통하여 가동되게 배치되는 리프트 핀(220)을 상승 및 하강시킨다. 리프트 핀(220)은 기판 지지부(212) 위로 기판(210)을 상승 및 하강시킨다. 기판 지지부(212)는 처리 동안 기판 지지부(212)에 기판(210)을 지지하기 위한 진공 척(도시안됨), 정전 척(도시안됨), 또는 클램프 링(도시안됨)을 포함할 수 있다.
The substrate support 212 supports the substrate 210 on the substrate receiving surface 211 in the process chamber 200. The substrate support 212 is mounted on a lift motor 214 to raise and lower the substrate support 212 and the substrate 210 thereon. A lift plate 216 connected to the lift motor 218 lifts and lowers the lift pins 220 mounted on the process chamber 200 and movably disposed through the substrate support 212. The lift pins 220 raise and lower the substrate 210 above the substrate support 212. The substrate support 212 may include a vacuum chuck (not shown), an electrostatic chuck (not shown), or a clamp ring (not shown) for supporting the substrate 210 on the substrate support 212 during processing.

기판 지지부(212)는 그 위에 배치되는 기판(210)을 가열하기 위해 가열될 수 있다. 예를 들면, 기판 지지부(212)는 저항성 히터(도시안됨)와 같은 매립된 가열 요소를 이용하여 가열될 수 있거나, 기판 지지부(212) 위에 배치되는 가열 램프(도시안됨)와 같은, 방사형 히트(radiant heat)를 이용하여 가열될 수 있다. 퍼지 링(222)은 기판 지지부(212) 상에 배치될 수 있어 퍼지 채널(224)을 형성하도록 하여 기판(210)의 주변 부분으로 퍼지 가스를 제공하여 그 위의 증착을 방지하도록 한다.
The substrate support 212 may be heated to heat the substrate 210 disposed thereon. For example, the substrate support 212 can be heated using a buried heating element, such as a resistive heater (not shown), or a radial heat (not shown), such as a heating lamp radiant heat < / RTI > The purge ring 222 may be disposed on the substrate support 212 to form a purge channel 224 to provide purge gas to the peripheral portion of the substrate 210 to prevent deposition thereon.

가스 전달 시스템(230)은 공정 가스 및/또는 퍼지 가스와 같은, 가스를 공정 챔버(200)로 제공하도록 챔버 바디(202)의 상부에 배치된다. 진공 시스템(278)은 펌핑 채널과 소통되어 공정 챔버(200)로부터 소정의 원하는 가스를 배출하고 원하는 압력 또는 공정 챔버(200)의 펌핑 존(266) 내부의 원하는 압력 범위를 유지할 수 있도록 한다.
The gas delivery system 230 is disposed above the chamber body 202 to provide gas to the process chamber 200, such as process gas and / or purge gas. Vacuum system 278 is in communication with the pumping channel to discharge any desired gas from process chamber 200 and to maintain a desired pressure or desired pressure range within pumping zone 266 of process chamber 200.

일 실시예에서, 가스 전달 시스템(230)은 챔버 리드 조립체(232)를 포함한다. 챔버 리드 조립체(232)는 챔버 리드 조립체(232)의 중앙 부분으로부터 연장하는 팽창 채널(234) 및 팽창 채널(234)로부터 챔버 리드 조립체(232)의 주변 부분으로 연장하는 하부면(260)을 포함한다. 하부면(260)은 기판 지지부(212) 상에 배치되는 기판(210)을 실질적으로 커버하는 크기 및 형상을 가진다. 팽창 채널(234)은 함께 및/또는 개별적으로 제공될 수 있는, 두 개의 유사한 쌍의 밸브들(242a/252a, 242b/252b)로부터 가스 유동을 제공하도록 가스 인렛(236a, 236b)을 가진다.
In one embodiment, the gas delivery system 230 includes a chamber lid assembly 232. The chamber lid assembly 232 includes an expansion channel 234 extending from a central portion of the chamber lid assembly 232 and a lower surface 260 extending from the expansion channel 234 to a peripheral portion of the chamber lid assembly 232 do. The bottom surface 260 has a size and shape that substantially covers the substrate 210 disposed on the substrate support 212. The expansion channels 234 have gas inlets 236a, 236b to provide gas flow from two similar pairs of valves 242a / 252a, 242b / 252b, which may be provided together and / or separately.

하나의 구성에서, 밸브(242a) 및 밸브(242b)는 바람직하게는 동일한 퍼지 가스 소스에 결합되는 개별적인 반응물 가스 소스에 결합된다. 예를 들면, 밸브(242a)는 반응물 가스 소스(238)에 결합되고 밸브(242b)는 반응물 가스 소스(239)에 결합되고, 양 밸브(242a, 242b)는 퍼지 가스 소스(240)에 결합된다. 각각의 밸브(242a, 242b)는 밸브 시트 조립체(244a, 244b)를 가지는 전달 라인(243a, 243b)을 포함하며 각각의 밸브(252a, 252b)는 밸브 시트 조립체(246a, 246b)를 가지는, 퍼지 라인(245a, 245b)을 포함한다. 전달 라인(243a, 243b)은 반응물 가스 소스(238, 239)와 유체 소통되며, 팽창 채널(234)의 가스 인렛(236a, 236b)과 유체 소통한다. 전달 라인(243a, 243b)의 밸브 시트 조립체(244a, 244b)는 반응물 가스 소소(238, 239)로부터 팽창 채널(234)로의 반응물 가스의 유동을 제어한다. 퍼지 라인(245a, 245b)은 퍼지 가스 소스(240)와 유체 소통되고 전달 라인(243a, 243b)의 밸브 시트 조립체(244a, 244b)의 하류부의 전달 라인(243a, 243b)과 교차한다. 퍼지 라인(245a, 245b)의 밸브 시트 조립체(246a, 246b)는 퍼지 가스 소스(240)로붕터 팽창 채널(234)로의 퍼지 가스의 유동을 제어한다. 캐리어 가스는 반응물 가스 소스(238, 239)로부터 반응물 가스를 전달하기 위해 이용되며, 바람직하게는 동일한 가스가 캐리어 가스 및 퍼지 가스로서 이용된다(즉, 캐리어 가스 및 퍼지 가스로서 이용되는 아르곤 가스).
In one configuration, valve 242a and valve 242b are preferably coupled to separate reactant gas sources coupled to the same purge gas source. For example, valve 242a is coupled to reactant gas source 238, valve 242b is coupled to reactant gas source 239, and both valves 242a and 242b are coupled to purge gas source 240 . Each valve 242a and 242b includes delivery lines 243a and 243b with valve seat assemblies 244a and 244b and each valve 252a and 252b includes a valve seat assembly 246a and 246b, Lines 245a and 245b. The delivery lines 243a and 243b are in fluid communication with the reagent gas sources 238 and 239 and are in fluid communication with the gas inlet 236a and 236b of the expansion channel 234. The valve seat assemblies 244a and 244b of the delivery lines 243a and 243b control the flow of reactant gas from the reactant gas sources 238 and 239 to the expansion channels 234. The purge lines 245a and 245b are in fluid communication with the purge gas source 240 and intersect the delivery lines 243a and 243b downstream of the valve seat assemblies 244a and 244b of the delivery lines 243a and 243b. The valve seat assemblies 246a, 246b of the purge lines 245a, 245b control the flow of purge gas to the purge gas source 240 into the vortex expansion channels 234. The carrier gas is used to transfer the reactant gas from the reactant gas sources 238 and 239, preferably the same gas is used as the carrier gas and the purge gas (i.e., the argon gas used as the carrier gas and the purge gas).

각각의 밸브 시트 조립체(244a, 244b, 246a, 246b)는 다이어프램(도시안됨) 및 밸브 시트(도시안됨)를 포함할 수 있다. 다이어프램은 바이어싱되어 개방 또는 폐쇄될 수 있고 각각 작동적으로 개방 또는 폐쇄될 수 있다. 다이어프램은 공기압으로 작동될 수 있거나 전기적으로 작동될 수 있다. 공기압으로 작동되는 밸브는 후지킨, 아이엔씨.(Fujikin, Inc.) 및 베리플로 디비젼, 파커 하니핀, 코포레이티드(Veriflo Division, Parker Hannifin, Corp.)로부터 입수가능한 공기압으로 작동되는 밸브를 포함한다. 전기적으로 작동되는 밸브는 후지킨, 아이엔씨.로부터 입수가능한 전기적으로 작동되는 밸브를 포함한다. 예를 들면, 이용될 수 있는 ALD 밸브는 후지킨 모델 제 FPR-UDDFAT-21-6.35-PI-ASN호 또는 후지킨 모델 호제 FPR-NHDT-21-6.35-PA-AYT호이다. 프로그램가능한 로직 제어기(248a, 248b)는 밸브(242a, 242b)에 결합될 수 있어 밸브(242a, 242b)의 밸브 시트 조립체(244a, 244b, 246a, 246b)의 다이어프램의 작동을 제어하도록 한다. 공기압적으로 작동되는 밸브는 약 0.020 초 만큼 적은 시간 주기로 가스의 펄스를 제공할 수 있다. 전기적으로 작동되는 밸브는 약 0.005초 만큼 적은 시간 주기로 가스의 펄스를 제공할 수 있다. 전기적으로 작동되는 밸브는 통상적으로 밸브와 프로그램가능한 로직 제어기 사이에 결합되는 구동기의 이용을 요구한다.
Each valve seat assembly 244a, 244b, 246a, 246b may include a diaphragm (not shown) and a valve seat (not shown). The diaphragm may be biased to open or closed and may each be operatively open or closed. The diaphragm may be actuated pneumatically or electrically. The pneumatically operated valves include pneumatically operated valves available from Fujikin, Inc. and Veriflo Division, Parker Hannifin, Corp., and Beriflow Division, Parker Hannifin, Corp. do. Electrically operated valves include electrically actuated valves available from Fuzikin, IN. For example, ALD valves that may be used are the Fujitsu Model FPR-UDDFAT-21-6.35-PI-ASN or Fujiche Model FPR-NHDT-21-6.35-PA-AYT. Programmable logic controllers 248a and 248b may be coupled to valves 242a and 242b to control operation of the diaphragms of valve seat assemblies 244a, 244b, 246a, and 246b of valves 242a and 242b. A pneumatically actuated valve can provide a pulse of gas in a time period as small as about 0.020 seconds. Electrically operated valves can provide a pulse of gas in a time period as little as about 0.005 seconds. Electrically operated valves typically require the use of a driver coupled between the valve and a programmable logic controller.

각각의 밸브(242a, 242b)는 밸브 시트 조립체(244a, 244b)가 폐쇄될 때 전달 라인(243a, 243b)으로부터 반응물 가스의 플러싱을 할 수 있는 제로 데드 체적(zero dead volume)일 수 있다. 예를 들면, 퍼지 라인(245a, 245b)은 전달 라인(243a, 243b)의 밸브 시트 조립체(244a, 244b)에 인접하여 위치될 수 있다. 밸브 시트 조립체(244a, 244b)가 폐쇄될 때, 퍼지 라인(245a, 245b)은 전달 라인(243a, 243b)을 플러시하기 위하여 퍼지 가스를 제공할 수 있다. 도시된 실시예에서, 퍼지 라인(245a, 245b)은 전달 라인(243a, 243b)의 밸브 시트 조립체(244a, 244b)로부터 약간 이격되어 위치될 수 있어 퍼지 가스가 개방될 때 밸브 시트 조립체(244a, 244b) 내로 직접 전달되지 않는다. 여기서 이용되는 제로 데드 용적 밸브는 무시가능한 데드 용적(즉, 제로 데드 용적이 필요하지 않은)을 가지는 밸브로서 형성된다.
Each valve 242a and 242b may be a zero dead volume capable of flushing the reactant gas from the delivery lines 243a and 243b when the valve seat assemblies 244a and 244b are closed. For example, purge lines 245a and 245b may be positioned adjacent valve seat assemblies 244a and 244b of transfer lines 243a and 243b. When valve seat assemblies 244a and 244b are closed, purge lines 245a and 245b may provide purge gas to flush transfer lines 243a and 243b. The purge lines 245a and 245b may be positioned slightly spaced from the valve seat assemblies 244a and 244b of the transfer lines 243a and 243b so that when the purge gas is opened the valve seat assemblies 244a, 244b. The zero dead volume valve used herein is formed as a valve having a negligible dead volume (i.e., zero dead volume is not required).

각각의 밸브 쌍(242a/252a, 242b/2152b)은 반응물 가스 및 퍼지 가스의 조합된 가스 유동 및/또는 개별 가스 유동을 제공하기 위하여 적용될 수 있다. 밸브 쌍(242a/252a)을 참조하면, 반응물 가스 및 퍼지 가스의 조합된 가스 유동의 일 예는 전달 라인(243a)을 통한 반응물 가스 소스(238)로부터 반응물 가스의 펄스 및 퍼지 라인(245a)을 통한 퍼지 가스 소스(240)로부터 퍼지 가스의 연속 유동을 포함한다. 퍼지 가스의 연속 유동은 퍼지 라인(245a)의 밸브 시트 조립체(246a)의 다이어프램을 개방함으로써 제공될 수 있다. 반응물 가스 소스(238)로부터의 반응물 가스의 펄스는 전달 라인(243a)의 밸브 시트 조립체(244a)의 다이어프램을 개방 및 폐쇄함으로써 제공될 수 있다. 밸브 쌍(242a/252a)을 참조하면, 반응물 가스 및 퍼지 가스의 개별 가스 유동의 일 예는 퍼지 라인(245a)을 통한 퍼지 가스 소스(240)로부터의 퍼지 가스의 펄스 및 전달 라인(243a)을 통한 반응물 가스 소스(238)로부터의 반응물 가스의 펄스를 포함한다. 퍼지 가스의 펄스는 퍼지 라인(245a)의 밸브 시트 조립체(246a)의 다이어프램의 개방 및 폐쇄에 의해 제공될 수 있다. 반응물 가스 소스(238)로부터의 반응물 가스의 펄스는 전달 라인(243a)의 밸브 시트 조립체(244a)의 다이어프램의 개방 및 폐쇄에 의해 제공될 수 있다.
Each valve pair 242a / 252a, 242b / 2152b may be applied to provide a combined gas flow of reactant gas and purge gas and / or individual gas flow. Referring to valve pair 242a / 252a, one example of a combined gas flow of reactant gas and purge gas is a pulse of reactive gas and purge line 245a from reactant gas source 238 through transfer line 243a Lt; RTI ID = 0.0 > 240 < / RTI > Continuous flow of purge gas may be provided by opening the diaphragm of valve seat assembly 246a of purge line 245a. A pulse of reagent gas from the reactant gas source 238 may be provided by opening and closing the diaphragm of the valve seat assembly 244a of the transfer line 243a. Referring to the valve pair 242a / 252a, an example of a separate gas flow of reactant gas and purge gas may include a pulse of purge gas from a purge gas source 240 through a purge line 245a and a transfer line 243a Lt; RTI ID = 0.0 > 238 < / RTI > A pulse of purge gas may be provided by opening and closing the diaphragm of valve seat assembly 246a of purge line 245a. A pulse of reagent gas from the reactant gas source 238 may be provided by opening and closing the diaphragm of the valve seat assembly 244a of the transfer line 243a.

밸브(242a, 242b)의 전달 라인(243a, 243b)은 가스 도관(250a, 250b)을 통하여 가스 인렛(236a, 236b)으로 결합될 수 있다. 가스 도관(250a, 250b)은 밸브(242a, 242b)와 통합될 수 있거나 분리될 수 있다. 하나의 양태에서, 밸브(242a, 242b)는 밸브(242a, 242b)와 가스 인렛(236a, 236b) 사이의 가스 도곤(250a, 250b) 및 전달 라인(243a, 243b)의 소정의 불필요한 용적을 감소시키도록 팽창 채널(234)에 매우 근접하게 결합된다.
The delivery lines 243a and 243b of the valves 242a and 242b may be coupled to the gas inlet 236a and 236b through the gas conduits 250a and 250b. The gas conduits 250a, 250b may be integrated with or separate from the valves 242a, 242b. In one aspect, valves 242a, 242b reduce certain undesired volumes of gas dosages 250a, 250b and transfer lines 243a, 243b between valves 242a, 242b and gaslets 236a, 236b Lt; RTI ID = 0.0 > 234 < / RTI >

도 3을 참조하면, 각각의 가스 도관(250a 또는 250b) 및 인렛(236a 또는 236b)은 팽창 채널(234)의 종방향 축선(290)에 대해 소정의 관계로 위치될 수 있다. 각각의 가스 도관(250a 또는 250b) 및 가스 인렛(236a, 236b)은 바람직하게는 종방향 축선(290)에 대해 수직하게 위치되거나(+β, -β = 90°) 종방향 축선(290)에 대해 가스 도관(250a 및 250b)의 중앙선(302a, 302b)로부터 각도 +β 또는 각도 -β로 위치된다(0°< +β <90°, 0°< -β <90°). 따라서, 가스 도관(250a 및 250b)은 도 3에 도시된 바와 같이 종방향 축선(290)에 대해 수직방향으로 수직하게 위치될 수 있으며, 각도 +β로 하방으로 각도를 형성할 수 있거나 각도 -β로 상방으로 각도를 형성할 수 있어 기판(210)의 표면 상에 흡수되는 반응물로부터 분출 가능성을 감소시키는 기판(210)을 향하여 바로 하방이 아닌 팽창 채널(234)의 벽을 향한 가스 유동을 제공하도록 한다. 또한, 가스 도관(250a, 250b)의 직경은 밸브(242a, 242b)의 전달 라인(243a, 243b)으로부터 가스 인렛(236a, 236b)으로 증가될 수 있어 팽창 채널(234) 내로 유입 전에 가스 유동의 속도를 감소시킨다. 예를 들면, 가스 도관(250a, 250b)은 점차적으로 증가되는 내경을 포함할 수 있거나 내경이 증가하는 다수의 연결 도관을 포함할 수 있다.
Referring to FIG. 3, each gas conduit 250a or 250b and inlet 236a or 236b may be positioned in a predetermined relationship to the longitudinal axis 290 of the expansion channel 234. Each gas conduit 250a or 250b and the gas inlet 236a or 236b are preferably positioned vertically relative to the longitudinal axis 290 or at a longitudinal axis 290 (0 ° <+ β <90 °, 0 ° <-β <90 °) from the center lines 302a and 302b of the gas conduits 250a and 250b. Thus, the gas conduits 250a and 250b may be positioned vertically perpendicular to the longitudinal axis 290, as shown in Figure 3, and may form an angle downward at angle + To provide a gas flow towards the wall of the expansion channel 234 that is not directly downward toward the substrate 210 that reduces the likelihood of ejection from reactants that are adsorbed onto the surface of the substrate 210 do. The diameter of the gas conduits 250a and 250b can also be increased from the delivery lines 243a and 243b of the valves 242a and 242b to the gas inlet 236a and 236b, Reduces speed. For example, gas conduits 250a, 250b may include a gradually increasing inner diameter or may include a plurality of connecting conduits with an increased inner diameter.

도 1을 참조하면, 팽창 채널(234)은 상부(237)로부터 챔버 리드 조립체(232)의 하부면(260)에 인접한 팽창 채널(234)의 하부(235)로 증가되는 내경을 가지는 채널을 포함한다. 하나의 특정 실시예에서, 200 mm 직경 기판을 처리하기 위해 적용되는 챔버에 대한 팽창 채널(234)의 내경은 팽창 채널(234)의 상부(237)에서 약 0.2 인치 내지 약 1.0 인치, 바람직하게는 약 0.3 인치 내지 약 0.9 인치, 및 더욱 바람직하게는 0.3 인치 내지 약 0.5 인치이며, 팽창 채널(234)의 하부(235)에서 약 0.5 인치 내지 약 3.0 인치, 바람직하게는 약 0.75 인치 내지 약 2.5 인치, 및 더욱 바람직하게는 약 1.1 인치 내지 약 2.0 인치이다. 또 다른 특정 실시예에서, 300 mm 직경 기판을 처리하기 위해 적용되는 챔버용 팽창 채널(234)의 내경은 팽창 채널(234)의 상부(237)에서 약 0.2 인치 내지 약 1.0 인치, 바람직하게는 약 0.3 인치 내지 약 0.9 인치, 및 가장 바람직하게는 약 0.3 인치 내지 약 0.5 인치이며, 팽창 채널(234)의 하부(235)에서 약 0.5 인치 내지 약 3.0 인치, 바람직하게는 약 0.75 인치 내지 약 2.5 인치, 및 가장 바람직하게는 약 1.2 인치 내지 약 2.2 인치이다. 대체로, 상기 치수는 약 500 sccm 내지 약 3,000 sccm 사이의 총 가스 유동을 제공하도록 적용되는 팽창 채널에 적용된다. 다른 특정 실시예에서, 치수는 소정의 가스 유동을 수용하도록 변경될 수 있다. 대체로, 더 많은 가스 유동은 더 큰 직경 팽창 채널을 요구할 것이다. 일 실시예에서, 팽창 채널(234)은 절두 원추형 콘(절두 원추형 콘과 닮은 형상을 포함하여)으로서 형성될 수 있다. 가스가 팽창 채널(234)의 벽을 향하여 또는 기판(210)을 향하여 하방으로 직접 제공되어, 가스가 가스의 팽창에 의한 팽창 채널(234)을 통하여 이동할 때 가스 유동의 속도가 감소한다. 가스 유동의 속도의 감소는 가스 유동이 기판(210)의 표면 상에 흡수되는 반응물로부터 분출될 가능성을 감소시킨다.
Referring to Figure 1, the expansion channel 234 includes a channel having an inner diameter that increases from the upper portion 237 to the lower portion 235 of the expansion channel 234 adjacent the lower surface 260 of the chamber lid assembly 232 do. In one particular embodiment, the inner diameter of the expansion channel 234 for a chamber applied to process a 200 mm diameter substrate is about 0.2 inches to about 1.0 inches at the top 237 of the expansion channel 234, From about 0.3 inches to about 0.9 inches, and more preferably from 0.3 inches to about 0.5 inches, and from about 0.5 inches to about 3.0 inches at the bottom 235 of the expansion channel 234, preferably from about 0.75 inches to about 2.5 inches , And more preferably from about 1.1 inches to about 2.0 inches. In another particular embodiment, the inner diameter of the expansion channel 234 for a chamber applied to process a 300 mm diameter substrate is about 0.2 inches to about 1.0 inches at the top 237 of the expansion channel 234, From about 0.3 inches to about 0.9 inches and most preferably from about 0.3 inches to about 0.5 inches and from about 0.5 inches to about 3.0 inches at the bottom 235 of the expansion channel 234, , And most preferably from about 1.2 inches to about 2.2 inches. Typically, the dimensions are applied to an expansion channel applied to provide a total gas flow between about 500 sccm and about 3,000 sccm. In another specific embodiment, the dimensions may be modified to accommodate a predetermined gas flow. In general, more gas flow will require larger diameter expansion channels. In one embodiment, the expansion channel 234 may be formed as a truncated conical cone (including a shape resembling a truncated conical cone). Gas is provided directly toward the wall of the expansion channel 234 or down toward the substrate 210 such that the velocity of the gas flow decreases as the gas moves through the expansion channel 234 due to the expansion of the gas. The reduction in the velocity of the gas flow reduces the likelihood that the gas flow will be ejected from the reactants being adsorbed on the surface of the substrate 210.

이론에 구속되지 않고, 팽창 채널(234)의 상부(237)로부터 하부(235)로 점차적으로 증가하는, 팽창 채널(234)의 직경은 가스의 온도를 제어하는, 팽창 채널(234)을 통한 가스의 적은 단열 팽창을 허용한다. 예를 들면, 가스 인렛(236a, 236b)을 통한 팽창 채널(234) 내로 전달되는 가슨는 가스의 온도의 하강을 초래할 수 있어 가스의 응축 및 소적(droplet)의 형성을 초래할 수 있다. 한편, 본 발명의 실시예들에 따른 점차적인 팽창 채널(234)은 가스의 적은 단열 팽창을 제공하는 것으로 믿어진다. 따라서, 더 많은 열이 가스로 또는 가스로부터 전달될 수 있어, 가스의 온도가 가스의 주변 온도를 제어함으로써 더욱 용이하게 제어될 수 있다(즉, 챔버 리드 조립체(232)의 온도를 제어). 점차적인 팽창 채널(234)은 테이퍼 형성 직선형 표면, 오목형 표면, 볼록형 표면, 또는 이들의 조합과 같은, 하나 또는 그 이상의 테이퍼 형성 내부면을 포함할 수 있거나 하나 또는 그 이상의 테이퍼 형성 내부면의 섹션을 포함할 수 있다(즉, 테이퍼 형성 부분 및 테이퍼를 형성하지 않은 부분).
Without being bound by theory, the diameter of the expansion channel 234, which gradually increases from the top 237 to the bottom 235 of the expansion channel 234, is controlled by the temperature of the gas through the expansion channel 234, Lt; RTI ID = 0.0 &gt; adiabatic &lt; / RTI &gt; For example, the gasses delivered into the expansion channels 234 through the gas inlets 236a, 236b may result in a lowering of the temperature of the gas, resulting in the condensation of gases and the formation of droplets. On the other hand, gradual expansion channels 234 in accordance with embodiments of the present invention are believed to provide low adiabatic expansion of the gas. Thus, more heat can be transferred to or from the gas, so that the temperature of the gas can be more easily controlled (i.e., controlling the temperature of the chamber lid assembly 232) by controlling the ambient temperature of the gas. The gradual expansion channel 234 may include one or more tapered inner surfaces, such as a tapered straight surface, a concave surface, a convex surface, or a combination thereof, or may include one or more tapered inner surface sections (I.e., a portion that does not form a tapered portion and a tapered portion).

일 실시예에서, 가스 인렛(236a, 236b)은 팽창 채널(234)의 상부(237)에 인접하여 위치된다. 다른 실시예에서, 하나 또는 그 이상의 가스 인렛(236a, 236b)은 상부(237)와 하부(235) 사이의 팽창 채널(234)의 길이를 따라 위치될 수 있다.
In one embodiment, the gas inlets 236a, 236b are positioned adjacent the top 237 of the expansion channel 234. One or more gas inlets 236a and 236b may be located along the length of the expansion channel 234 between the top 237 and the bottom 235. In other embodiments,

도 2는 도 1의 챔버 리드 조립체(232)의 팽창 채널(234)의 일 실시예의 단면도이다. 각각의 가스 도관(250a 또는 250b)은 가스 도관(250a 및 250b)의 중앙선(302a, 302b)으로부터 및 팽창 채널(234)의 중앙으로부터 반경 라인(304)으로부터 일정한 각도(α)에 위치할 수 있다. 바람직하게는 일정한 각도(α)(즉, α>0°일 때)에서 위치하는 가스 도관(250a 및 250b)의 유입은 가스가 화살표(310a 및 310b)에 의해 도시된 바와 같이 원 방향으로 유동하도록 할 수 있다. 팽창 채널의 벽 상으로 바로 직선형으로 마주하는 각도(α)로 가스를 제공함으로써(즉, α=0°일 때) 난류 유동이 아닌 팽창 채널을 통한 더 많은 층류 유동을 제공하도록 한다. 팽창 채널(234)을 통한 층류 유동은 팽창 채널(234)의 내부면 및 챔버 리드 조립체(232)의 외측면의 개선된 퍼징을 초래한다. 비교시, 난류 유동은 팽창 채널(234)의 내부면 및 다른 표면을 가로지르는 균일한 유동이 아닐 수 있으며 다른 표면은 가스 유동이 없는 데드 스폿 또는 정체 스폿을 포함할 수 있다. 하나의 양태에서, 가스 도관(250a, 250b) 및 대응하는 가스 인렛(236a, 236b)은 서로로부터 이격되어 동일한 원 방향으로(즉, 시계 방향 또는 반시계 방향) 유동을 지향시킬 수 있다.
FIG. 2 is a cross-sectional view of one embodiment of the expansion channel 234 of the chamber lid assembly 232 of FIG. Each gas conduit 250a or 250b may be positioned at a constant angle a from a centerline 302a and 302b of the gas conduits 250a and 250b and a radius line 304 from the center of the expansion channel 234 . The introduction of the gas conduits 250a and 250b, preferably located at a constant angle a (i.e., when > 0 °), causes the gas to flow in the circular direction as shown by the arrows 310a and 310b can do. Thereby providing more laminar flow through the expansion channel than by turbulent flow by providing the gas at an angle (?) Directly linearly opposite the wall of the expansion channel (i.e., when? = 0). Laminar flow through the expansion channel 234 results in improved purging of the inner surface of the expansion channel 234 and the outer surface of the chamber lid assembly 232. In comparison, the turbulent flow may not be a uniform flow across the inner surface and other surfaces of the expansion channel 234 and the other surface may include dead spots or stagnant spots with no gas flow. In one embodiment, the gas conduits 250a, 250b and the corresponding gas inlet 236a, 236b may be oriented away from one another in the same circular direction (i.e., clockwise or counterclockwise) flow.

이론에 구속되지 않고, 도 3은 두 개의 가스 유동의 단순화된 표ㅕ현을 도시하는 챔버 리드 조립체(232)의 팽창 채널(234)의 단면도이다. 비록 팽창 채널(234)을 통한 정확한 유동 패턴이 도시되지 않았지만, 원형 유동(도 2, 화살표(310a 및 310b))이 보텍스 유동, 헬릭스 유동, 스파이럴 유동, 스월 유동, 트월 유동, 트위스트 유동, 코일 유동, 코크스크류 유동(corkscrew flow), 컬 유동(curl flow), 월풀 유동, 이들의 유도형 또는 이들의 조합형과 같은 원형 유동 패턴을 가지고 화살표(402a, 402b)(앞으로, " 보텍스 " 유동(402))에 의해 도시된 바와 같은 팽창 채널(234)을 통하여 이동할 수 있다.
Without being bound by theory, FIG. 3 is a cross-sectional view of the expansion channel 234 of the chamber lid assembly 232 showing a simplified representation of two gas flows. Although the exact flow pattern through the expansion channel 234 is not shown, it is to be appreciated that the circular flow (FIG. 2, arrows 310a and 310b) can be used to control vortex flow, helix flow, spiral flow, swirl flow, twist flow, 402a, 402b (hereinafter, "vortex" flow 402) with a circular flow pattern such as a corkscrew flow, a curl flow, a whirlpool flow, an induction type thereof, Through the expansion channel 234 as shown by the arrows in FIG.

도 3에 도시된 바와 같이, 원형 유동은 기판(210)으로부터 분리된 구획에 대응되는 " 처리 영역 "에 제공될 수 있다. 하나의 양태에서, 보텍스 유동은 팽창 채널(234)의 내부면에 걸쳐 보텍스 유동 패턴의 스위핑 작용(sweeping action)에 의해 팽창 채널(234)의 더욱 효과적인 퍼지를 설정하도록 할 수 있다.
As shown in FIG. 3, the circular flow can be provided in a "processing region" corresponding to a section separated from the substrate 210. In one embodiment, the vortex flow may cause a more effective purging of the expansion channel 234 by sweeping action of the vortex flow pattern over the interior surface of the expansion channel 234.

일 실시예에서, 가스 인렛(236a, 236b) 및 기판(210) 사이의 거리(410)는 기판(210)의 표면에 걸친 스파이럴 유동이 바람직하지 않을 때 보텍스 유동(402)이 화살표(404)에 의해 도시된 바와 같은 하방 유동으로 분산되도록 충분히 길게 형성된다. 보텍스 유동(402) 및 하방 유동(404)은 챔버 리드 조립체(232)의 표면 및 기판(210)의 표면을 효과적으로 퍼징하는 층류 방식으로 처리된다. 하나의 특별한 실시예에서 팽창 채널(234)의 상부(237)와 기판(210) 사이의 거리(410)의 길이는 약 5인치와 같은, 약 3 인치 내지 약 8 인치, 바람직하게는 약 3.5 인치 내지 약 7 인치, 및 더욱 바람직하게는 약 4 인치 내지 약 6 인치 범위 내에 있다.
In one embodiment, the distance 410 between the gas inlet 236a, 236b and the substrate 210 is such that when a spiral flow across the surface of the substrate 210 is undesirable, Lt; RTI ID = 0.0 &gt; downward &lt; / RTI &gt; The vortex flow 402 and the downward flow 404 are processed in a laminar flow manner that effectively purges the surface of the chamber lid assembly 232 and the surface of the substrate 210. In one particular embodiment, the distance 410 between the top 237 of the expansion channel 234 and the substrate 210 is about 3 inches to about 8 inches, such as about 5 inches, preferably about 3.5 inches, To about 7 inches, and more preferably from about 4 inches to about 6 inches.

도 1을 참조하면, 챔버 리드 조립체(232)의 하부면(260)의 적어도 일 부분은 팽창 채널(234)로부터 챔버 리드 조립체(232)의 주변 부분으로 테이퍼를 형성할 수 있어 팽창 채널(234)로부터 기판(210)의 표면에 걸쳐 개선된 프로파일의 가스 유동을 제공하도록 한다(즉, 기판의 중앙으로부터 기판의 에지로). 하부면(260)은 직선형 표면, 볼록형 표면, 오목형 표면, 이들의 조합형 표면과 같은 하나 또는 그 이상의 테이퍼 형성 표면을 포함할 수 있다. 일 실시예에서, 하부면(260)은 깔때기 형상으로 테이퍼를 형성할 수 있다.
Referring to Figure 1, at least a portion of the lower surface 260 of the chamber lid assembly 232 may form a taper from the expansion channel 234 to the peripheral portion of the chamber lid assembly 232 to form an expansion channel 234, (I.e., from the center of the substrate to the edge of the substrate) over the surface of the substrate 210. Lower surface 260 may include one or more tapered surfaces, such as a straight surface, a convex surface, a concave surface, or a combination thereof. In one embodiment, the lower surface 260 may form a taper in the form of a funnel.

이론에 구속되지 않고, 도 4는 기판(210)의 표면과 챔버 리드 조립체(232)의 하부면(260) 사이의 두 개의 상이한 위치(502, 504)에서 가스의 유동을 나타내는 개략도이다. 소정의 위치에서 가스의 속도는 이론적으로 아래 방정식에 의해 결정된다.
Without being bound by theory, Figure 4 is a schematic diagram illustrating the flow of gas at two different locations 502, 504 between the surface of the substrate 210 and the lower surface 260 of the chamber lid assembly 232. The velocity of the gas at a given position is theoretically determined by the equation below.

(1) Q/A = V
(1) Q / A = V

여기서, " Q "는 가스의 유동이고, " A "는 유동 섹션의 면적이고, 그리고 " V "는 가스의 속도이다. 가스의 속도는 유동 섹션(HX2πR)의 면적 " A "에 역 비례하며, 여기서 " H "는 유동 섹션의 높이고, " 2πR "은 반경 " R "을 가지는 유동 섹션의 주변이다. 즉, 가스의 속도는 유동 섹션의 높이 "H" 및 유동섹션의 반경 " R "에 역비례한다.
Where "Q" is the flow of gas, "A" is the area of the flow section, and "V" is the velocity of the gas. The velocity of the gas is inversely proportional to the area "A" of the flow section (H X 2πR), where "H" is the height of the flow section and "2πR" is the periphery of the flow section having radius "R". That is, the velocity of the gas is inversely proportional to the height "H" of the flow section and the radius "R" of the flow section.

위치(502) 및 위치(504)에서 유동 단면의 속도를 비교하면, 챔버 리드 조립체(232)의 하부면(260) 사이이 위치 모든 위치에서 가스의 유동 "Q "가 동일하다는 것을 추정하면, 가스의 속도가 이론적으로 유동 다면의 면적 "A "을 가짐으로써 이론적으로 더욱 동일하게 될 수 있다. 위치(502) 및 위치(504)에서 유동 세견의 면적이 동일한 것에 대해, 위치(502)에서 높이(H1)는 위치(504)에서 높이(H2) 보다 더 커야한다.
Assuming that the velocity of the flow cross-section at position 502 and position 504 is equal to the flow "Q" of the gas at all locations between the lower faces 260 of the chamber lid assembly 232, Theoretically, the velocity can be made even more theoretically by having an area "A " The height H 1 at location 502 should be greater than the height H 2 at location 504 for the same area of flow cannulation at location 502 and location 504.

하나의 양태에서, 기판(210)과 챔버 리드 조립체(322)의 하부면(260) 사이로 이동할 때 하부면(260)은 하방으로 경사져서 가스의 속도에서의 변화를 감소시키도록 하여 기판(210)의 표면의 반응물 가스로의 균일한 노출을 제공하도록 한다. 일 실시예에서, 챔버 리드 조립체(232)의 하방으로 경사지는 하부면(260)과 기판(210)의 표면 사이의 유동 섹션의 최소 면적에 대한 유동 섹션으 최대 면적의 비율은 약 2 보다 작고, 바람직하게는 약 1.5 보다 작고, 더욱 바람직하게는 약 1.3 보다 작고, 가장 바람직하게는 약 1이다.
The lower surface 260 is tilted downwardly as it moves between the substrate 210 and the lower surface 260 of the chamber lid assembly 322 so as to reduce the change in gas velocity, To provide a uniform exposure of the surface of the reactor to the reactant gas. In one embodiment, the ratio of the maximum area of the flow section to the smallest area of the flow section between the bottom surface 260 that is tilted downward of the chamber lid assembly 232 and the surface of the substrate 210 is less than about 2, Preferably less than about 1.5, more preferably less than about 1.3, and most preferably about 1.

이론에 구속되지 않고, 기판(210)의 표면을 가로지르는 더욱 균일한 속도로 이동하는 가스 유동은 기판(210) 상의 더욱 균일한 증착을 제공하도록 한다. 가스의 속도는 가스의 농도에 직접 비례하고 가스의 농도는 차례로 기판(210) 표면 상의 가스의 증착율에 직접 비레한다. 따라서, 기판(210)의 표면의 제 1 영역에서 대 기판의 (210)의 표면의 제 2 영역에서 가스의 더 높은 속도는 제 1 영역 상에 가스의 더 높은 증착을 제공하는 것으로 믿어진다. 하방으로 경사지는 하부면(260)을 가지는 챔버 리드 조립체(232)는 하방으로 경사지는 하부면(260)이 더욱 균일한 속도를 제공하기 때문에 기판(210)의 표면에 걸쳐 가스의 더욱 균일한 증착을 제공하여, 기판(210)의 표면에 걸쳐 가스가 더욱 균일한 증착을 위해 제공된다.
Without being bound by theory, a gas flow traveling at a more uniform rate across the surface of the substrate 210 allows more uniform deposition on the substrate 210. The velocity of the gas is directly proportional to the concentration of the gas and the concentration of the gas in turn is directly proportional to the deposition rate of the gas on the surface of the substrate 210. Thus, it is believed that the higher rate of gas in the second region of the surface of the substrate 210 in the first region of the surface of the substrate 210 provides a higher deposition of gas on the first region. The chamber lid assembly 232 having a downwardly inclined bottom surface 260 may be more uniformly deposited over the surface of the substrate 210 because the downwardly inclined bottom surface 260 provides a more uniform velocity, So that gas is provided for a more uniform deposition over the surface of the substrate 210.

도 1은 기판(210)의 주변에 인접한 챔버 리드 조립체(232)의 주변 부분에 위치하는 초크(262)가 도시된다. 챔버 리드 조립체(232)가 기판(210) 주위에 처리 존을 형성하기 위하여 조립될 때, 초크(262)는 기판(210)의 주변에 인접한 영역에서 가스이 유동을 제한하는 소정의 부재를 포함한다. 도 9a는 초크(262)의 일 실시예의 개략적인 단면도이다. 일 실시예에서, 쵸크(262)는 주변 측면 부분(267)을 포함한다. 하나의 양태에서, 퍼지 링(222)은 초크(262)의 측면 부분(267)을 향하여 퍼지 가스를 지향시키도록 할 수 있다. 도 9b는 초크(262)의 또 다른 실시예의 개략적인 단면도이다. 이러한 실시예에서, 초크(262)는 둘레 하방으로 연장하는 돌출부(268)를 포함한다. 하나의 양태에서, 퍼지 링(222)은 둘레 하방으로 연장하는 돌출부(268)를 향하여 퍼지 가스를 지향시키도록 적용될 수도 있다. 하나의 특정 실시예에서, 하방으로 연장하는 돌출부(268)의 두께는 약 0.01 인치 내지 약 1.0 인치이고, 더욱 바람직하게는 0.01 인치 내지 0.5 인치이다.
Figure 1 shows a choke 262 located in a peripheral portion of a chamber lid assembly 232 adjacent to the periphery of the substrate 210. [ When chamber lid assembly 232 is assembled to form a processing zone around substrate 210, choke 262 includes a predetermined member that limits gas flow in the region adjacent to the periphery of substrate 210. FIG. 9A is a schematic cross-sectional view of one embodiment of choke 262. FIG. In one embodiment, the choke 262 includes a peripheral side portion 267. In one embodiment, the purge ring 222 can direct the purge gas toward the side portion 267 of the choke 262. FIG. 9B is a schematic cross-sectional view of another embodiment of choke 262. FIG. In this embodiment, the choke 262 includes a protrusion 268 extending down the perimeter. In one embodiment, the purge ring 222 may be adapted to direct the purge gas toward the circumferentially extending protrusion 268. In one particular embodiment, the thickness of the downwardly extending protrusion 268 is from about 0.01 inch to about 1.0 inch, and more preferably from 0.01 inch to 0.5 inch.

하나의 특정 실시예에서, 초크(262)와 기판 지지부(212) 사이의 간격은 약 0.04 인치 내지 약 2.0 인치, 바람직하게는 0.04 인치 내지 약 0.2 인치이다. 상기 간격은 증착 동안 공정 상태 및 전달되는 가스에 따라 변화될 수 있다. 초크(262)는 비균일 압력 분포의 펌핑 존(266)(도 1)로부터 반응 존(264)을 격리함으로써 기판(210)과 챔버 리드 조립체(232) 사이에 형성된 반응 존(264) 또는 용적 내의 더욱 균일한 압력 분포를 제공하도록 한다.
In one particular embodiment, the spacing between the chuck 262 and the substrate support 212 is from about 0.04 inches to about 2.0 inches, preferably 0.04 inches to about 0.2 inches. The spacing may vary depending on the process conditions during deposition and the gas delivered. The choke 262 is formed within the reaction zone 264 or within the volume 264 formed between the substrate 210 and the chamber lid assembly 232 by isolating the reaction zone 264 from the pumping zone 266 Thereby providing a more uniform pressure distribution.

도 1을 참조하면, 하나의 양태에서, 반응 존(264)이 펌핑 존(266)으로부터 고립되기 때문에, 반응물 가스 또는 퍼지 가스는 반응 존(264)을 단지 적절히 채우는 것이 필요하여 반응물 가스 또는 퍼지 가스로 기판(210)의 충분한 노출을 보장하도록 한다. 종래의 화학 증착에서, 종래의 챔버는 반응물의 공(co)-반응이 기판(210)의 표면에 걸쳐 균일하게 발생하는 것을 보장하기 위하여 기판의 전체 표면으로 동시에 그리고 균일하게 반응물의 조합된 유동을 제공는 것이 요구된다. 원자 층 적층에서, 공정 챔버(200)는 순차적으로 반응물을 기판(210)의 표면으로 도입하여 기판(210)의 표면 상으로 반응물의 선택적인 얇은 층의 흡수를 제공하도록 한다. 결과적으로, 원자 층 증착은 동시에 기판(210)의 표면에 도달하는 반응물의 유동을 요구하지 않는다. 대신, 반응물의 유동은 기판(210)의 표면 상의 반응물의 얇은 층을 흡수하기에 충분한 양으로 제공되는 것이 필요하다.
Referring to FIG. 1, in one embodiment, because the reaction zone 264 is isolated from the pumping zone 266, the reactant gas or purge gas needs only to properly fill the reaction zone 264, So as to ensure sufficient exposure of the substrate 210. In conventional chemical vapor deposition, conventional chambers simultaneously and uniformly provide a combined flow of reactants to the entire surface of the substrate in order to ensure that the co-reaction of the reactants occurs uniformly across the surface of the substrate 210 Is required. In atomic layer deposition, the process chamber 200 sequentially introduces reactants to the surface of the substrate 210 to provide absorption of a selective thin layer of reactants onto the surface of the substrate 210. As a result, atomic layer deposition does not require the flow of reactants reaching the surface of the substrate 210 at the same time. Instead, the flow of reactants needs to be provided in an amount sufficient to absorb a thin layer of reactants on the surface of substrate 210.

반응 존(264)은 종래의 CVD 챔버의 내부 용적과 비교할 때 더 작은 용적을 포함할 수 있기 때문에, 더 작은 양의 가스가 원자 층 증착 시컨스에서 특별한 공정을 위한 반응 존(264)을 채우기 위해 요구된다. 예를 들면, 일 실시예에서, 반응 존(264)의 용적은 200 mm 직경 기판을 처리하기 위해 적용되는 챔버에 대해 약 1,000 cm3 또는 그 미만, 바람직하게는 500 cm3 또는 그 미만, 및 더욱 바람직하게는 200 cm3 또는 그 미만이다. 일 실시예에서, 반응 존(264)의 용적은 300 mm 직경 기판을 처리하기 위해 적용되는 챔버에 대해 약 3,000 cm3 또는 그 미만, 바람직하게는 1,500 cm3 또는 그 미만, 및 더욱 바람직하게는 600 cm3 또는 그 미만이다. 일 실시예에서, 기판 지지부(212)는 증착을 위해 반응 존(264)의 용적을 조정하기 위하여 상승되거나 하강될 수 있다. 반응 존(264)의 더 작은 용적 때문에, 증착 가스 또는 퍼지 가스든지, 적은 가스가 공정 챔버(200) 내로 유동하는 것이 필요하다. 따라서, 이용된 가스의 양이 더 작아서 작동 비용이 감소되기 때문에 공정 챔버(200)의 생산량은 더 크고 소모는 최소화될 수 있다.
Since the reaction zone 264 may include a smaller volume compared to the internal volume of a conventional CVD chamber, a smaller amount of gas may be required to fill the reaction zone 264 for a particular process in the atomic layer deposition sequence do. For example, in one embodiment, the volume of the reaction zone 264 is about 1,000 cm 3 or less, preferably 500 cm 3 or less, and more preferably about 500 cm 3 or less for a chamber applied to process a 200 mm diameter substrate Preferably 200 cm &lt; 3 &gt; or less. In one embodiment, the volume of the reaction zone 264 is about 3,000 cm 3 or less, preferably 1,500 cm 3 or less, and more preferably about 600 cm 3 or less for a chamber applied for processing 300 mm diameter substrates cm &lt; 3 &gt; or less. In one embodiment, the substrate support 212 can be raised or lowered to adjust the volume of the reaction zone 264 for deposition. Because of the smaller volume of reaction zone 264, it is necessary for the deposition gas or the purge gas to flow into the process chamber 200. Therefore, the production volume of the process chamber 200 can be larger and consumption can be minimized because the amount of gas used is smaller and the operating cost is reduced.

챔버 리드 조립체(232)는 리드 캡(272) 및 리드판(270)이 팽창 채널(234)을 형성하는 리드 캡(272) 및 리드판(270)을 포함하는 것으로서 도 1 내지 도 4에 도시된다. 부가판은 리드판(270)과 리드 캡(272)(도시안됨) 사이에 선택적으로 배치될 수 있다. 부가판은 리드 캡(272)과 리드판(270) 사이의 거리를 조정(예를 들면, 증가)하기 위해 이용될 수 있어 팽창 채널(234)의 길리을 변화시킨다. 다른 실시예에서, 팽창 채널(234)은 단일 피스의 재료로부터 일체로로 형성될 수 있다.
The chamber lid assembly 232 is shown in Figures 1 to 4 as having a lead cap 272 and a lead plate 270 that includes a lead cap 272 and a lead plate 270 that form an expansion channel 234 . The additional plate may be selectively disposed between the lead plate 270 and the lead cap 272 (not shown). The additional plate may be used to adjust (e.g., increase) the distance between the lead cap 272 and the lead plate 270 to change the extension of the expansion channel 234. In another embodiment, the expansion channel 234 may be integrally formed from a single piece of material.

챔버 리드 조립체(232)는 전달되는 특별한 가스에 따라 냉각 요소 및/또는 가열 요소를 포함할 수 있다. 챔버 리드 조립체(232)의 온도를 제어하는 것은 챔버 리드 조립체(232) 상의 가스 분해, 침전, 또는 응축을 방지하기 위하여 이용될 수 있다. 예를 들면, 수(water) 채널(도시안됨)은 챔버 리드 조립체(232)를 냉각하기 위하여 챔버 리드 조립체(232) 내에 형성될 수 있다. 또 다른 예에서, 가열 요소(도시안됨)는 챔버 리드 조립체(232)를 가열하기 위하여 챔버 리드 조립체(232)의 부품을 둘러싸거나 매립될 수 있다. 일 실시예에서, 챔버 리드 조립체(232)의 부품은 개별적으로 가열 또는 냉각될 수 있다. 예를 들면, 도 1을 참조하면, 챔버 리드 조립체(232)는 리드판(270) 및 리드 캡(272)를 포함할 수 있으며 리드판(270) 및 리드 캡(272)은 팽창 채널(234)을 형성한다. 리드 캡(272)은 하나의 온도 범위로 유지될 수 있고 또 다른 온도 범위로 유지될 수 있다. 예를 들면, 리드 캡(272)은 반응물의 응축을 방지하기 위하여 히터 테이프로 둘러싸임으로써 또는 또 다른 가열 장치를 이용함으로써 가열될 수 있고 리드판(270)은 대기 온도로 유지될 수 있다. 또 다른 예에서, 리드 캡(272)은 가열될 수 있고 리드판(270)은 리드판(270) 상의 반응물 가스의 열 분해를 방지하도록 관통 형성되는 수 채널로 냉각될 수 있다.
The chamber lid assembly 232 may include a cooling element and / or a heating element depending on the particular gas being delivered. Controlling the temperature of the chamber lid assembly 232 can be used to prevent gas decomposition, precipitation, or condensation on the chamber lid assembly 232. For example, a water channel (not shown) may be formed in the chamber lid assembly 232 to cool the chamber lid assembly 232. In another example, a heating element (not shown) may surround or be embedded with the components of the chamber lid assembly 232 to heat the chamber lid assembly 232. In one embodiment, the components of the chamber lid assembly 232 can be individually heated or cooled. 1, the chamber lid assembly 232 may include a lid plate 270 and a lid cap 272 and the lid plate 270 and the lid cap 272 may include an expansion channel 234, . The lead cap 272 can be maintained in one temperature range and in another temperature range. For example, the lead cap 272 may be heated by surrounding it with a heater tape to prevent condensation of the reactant or by using another heating device, and the lead plate 270 may be maintained at ambient temperature. In yet another example, the lead cap 272 may be heated and the lead plate 270 may be cooled with a number of channels that are formed to prevent thermal decomposition of the reactant gas on the lead plate 270.

챔버 리드 조립체(232)는 스테인레스 강, 알루미늄, 니켈 도금 알루미늄, 니켈, 또는 수행되는 공정과 양립가능한 다른 적절한 재료로 제조될 수 있는 부품을 포함한다. 일 실시예에서, 리드 캡(272)은 알루미늄 또는 스테인레스 강을 포함하고 리드판(270)은 알루미늄을 포함할 수 있다. 또 다른 실시예에서, 리드판(270)과 리드 캡(272) 사이에 배치되는 선택적인 부가판은 스테인레스 강을 포함한다.
The chamber lid assembly 232 includes stainless steel, aluminum, nickel plated aluminum, nickel, or other parts that can be made of any other suitable material compatible with the process being performed. In one embodiment, the lead cap 272 comprises aluminum or stainless steel and the lead plate 270 may comprise aluminum. In another embodiment, the optional attachment plate disposed between the lead plate 270 and the lead cap 272 comprises stainless steel.

일 실시예에서, 팽창 채널(234)의 내부면(261)(리드판(270) 및 리드 캡(272)의 양 내부면을 포함하여) 및 챔버 리드 조립체(232)의 하부면(260)은 챔버 리드 조립체(232)의 하부면(260) 및 팽창 채널(234)을 따라 가스의 층류 유동을 형성하도록 미러 연마 표면(mirror polished surface)을 포함할 수 있다. 또 다른 실시예에서, 가스 도관(250a, 250b)의 내부면은 가스의 층류 유동을 형성하도록 전해 연마될 수 있다.
The inner surface 261 of the expansion channel 234 (including both the inner surfaces of the lid plate 270 and the lid cap 272) and the lower surface 260 of the chamber lid assembly 232 And may include a mirror polished surface to form a laminar flow of gas along the lower surface 260 and the expansion channel 234 of the chamber lid assembly 232. In yet another embodiment, the interior surfaces of the gas conduits 250a, 250b may be electrolytically polished to form a laminar flow of gas.

선택적인 일 실시예에서, 팽창 채널(234)의 내부면(261)(리드판(270) 및 리드 캡(272)의 내부면 모두를 포함하여) 및 챔버 리드 조립체(232)의 하부면(260)은 표면에 걸쳐 더 많은 표면적을 형성하도록 거칠게 가공된 표면 또는 기계가공된 표면을 포함할 수 있어 표면에 걸쳐 더 많은 표면적을 형성하도록 하다. 거칠게 가공된 표면은 내부면(262) 및 하부면(260) 상의 원하지 않는 축적된 재료의 향상된 부착을 제공한다. 원하지 않는 필름은 항상 증착 공정을 수행하는 결과로서 형성되고 내부면(261) 및 하부면(260)으로부터 오염된 기판(210)을 필링(peel)하거나 플레이킹(flake)할 수 있다. 일 예에서, 하부면(260) 및/또는 내부면(261)의 평균 거칠기(Ra)는 약 10 μin(약 0.254μm)로부터 약 200 μin(약 5.08μm), 바람직하게는 약 20μin(약 0.508μm) 내지 약 100 μin(약 2.54μm), 및 더욱 바람직하게는 약 30μin(약 0.762μm) 내지 약 80μin(약 2.032μm)의 범위 내에서와 같은 적어도 약 10 마이크로인치(μin)일 수 있다. 또 다른 예에서, 하부면(260) 및/또는 내부면(261)의 평균 거칠기는 바람직하게는 약 200μin(약 5.08μm) 내지 약 500μin(약 12.7μm) 범위 내의 적어도 약 100μin(약 2.54μm)일 수 있다.
In an alternate embodiment, the inner surface 261 of the expansion channel 234 (including both the inner surface of the lid plate 270 and the lid cap 272) and the lower surface 260 of the chamber lid assembly 232 ) May include a roughened surface or machined surface to form more surface area across the surface to allow more surface area to be formed across the surface. The roughened surface provides improved adhesion of the undesired accumulated material on the inner surface 262 and the lower surface 260. The unwanted film is always formed as a result of performing the deposition process and may peel or flake the contaminated substrate 210 from the inner surface 261 and the lower surface 260. In one example, the average roughness R a of the lower surface 260 and / or the inner surface 261 is from about 10 μin (about 0.254 μm) to about 200 μin (about 5.08 μm), preferably about 20 μin (Μin), such as in the range of about 0.508 μm to about 100 μin (about 2.54 μm), and more preferably about 30 μin (about 0.762 μm) to about 80 μin (about 2.032 μm) . In yet another example, the average roughness of the lower surface 260 and / or the inner surface 261 is preferably at least about 100 microns (about 2.54 microns) in the range of about 200 microns (about 5.08 microns) to about 500 microns (about 12.7 microns) Lt; / RTI &gt;

도 1을 참조하면, 프로그램가능한 퍼스널 컴퓨터, 등과 같은 제어 유닛(280)은 처리 상태를 제어하기 위하여 공정 챔버로 결합될 수 있다. 예를 들면, 제어 유닛(280)은 기판 공정 시컨스의 상이한 스테이지 동안 밸브(242a, 242b)를 통하여 가스 소스(238, 239 및 240)로부터 다양한 공정 가스 및 퍼지 가스의 유동을 제어하도록 구성될 수 있다. 예시적으로, 제어 유닛(280)은 중앙 처리 유닛(CPU)(282), 지지 회로(284), 및 관련된 제어 소프트웨어(283)를 포함하는 메모리(1186)를 포함한다.
1, a control unit 280, such as a programmable personal computer, etc., may be coupled to the process chamber to control the process conditions. For example, control unit 280 may be configured to control the flow of various process gases and purge gases from gas sources 238, 239 and 240 via valves 242a, 242b during different stages of the substrate process sequence . The control unit 280 includes a memory 1186 that includes a central processing unit (CPU) 282, a support circuit 284, and associated control software 283.

제어 유닛(280)은 다양한 챔버 및 서브-프로세서를 제어하기 위해 산업적 세팅으로 이용될 수 있는 일반적인 목적의 컴퓨터 프로세서의 형태 중 하나일 수 있다. CPU(282)는 랜덤 액세스 메모리, 리드 온리 메모리, 플로피 디스크 드라이브, 하드 디스크, 또는 소정의 다른 형태의 디지털 스토리지, 로컬 또는 리모트와 같은 소정의 적절한 메모리(1186)을 이용할 수 있다. 다양한 지지 회로는 공정 챔버(200)를 지지하기 위해 CPU(282)에 결합될 수 있다. 제어 유닛(280)은 밸브(242a, 242b)의 프로그램가능한 로직 제어기(248a, 248b)와 같은, 개별 챔버 부품에 인접하에 위치되는 또 다른 제어기에 결합될 수 있다. 제어 유닛(280)과 공정 챔버(200)의 다양한 다른 부품 사이의 양-방향 소통은 일부가 도 1에 도시되는 신호 버스(288)로서 전체적으로 지칭되는 다양한 신호 케이블을 통하여 취급된다. 가스 소스(238, 239)로부터 그리고 밸브(242a, 242b)의 프로그램가능한 로직 제어기(248a, 248b)로부터 공정 가스 및 퍼지 가스의 제어에 부가하여, 제어 유닛(280)은 일부가 본 명세서에서 설명되는, 다른 작동 중에서, 웨이퍼 운반, 온도 제어, 챔버 배기와 같은 웨이퍼 처리에 이용되는 다른 작동의 자동 제어를 책임질 수 있도록 구성될 수 있다.
The control unit 280 may be in the form of a general purpose computer processor that may be used in an industrial setting to control various chambers and sub-processors. The CPU 282 may utilize any suitable memory 1186, such as random access memory, read only memory, floppy disk drive, hard disk, or any other form of digital storage, local or remote. A variety of support circuits may be coupled to the CPU 282 to support the process chamber 200. The control unit 280 may be coupled to another controller located adjacent to the individual chamber components, such as the programmable logic controllers 248a, 248b of the valves 242a, 242b. The bi-directional communication between the control unit 280 and various other components of the process chamber 200 is handled through various signal cables, some of which are referred to collectively as the signal bus 288 shown in FIG. In addition to the control of the process gases and purge gases from the gas sources 238 and 239 and from the programmable logic controllers 248a and 248b of the valves 242a and 242b, , In other operations, to be responsible for automatic control of other operations used in wafer processing, such as wafer transport, temperature control, chamber evacuation.

도 1 내지 도 4를 참조하면, 작동 중, 기판(210)은 로봇(도시안됨)에 의해 슬릿 밸브(208)를 통하여 공정 챔버(200)로 전달된다. 기판(210)은 리프트 핀(220) 및 로봇의 협동을 통하여 기판 지지부(212) 상에 위치된다. 기판 지지부(212)는 기판(210)을 챔버 리드 조립체(232)의 하부면(260)에 대해 근접되게 상승시킨다. 제 1 가스 유동은 밸브(242b)에 의해 공정 챔버(200) 내로 주입되는 제 2 가스 유동과 함께 또는 개별적으로(즉, 펄스) 밸브(242a)에 의해 공정 챔버(200)의 팽창 채널(234) 내로 주입될 수 있다. 제 1 가스 유동은 퍼지 가스 소스(240)로부터 퍼지 가스의 연속적인 유동 및 반응물 가스 소스(238)로부터의 반응물 가스의 펄스를 포함할 수 있거나 반응물 가스 소스(238)로부터 반응물 가스의 펄스 및 퍼지 가스 소스(240)로부터 퍼지 가스의 펄스를 포함할 수 있다. 제 2 가스 유동은 퍼지 가스 소스(240)로부터 퍼지 가스의 연속적인 유동 및 반응물 가스 소스(239)로부터의 반응물 가스의 펄스를 포함할 수 있거나 반응물 가스 소스(239)로부터의 반응물 가스의 펄스 및 퍼지 가스 소스(240)로부터의 퍼지 가스의 펄스를 포함할 수 있다. 가스 유동은 팽창 채널(234)의 내부면에 걸친 스위핑 작용을 제공하는 보텍스 유동(402)의 패턴으로서 팽창 채널(234)을 통하여 이동한다. 보텍스 유동(402)의 패턴은 기판(210)의 표면을 향하여 하장 유동(404)으로 소산한다. 가스 유동이 팽창 채널(234)을 통하여 이동할 때 가스 유동의 속도는 감소한다. 이어서 가스 유동은 챔버 리드 조립체(232)의 하부면(260)에 걸쳐 그리고 기판(210)의 표면에 걸쳐 이동한다. 하방으로 경사지는 챔버 리드 조립체(232)의 하부면(260)은 기판(210)의 표면에 걸쳐 가스 유동의 속도의 변화를 감소시킨다. 이어서 가스 유동은 초크(262)에 의해 공정 챔버(200)의 펌핑 존(266) 내로 이동한다. 과잉 가스, 부산물 등은 펌핑 채널(279) 내로 유동하여 이어서 진공 시스템(278)에 의해 공정 챔버(200)로부터 배출된다. 하나의 양태에서, 가스 유동은 팽창 채널(234)을 통하여 그리고 층류 방식으로 챔버 리드 조립체(232)의 하부면(260) 및 기판(210)의 표면 사이로 진행되어, 기판(210)의 표면으로 반응물 가스의 균일한 노출 및 챔버 리드 조립체(232)의 내부면의 효과적인 퍼징에 도움이 된다.
1 to 4, during operation, the substrate 210 is transferred to the process chamber 200 via a slit valve 208 by a robot (not shown). The substrate 210 is positioned on the substrate support 212 through the cooperation of the lift pins 220 and the robot. The substrate support 212 raises the substrate 210 proximate the lower surface 260 of the chamber lid assembly 232. The first gas flow is directed to the expansion channel 234 of the process chamber 200 by a valve 242a together with a second gas flow injected into the process chamber 200 by a valve 242b or individually (i.e., Lt; / RTI &gt; The first gas flow may comprise a continuous flow of purge gas from the purge gas source 240 and a pulse of reactant gas from the reactant gas source 238 or may include pulses of the reactant gas from the reactant gas source 238, And may include pulses of purge gas from source 240. The second gas flow may include a continuous flow of purge gas from the purge gas source 240 and a pulse of the reactant gas from the reactant gas source 239 or may include pulses of the reactant gas from the reactant gas source 239, And may include pulses of purge gas from gas source 240. The gas flow travels through the expansion channel 234 as a pattern of vortex flow 402 that provides a sweeping action across the interior surface of the expansion channel 234. The pattern of vortex flows 402 dissipates into the bottom flow 404 toward the surface of the substrate 210. As the gas flow moves through the expansion channel 234, the velocity of the gas flow decreases. The gas flow then travels over the lower surface 260 of the chamber lid assembly 232 and across the surface of the substrate 210. The lower surface 260 of the downwardly sloping chamber lid assembly 232 reduces the rate of change of the gas flow across the surface of the substrate 210. The gas flow then moves into the pumping zone 266 of the process chamber 200 by choke 262. Excess gas, byproducts, etc., flow into the pumping channel 279 and then out of the process chamber 200 by the vacuum system 278. The gas flow is advanced through the expansion channel 234 and in a laminar flow manner between the lower surface 260 of the chamber lid assembly 232 and the surface of the substrate 210 so that the reactant Uniform exposure of the gas and effective purging of the interior surface of the chamber lid assembly 232.

도 1 내지 도 4에 도시된 바와 같은 공정 챔버(200)는 피쳐의 조합물을 가지는 것으로서 본 명세서에서 설명된다. 하나의 양태에서, 공정 챔버(200)는 종래의 CVD 챔버에 비해 소형 용적을 포함하는 반응 존(264)을 제공한다. 공정 챔버(200)는 특별한 공정을 위해 반응 존(264)을 채우기 위해, 반응물 가스 또는 퍼지 가스와 같은, 소형 양의 가스를 요구한다. 또 다른 양태에서, 공정 챔버(200)는 하방으로 경사지는 또는 깔때기형 하부면(260)을 가지는 챔버 리드 조립체(232)를 제공하여 챔버 리드 조립체(232)의 바닥면과 기판(210) 사이로 이동하는 가스 유동의 속도 프로파일에서의 변화를 감소시키도록 한다. 또 다른 양태에서, 공정 챔버(200)는 팽창 채널(234)을 제공하여 그 안으로 도입도는 가스 유동의 속도를 감소시키도록 한다. 또 다른 양태에서, 공정 챔버(200)는 팽창 채널(234)의 중앙으로부터 각도(α)로 가스 도관을 제공한다. 공정 챔버(200)는 본 명세서에서 설명되는 바와 같이 다른 피쳐를 제공한다. 원자 층 증착을 위해 적용되는 챔버의 다른 실시예는 하나 또는 그 이상의 이러한 피쳐와 결합된다.
The process chamber 200 as shown in FIGS. 1-4 is described herein as having a combination of features. In one embodiment, the process chamber 200 provides a reaction zone 264 that includes a smaller volume compared to a conventional CVD chamber. The process chamber 200 requires a small amount of gas, such as a reactant gas or a purge gas, to fill the reaction zone 264 for a particular process. In another aspect, the process chamber 200 may be provided with a chamber lid assembly 232 having a downwardly inclined or funnel-shaped lower surface 260 to move between the bottom surface of the chamber lid assembly 232 and the substrate 210 Thereby reducing the change in the velocity profile of the gas flow. In another aspect, the process chamber 200 provides an expansion channel 234 to allow the degree of introduction into it to reduce the rate of gas flow. In another aspect, the process chamber 200 provides a gas conduit at an angle a from the center of the expansion channel 234. The process chamber 200 provides other features as described herein. Other embodiments of the chamber applied for atomic layer deposition are combined with one or more of these features.

예를 들면, 도 7은 챔버 리드 조립체(832)를 포함하는 가스 전달 장치(830)를 포함하는 공정 챔버(800)의 또 다른 실시예를 보여주며, 팽창 채널(834)을 제공하고 소형 용적을 포함하는 반응 존(864)을 제공한다. 공정 챔버(800)의 일부 부품은 상술된 도 1의 공정 챔버를 참조하여 설명된 것과 동일하거나 유사하다. 따라서, 동일한 도면부호가 적절하게 이용된다. 챔버 리드 조립체(832)는 실질적으로 평평한 하부면(860)을 포함한다. 일 실시예에서, 초크(262)와 기판 지지부(212) 사이의 간격은 약 0.04 인치 내지 약 2.0 인치, 더욱 바람직하게는 약 0.04 인치 내지 약 0.2 인치이다.
7 illustrates another embodiment of a process chamber 800 that includes a gas delivery device 830 that includes a chamber lid assembly 832 and provides an expansion channel 834 and a small volume And provides a reaction zone 864 containing Some components of the process chamber 800 are the same as or similar to those described above with reference to the process chamber of FIG. Therefore, the same reference numerals are appropriately used. The chamber lid assembly 832 includes a substantially flat bottom surface 860. In one embodiment, the spacing between the chuck 262 and the substrate support 212 is from about 0.04 inches to about 2.0 inches, more preferably from about 0.04 inches to about 0.2 inches.

또 다른 예에서, 도 8은 소형 용적을 포함하는 반응 존(964)을 제공하고 하방으로 경사지거나 깔때기형 하부면(960)을 제공하는 챔버 리드 조립체(932)를 포함하는 가스 전달 장치(930)를 포함하는 공정 챔버(900)의 또 다른 실시예를 보여준다. 공정 챔버(900)의 일부 부품은 상술된 도 1의 공정 챔버(200)와 관련하여 설명된 것과 동일하거나 유사하다. 따라서, 동일한 도면부호가 적절하게 이용된다. 가스 소스(937)는 하나 또는 그 이상의 밸브(941)를 통하여 통로(933)에 결합된다. 하나의 양태에서, 통로(933)는 밸브(941)를 통하여 도입된 가스가 기판(210)의 표면 상에 흡수된 반응물을 분출하는 가능성을 감소시키기기 위한 긴 길이를 포함한다.
8 shows a gas delivery device 930 that includes a chamber lid assembly 932 that provides a reaction zone 964 that includes a small volume and that is tilted downwardly or provides a funnel-shaped bottom surface 960. In another example, Lt; RTI ID = 0.0 &gt; 900 &lt; / RTI &gt; Some of the components of the process chamber 900 are the same as or similar to those described above with respect to the process chamber 200 of FIG. Therefore, the same reference numerals are appropriately used. The gas source 937 is coupled to the passageway 933 through one or more valves 941. In one embodiment, the passageway 933 includes an elongate length to reduce the likelihood that the gas introduced through the valve 941 will spew the adsorbed reactants on the surface of the substrate 210.

도 1 내지 도 8의 가스 전달 장치(230, 830, 930)는 챔버 바디(202)의 리드로서 작용하는 챔버 리드(232, 832, 932)로서 상술된다. 또 다른 실시예에서, 챔버 리드(232, 832, 932)는 기판 처리 동안 가스가 유동하여야 하는 용적을 낮추는 반응 존(264, 864, 964)의 윤곽을 그리는 기판 지지부(212) 위에 배치되는 소정의 커버링 부재를 포함할 수 있다. 다른 실시예에서, 기판 지지부(212) 대신 또는 기판 지지부와 함께, 챔버 리드 조립체(232, 832, 932)는 반응 존(264, 864, 964)의 용적을 조정하기 위해 상방 및 하방으로 이동하도록 적용될 수 있다.
The gas delivery devices 230, 830, 930 of FIGS. 1-8 are described above as chamber lids 232, 832, 932 that act as leads of the chamber body 202. In another embodiment, the chamber lids 232, 832, 932 may include a plurality of chamber lids 232, 832, 932 disposed on a substrate support 212 that outlines the reaction zones 264, 864, 964, And may include a covering member. In alternative embodiments, chamber lid assemblies 232, 832, 932 may be adapted to move upward and downward to adjust the volume of reaction zones 264, 864, 964, instead of or in combination with substrate support 212 .

도 1의 가스 전달 시스템은 반응물 가스 소스(238, 239) 및 퍼지 가스 소스(240)로 결합되는 두 개의 쌍의 밸브(242a/252a, 242b/252b)를 포함하는 것으로 도시되어 있다. 다른 실시예에서, 가스 전달 시스템(230)은 구성이 다양한 단일 또는 다수의 가스 소스에 결합되는 하나 또는 그 이상의 밸브를 포함할 수 있다. 도 1 내지 도 3은 두 쌍의 밸브(242a/252a, 242b/252b)를 이용하는 두 개의 가스 인렛(236a, 236b)으로부터 개별적으로 또는 함께 두 개의 가스 유동을 제공하도록 적용되는 공정 챔버(200)를 보여준다. 도 5는 단일 또는 다수의 밸브에 결합되는 하나의 가스 도관(650)으로부터 하나의 가스 인렛(636)을 통하여 단일 가스 유동을 수용하도록 하는 챔버 리드 조립체(232)의 팽창 채널(634)의 또 다른 실시예의 평면도이다. 가스 도관(650)은 팽창 채널(634)의 중앙으로부터의 반경 라인으로부터 그리고 가스 도관(650)의 중앙선(602)으로부터 각도(α)에 위치될 수 있다. 일정한 각도(즉, α>°일 때)에 위치하는 가스 도관(650)은 가스가 화살표(610)에 의해 도시된 바와 같이 원형 방향으로 유동되도록 한다. 도 6은 각각의 도관이 단일 또는 다수의 밸브에 결합되는 3개의 가스 도관(750a, 750b, 및 750c)로부터 3개의 가스 인렛(736A, 736B, 및 736C)을 통하여 3개의 가스 유동을 함께, 부분적으로 함께(즉, 3개의 가스 유동중 두개를 함께) 또는 개별적으로 수용하도록 적용되는 챔버 리드 조립체(232)의 팽창 채널(734)의 또 다른 실시예의 평면도이다. 가스 도관(750a, 750b, 및 750c)은 팽창 채널(734)의 중앙으로부터 반경 라인(704)으로부터 그리고 가스 도관(750a, 750b, 및 750c)의 중앙선(702)으로부터 일정한 각도(α)로 위치될 수 있다. 일정한 각도(즉, α>°일 때)에 위치하는 가스 도관(750a, 750b, 및 750c)은 가스가 화살표(710)에 의해 도시된 바와 같이 원형 방향으로 유동하도록 한다.
The gas delivery system of Figure 1 is shown to include two pairs of valves 242a / 252a, 242b / 252b coupled with a reactant gas source 238, 239 and a purge gas source 240. In another embodiment, the gas delivery system 230 may include one or more valves coupled to a single or multiple gas sources of varying configuration. Figures 1-3 illustrate a process chamber 200 that is adapted to provide two gas flows, either separately or together, from two gaslets 236a, 236b using two pairs of valves 242a / 252a, 242b / 252b Show. 5 illustrates another embodiment of an expansion channel 634 of a chamber lid assembly 232 that allows a single gas flow through a gas inlet 636 from a gas conduit 650 coupled to a single or multiple valves. Fig. The gas conduit 650 may be located at an angle a from the radial line from the center of the expansion channel 634 and from the centerline 602 of the gas conduit 650. The gas conduit 650 located at a constant angle (i.e., when > [deg.]) Causes the gas to flow in a circular direction as shown by arrow 610. Figure 6 shows three gas flows 736A, 736B, and 736C from three gas conduits 750a, 750b, and 750c, each conduit coupled to a single or multiple valves, Of an expansion channel 734 of a chamber lid assembly 232 that is adapted to receive (or together) two or more (i. E., Two of three gas flows) together. The gas conduits 750a, 750b and 750c are positioned at a constant angle a from the center of the expansion channel 734 and from the radius line 704 and from the center line 702 of the gas conduits 750a, 750b, and 750c . The gas conduits 750a, 750b, and 750c located at a constant angle (i.e., when?> °) cause the gas to flow in a circular direction as shown by the arrows 710.

도 1 내지 도 8에 설명된 바와 같은 가스 전달 통공(230, 830, 및 930)을 구비한 챔버(200, 800, 및 900)의 실시예, 도 10a 내지 도 17d에 설명된 바와 같은챔버 리드 조립체(1032, 1232, 및 1632) 및 공정 챔버(1100, 1500, 및 1700), 및 도 18a 내지 도 18h)에 설명된 바와 같은 가스 전달 조립체(1800a, 1800c, 1800e, 및 1800g)의 실시예는 요소의 ALD 공정을 실시하기 위해 유용하게 이용될 수 있으며, 상기 요소는 탄탈, 티타늄, 텅스텐, 루테늄, 하프늄, 및 구리를 포함하지만 이에 제한되는 것은 아니며, 화합물 또는 합금/조합 필름의 원차 층 증착을 실시하기 위해 유용하게 이용될 수 있으며, 상기 화합물 또는 합금/조합 필름은 탄탈 질화물, 탄탈 실리콘 질화물, 티타늄 질화물, 티타늄 실리콘 질화물, 텅스텐 질화물, 텅스텐 실리콘 질화물, 및 구리 알루미늄을 포함하지만, 이에 제한되는 것은 아니다. 도 1 내지 도 8에 설명된 바와 같이 가스 전달 장치(230, 830, 및 930)를 구비한 챔버(200, 800, 및 930)의 실시예는 또한 다양한 재료의 화학적 증착을 실시하기에 유용할 수 있다.
An embodiment of chambers 200, 800, and 900 with gas delivery apertures 230, 830, and 930 as described in FIGS. 1-8, a chamber lid assembly as illustrated in FIGS. 10A- Embodiments of the gas delivery assemblies 1800a, 1800c, 1800e, and 1800g as described in Figures 1032, 1232, and 1632 and process chambers 1100, 1500, and 1700 and 18A- And the elements may be used to perform the primary layer deposition of the compound or alloy / combination film, including, but not limited to, tantalum, titanium, tungsten, ruthenium, hafnium, and copper And the compound or alloy / combination film may include, but is not limited to, tantalum nitride, tantalum silicon nitride, titanium nitride, titanium silicon nitride, tungsten nitride, tungsten silicon nitride, and copper aluminum It is not. Embodiments of chambers 200, 800, and 930 with gas delivery devices 230, 830, and 930 as described in Figures 1-8 may also be useful for conducting chemical deposition of various materials have.

명확성을 위해, 원자 층 증착에 의한 층의 증착은 도 1 내지 도 4에 설명되는 바와 같이 공정 챔버(200)를 이용하는 탄탈 질화물 층의 원자 층 증착을 참조하여 더 상세하게 설명하게 된다. 하나의 양태에서, 탄탈 질화물 배리어 층의 원자 층 증착은 공정 챔버로 탄탈 전구체의 펄스 및 질소 전구체의 펄스를 후속적으로 제공하는 것을 포함하며, 여기서 각각의 펄스는 퍼지 가스 및/또는 챔버 배기의 유동에 의해 분리되어 질소 전구체로 탄탈 전구체의 가스 상태 반응을제거하기 위하여 소정의 과잉 반응물을 제거하도록 하고 부산물에 의한 소정의 반응을 제거하도록 한다. 탄탈 전구체 및 질소 전구체를 후속적으로 제공함으로써 펄스의 각각의 사이클에 대해 기판 구조물 상에 탄탈 질화물의 단 층을 형성하도록 질소 전구체의 단 층 및 탄탈 전구체의 단 증의 선택적인 흡수를 초래할 수 있다. 용어 기판 구조물은 유전체 층과 같이, 그 위에 형성되는 다른 재료 층 뿐만 아니라 기판으로 지칭하기 위해 이용된다.
For clarity, deposition of a layer by atomic layer deposition will be described in more detail with reference to atomic layer deposition of a tantalum nitride layer using process chamber 200 as described in FIGS. 1-4. In one embodiment, atomic layer deposition of a tantalum nitride barrier layer comprises subsequently providing a pulse of a tantalum precursor and a pulse of a nitrogen precursor to a process chamber, wherein each pulse is a flow of purge gas and / or chamber exhaust To remove the excess reactant in order to remove the gaseous state reaction of the tantalum precursor with the nitrogen precursor and to remove the predetermined reaction by-products. Subsequent provision of a tantalum precursor and a nitrogen precursor may result in selective absorption of the monolayer of the nitrogen precursor and the tantalum precursor to form a monolayer of tantalum nitride on the substrate structure for each cycle of the pulse. The term substrate structure is used to refer to a substrate as well as other material layers formed thereon, such as a dielectric layer.

탄탈 전구체 및 질소 전구체와 같은, 반응물의 단 층을 흡수하기 위해 이용되는 흡수 공정은, 기판 구조물의 표면이 반응물을 흡수하기 위한 한정된 개수의 사이트를 가지기 때문에 단지 하나의 단 층이 주어진 펄스 동안 기판 구조물의 표면 상으로 흡수될 수 있다는 점에서 자체 제한된다. 한정된 개수의 사이트는 탄탈 전구체 또는 질소 전구체와 같은, 반응물에 의해 점유될 때, 반응물의 추가의 흡수가 차단된다. 사이클은 탄탈 질화물 층의 원하는 두께로 반복될 수 있다.
The absorption process used for absorbing a single layer of reactants, such as tantalum precursors and nitrogen precursors, requires that only one single layer is applied to the substrate structure during a given pulse because the surface of the substrate structure has a limited number of sites for absorbing the reactants. Which is itself limited in that it can be absorbed onto the surface. When a limited number of sites are occupied by reactants, such as tantalum precursors or nitrogen precursors, further absorption of the reactants is blocked. The cycle can be repeated with a desired thickness of the tantalum nitride layer.

펜타키스(pentakis)(디메틸아미도) 탄탈(PDMAT; Ta(NMe2)5)과 같은, 탄탈 전구체의 펄스는 밸브(242a)를 통하여 가스 소스(238)에 의해 도입될 수 있다. 탄탈 전구체는 캐리어 가스에 의해 제공될 수 있으며, 캐리어 가스는 헬륨(He), 아르곤(Ar), 질소(N2), 수소(H2) 및 이들의 조합물을 포함하지만 이에 제한되는 것은 아니다. 암모니아와 같은 질소 전구체의 펄스는 밸브(242a)를 통하여 가스 소스(239)로 도입될 수 있다. 캐리어 가스는 또한 질소 전구체를 전달하기 위해 이용될 수 있다. 아르곤과 같은 퍼지 가스는 밸브(242a)를 통하여 및/또는 밸브(242b)를 통하여 가스 소스(240)에 의해 도입될 수 있다. 하나의 양태에서, 퍼지 가스의 유동은 밸브(242a, 242b)를 통하여 가스 소스(240)에 의해 연속적으로 제공될 수 있어, 질소 전구체의 펄스와 탄탈 전구체의 펄스 사이의 퍼지 가스로서 작용하도록 하고 탄탈 전구체의 펄스 질소 전구체의 펄스 사이의 퍼지 가스로서 작용하도록 한다. 하나의 양태에서, 두 개의 가스 도관(250a, 250b)을 통한 퍼지 가스의 전달은 가스 도관(250a 또는 250b) 중 하나를 통하여 제공되는 퍼지 가스가 아닌 반응 존(264)의 더욱 완전한 퍼지를 제공한다. 하나의 양태에서, 탄탈 전구체 또는 질소 전구체와 같은 반응물 가스의 유동이 균일성이 기판 구조물의 표면 상의 반응물의 자체 제한 흡수 공정에 의해 퍼지 가스의 균일성 만큼 임계적이지 않기 때문에 반응물 가스는 가스 도관(250a 또는 250b) 중 하나를 통하여 전달될 수 있다. 다른 실시예에서, 퍼지 가스는 펄스 내에서 제공될 수 있다. 다른 실시예에서, 퍼지 가스는 두 개의 가스 유동 보다 많거나 작게 제공될 수 있다. 다른 실시예에서, 탄탈 전구체 가스는 단일 가스 유동 보다 많게 제공될 수 있다(즉, 두 개 또는 그 보다 많은 가스 유동). 다른 실시예에서, 질소 전구체 가스는 단일 가스 유동 보다 많이(즉, 두 개 또는 그 보다 많은 가스 유동) 제공될 수 있다.
Pentakis (pentakis) (dimethylamido) tantalum;, a tantalum precursor, such as a pulse (PDMAT Ta (NMe 2) 5) can be introduced by gas source 238 through a valve (242a). Tantalum precursor may be provided by a carrier gas, the carrier gas is not intended to be helium (He), argon (Ar), nitrogen (N 2), hydrogen (H 2) and combinations thereof, but limited. A pulse of nitrogen precursor, such as ammonia, may be introduced into the gas source 239 through valve 242a. The carrier gas may also be used to deliver a nitrogen precursor. A purge gas such as argon may be introduced by the gas source 240 through the valve 242a and / or through the valve 242b. In one embodiment, the flow of purge gas can be continuously provided by the gas source 240 through valves 242a, 242b to act as a purge gas between the pulses of the nitrogen precursor and the pulses of the tantalum precursor, To act as a purge gas between the pulses of the pulsed nitrogen precursor of the precursor. In one embodiment, the transfer of the purge gas through the two gas conduits 250a, 250b provides a more complete purge of the reaction zone 264 than the purge gas provided through one of the gas conduits 250a, 250b . In one embodiment, because the flow of the reactant gas, such as the tantalum precursor or the nitrogen precursor, is not as critical as the uniformity of the purge gas due to the self-limiting absorption process of the reactants on the surface of the substrate structure, 250a or 250b. In another embodiment, the purge gas may be provided in a pulse. In another embodiment, the purge gas may be provided more or less than two gas flows. In another embodiment, the tantalum precursor gas can be provided in more than a single gas flow (i.e., two or more gas flows). In another embodiment, the nitrogen precursor gas may be provided in more than a single gas flow (i.e., two or more gas flows).

탄탈 전구체의 다른 예는 다른 금속-유기 전구체 또는 펜타키스(에틸메틸아미도) 탄탈(PEMAT; Ta(N(Et)Me)5), 펜타키스(디에틸아미도) 탄탈(PDEAT; Ta(NEt2)5), 및 PEMAT, PDEAT, 또는 PDMAT의 유도체와 같은, 금속-유기 전구체의 유도체를 포함하지만, 이에 제한되는 것은 아니다. 다른 탄탈 전구체는 TBTDET(Ta(NEt2)3NC4H9 또는 C16H39N4Ta) 및 탄탈 할로겐화물, 예를 들면, TaX5를 포함하지만 이에 제한되는 것은 아니며, 여기서, X는 불소(F), 브롬(Br) 또는 염소(Cl), 및/또는 이들의 유도체이다. 다른 질소 전구체는 x 및 y가 정수인 NXHy(예를 들면, 히드라진(hydrazine)(N2H4)), 디메틸 히드라진((CH3)2N2H2), 터트부틸히드라진(C4H9N2H3), 페닐히드라진(C6H5N2H3), 다른 히드라진 유도체, 질소 플라즈마 소스(예를 들면, N2, N2/H2, NH3, 또는 N2H4 플라즈마), 2,2'-아조터부탄((CH3)6C2N2), 에틸아지드(C2H5N3), 및 다른 적절한 가스를 포함하지만 이에 제한되는 것은 아니다. 퍼지 가스 또는 캐리어 가스의 다른 예는 헬륨(He), 질소(N2), 수소(H2), 다른 가스 및 이들의 조합체를 포함하지만 이에 제한되는 것은 아니다.
Other examples of tantalum precursors include other metal-organic precursors or pentakis (ethylmethylamido) tantalum (PEMAT; Ta (N (Et) Me) 5 , pentakis (diethylamido) tantalum 2 ) 5 ), and derivatives of metal-organic precursors, such as derivatives of PEMAT, PDEAT, or PDMAT. Other tantalum precursors include, but are not limited to, TBTDET (Ta (NEt 2 ) 3 NC 4 H 9 or C 16 H 39 N 4 Ta) and tantalum halides such as TaX 5 , where X is fluorine (F), bromine (Br) or chlorine (Cl), and / or derivatives thereof. Other nitrogen precursors x, and y is an integer N X H y (for example, hydrazine (hydrazine) (N 2 H 4 )), dimethyl hydrazine ((CH 3) 2 N 2 H 2), tert-butyl hydrazine (C 4 H 9 N 2 H 3), phenyl hydrazine (C 6 H 5 N 2 H 3), other hydrazine derivatives, a nitrogen plasma source (e.g., N 2, N 2 / H 2, NH 3, or N 2 H 4 Plasma), 2,2'-azo butane ((CH 3 ) 6 C 2 N 2 ), ethyl azide (C 2 H 5 N 3 ), and other suitable gases. Other examples of purge gas or carrier gas include, but are not limited to, helium (He), nitrogen (N 2 ), hydrogen (H 2 ), other gases and combinations thereof.

탄탈 질화물 층 형성은 질소 전구체의 단일 층에 의해 후속되는 기판 상의 탄탈 전구체의 단일 층의 흡수로 시작하는 것으로 설명된다. 선택적으로, 탄탈 질화물 층 형성은 탄탈 전구체의 단일 층에 의해 후속되는 기판 상의 질소 전구체의 단일 층의 흡수로 시작할 수 있다. 더욱이, 다른 실시예에서, 반응물 가스의 펄스들 사이의 한번의 펌프 배기는 단일물 가스의 혼합을 방지하기 위하여 이용될 수 있다.
Tantalum nitride layer formation is described as beginning with the absorption of a single layer of a tantalum precursor on a substrate followed by a single layer of nitrogen precursor. Alternatively, the tantalum nitride layer formation can begin with the absorption of a single layer of nitrogen precursor on the substrate followed by a single layer of tantalum precursor. Moreover, in other embodiments, a single pump vent between the pulses of reagent gas may be used to prevent mixing of the single gas.

탄탈 전구체의 각각의 펄스에 대한 시간 존속, 질소 전구체의 각각의 펄스에 대한 시간 존속, 및 반응물의 펄스들 사이의 퍼지 가스 유동의 존속은 가변적이고 적용되는 증착 챔버의 용적 용량 뿐만 아니라 증착 챔버에 결합되는 진공 시스템에 종속된다. 예를 들면, (1) 가스의 낮은 챔버 압력은 더 긴 펄스 시간을 요구하게 되며, (2) 낮은 가스 유량은 챔버 압력을 상승 및 안정화시키기 위해 더 긴 시간을 요구하여 긴 펄스 시간을 요구하며, 그리고 (3) 긴-용적 챔버는 충전시키기에 더 오래 걸리고 챔버 압력을 안정화시키기에 더 오래 걸려 더 긴 펄스 시간을 요구한다. 유사하게, 각각의 펄스 사이의 시간은 또한 가변적이고 공정 챔버의 용적 용량 뿐만 아니라 공정 챔버에 결합되는 진공 시스템에 종속된다. 대체로, 탄탈 전구체 또는 질소 전구체의 펄스의 시간 존속은 화합물의 단일 층을 흡수하기에 충분히 길어야 한다. 하나의 양태에서, 질소 전구체의 펄스가 유입될 때 탄탈 전구체의 펄스는 여전히 챔버 내에 있을 수 있다. 대체로, 퍼지 가스 및/또는 펌프 배기의 존속은 탄탈 전구체 및 질소 전구체의 펄스가 반응 존에서 서로 혼합되는 것을 방지하기에 충분히 길어야 한다.
The time duration for each pulse of the tantalum precursor, the time duration for each pulse of the nitrogen precursor, and the duration of the purge gas flow between the pulses of the reactants is variable and is dependent on the volume capacity of the deposition chamber being applied, &Lt; / RTI &gt; For example, (1) a lower chamber pressure of gas would require a longer pulse time, (2) a lower gas flow rate would require a longer time to raise and stabilize the chamber pressure, requiring a longer pulse time, And (3) the long-volume chamber takes longer to charge and takes longer to stabilize the chamber pressure, requiring longer pulse times. Similarly, the time between each pulse is also variable and dependent on the vacuum capacity of the process chamber as well as the vacuum system coupled to the process chamber. In general, the time duration of the pulses of the tantalum precursor or nitrogen precursor should be long enough to absorb a single layer of the compound. In one embodiment, pulses of the tantalum precursor may still be in the chamber as pulses of the nitrogen precursor are introduced. In general, the duration of the purge gas and / or pump exhaust must be long enough to prevent pulses of the tantalum precursor and the nitrogen precursor from mixing together in the reaction zone.

일반적으로, 탄탈 전구체에 대한 약 1.0 초 또는 그 미만의 펄스 시간 및 질소 전구체에 대한 약 1.0초 또는 그 미만의 펄스 시간은 통상적으로 기판 구조물 상의 선택적인 단일 층을 흡수하기에 충분하다. 탄탈 전구체 및 질소 전구체의 펄스들 사이의 약 1.0초 또는 그 미만의 시간은 연속 퍼스 가스 또는 퍼지 가스의 펄스든지 아니든지 통상적으로 퍼지 가스에 대해 탄탈 전구체 및 질소 전구체의 펄스가 반응 존에서 서로 혼합되는 것을 방지하기에 충분하다. 물론, 반응물의 더 긴 펄스 시간은 탄탈 전구체 및 질소 전구체의 흡수를 보장하기 위해 이용될 수 있고 반응물들의 펄스들 사이의 더 긴 시간은 반응물 부산물의 제거를 보장하기 위해 이용될 수 있다.
Generally, a pulse time of about 1.0 second or less for a tantalum precursor and a pulse time of about 1.0 second or less for a nitrogen precursor is typically sufficient to absorb a selective monolayer on the substrate structure. The time of about 1.0 second or less between the pulses of the tantalum precursor and the nitrogen precursor is controlled so that pulses of the tantalum precursor and the nitrogen precursor are mixed with each other in the reaction zone with or without a pulse of continuous perspiration gas or purge gas It is enough to prevent. Of course, the longer pulse times of the reactants can be used to ensure absorption of the tantalum precursor and the nitrogen precursor, and the longer time between pulses of reactants can be used to ensure the removal of reactant byproducts.

원자 층 증착 동안, 기판(210)은 선택된 탄탈 전구체의 열 분해 온도의 대략적으로 아래를 유지할 수 있다. 본 명세서에서 확인되는 탄탈 전구체에 이용되는 전형적인 히터 온도 범위는 약 20℃ 내지 약 500℃이며, 챔버 압력은 약 100 Torr 보다 작거나 바람직하게는 50 Torr 보다 작다. 탄탈을 포함하는 가스가 PDMAT일 때, 히터 온도는 바람직하게는 약 100 ℃ 내지 약 300 ℃, 더욱 바람직하게는 약 175℃ 내지 250℃이고, 챔버 압력은 약 1.0 Torr 내지 5.0 Torr이다. 다른 실시예에서, 다른 온도 및 압력이 이용될 수 있다는 것을 이해하여야 한다. 예를 들면, 열 분해 온도 위의 온도가 이용될 수 있다. 그러나, 온도는 증착 작용의 50 퍼센트 이상이 흡수 공정에 의하도록 선택되어야 한다. 또 다른 예에서, 열 분해 온도 위의 온도가 이용될 수 있으며, 각각의 전구체 증착 동안 분해의 양은 성장 모드가 원자 층 증착 성장 모드와 유사하게 되도록 제한된다.
During atomic layer deposition, the substrate 210 may maintain approximately below the thermal decomposition temperature of the selected tantalum precursor. Typical heater temperature ranges used in the tantalum precursors identified herein are from about 20 DEG C to about 500 DEG C and the chamber pressure is less than about 100 Torr or preferably less than 50 Torr. When the gas containing tantalum is PDMAT, the heater temperature is preferably about 100 占 폚 to about 300 占 폚, more preferably about 175 占 폚 to 250 占 폚, and the chamber pressure is about 1.0 Torr to 5.0 Torr. In other embodiments, it should be understood that other temperatures and pressures may be utilized. For example, a temperature above the thermal decomposition temperature may be used. However, the temperature should be chosen so that more than 50 percent of the deposition action is to the absorption process. In another example, temperatures above the thermal decomposition temperature may be used and the amount of decomposition during each precursor deposition is limited such that the growth mode is similar to the atomic layer deposition growth mode.

도 1 내지 도 4의 공정 챔버(200) 내의 원자 층 증착에 의한 탄탈 질화물 층을 증착하는 하나의 예시적인 공정은 반응 존(264)의 더 작은 용적에 의해 약 0.5초 또는 그 미만, 약 0.1 초 또는 그 미만, 또는 약 0.05초 또는 그 미만의 펄스 시간 동안 밸브(242a)를 통하여 약 100 sccm 내지 약 1,000 sccm, 바람직하게는 약 100 sccm 내지 약 400 sccm의 유량으로 가스 소스(238)로부터 펜타키스(디메틸아미도) 탄탈(PDMAT)의 펄스를 제공하는 것을 포함한다. 암모니아의 펄스는 반응 존(264)의 더 작은 용적 때문에 약 0.5초 또는 그 미만, 약 0.1 초 또는 그 미만, 또는 약 0.05초 또는 그 미만의 펄스 시간 동안 밸브(242b)를 통하여 약 100 sccm 내지 약 1,000 sccm, 바람직하게는 200 sccm 내지 약 600 sccm의 유량으로 가스 소스(239)로부터 제공될 수 있다. 약 100 sccm 내지 1,000 sccm, 바람직하게는 약 100 sccm 내지 약 400 sccm의 유량으로 아르곤 퍼지 가스는 밸브(242a, 242b)를 통하여 가스 소스(240)로부터 연속적으로 제공될 수 있다. 탄탈 전구체 및 질소 전구체 펄스들 사이의 시간은 반응 존(264)의 더 작은 용적 때문에 약 0.5초 또는 그 미만, 약 0.1 초 또는 그 미만, 또는 약 0.07초 또는 그 미만 일 수 있다. 약 0.016초 또는 그 보다 많은 펄스 시간은 반응물 가스 및/또는 퍼지 가스로 반응 존(264)을 채울 것이 요구된다. 히터 범위는 약 1.0 Torr 내지 약 5.0 Torr의 챔버 압력에서 바람직하게는 약 100℃ 내지 약 300℃로 유지된다. 이러한 공정은 사이클 당 약 0.5 Å 내지 1.0 Å의 두께로 탄탈 질화물 층을 제공한다. 선택적인 순서는 원하는 두께가 달성될 때까지 반복될 수 있다.
One exemplary process for depositing a tantalum nitride layer by atomic layer deposition in the process chamber 200 of FIGS. 1-4 is performed by a smaller volume of the reaction zone 264 for about 0.5 seconds or less, about 0.1 seconds Or less, or about 0.05 seconds or less, through valve 242a for a pulse time of about 100 sccm to about 1,000 sccm, preferably about 100 sccm to about 400 sccm, (Dimethylamido) tantalum (PDMAT). The pulse of ammonia may be delivered through valve 242b for a pulse time of about 0.5 seconds or less, about 0.1 seconds or less, or about 0.05 seconds or less, due to the smaller volume of reaction zone 264, And may be provided from the gas source 239 at a flow rate of about 1,000 sccm, preferably from about 200 sccm to about 600 sccm. An argon purge gas may be continuously supplied from the gas source 240 through the valves 242a and 242b at a flow rate of about 100 sccm to about 1,000 sccm, preferably about 100 sccm to about 400 sccm. The time between tantalum precursor and nitrogen precursor pulses may be about 0.5 seconds or less, about 0.1 seconds or less, or about 0.07 seconds or less, due to the smaller volume of reaction zone 264. A pulse time of about 0.016 seconds or more is required to fill the reaction zone 264 with a reactant gas and / or a purge gas. The heater range is maintained at a chamber pressure of from about 1.0 Torr to about 5.0 Torr, preferably from about 100 [deg.] C to about 300 [deg.] C. This process provides a tantalum nitride layer at a thickness of about 0.5 A to 1.0 A per cycle. The optional order can be repeated until the desired thickness is achieved.

일 실시예에서, 탄탈 질화물 층과 같은 층은 약 50Å 또는 그 미만의 측벽 커버리지(coverage)로 증착된다. 또 다른 실시예에서, 층은 약 20Å 또는 그 미만의 측벽 커버리지로 증착된다. 또 다른 실시예에서, 층은 약 10Å 또는 그 미만의 측벽 커버리지로 증착된다. 약 10Å 또는 그 미만의 두께를 가지는 탄탈 질화물 층은 구리 확산을 방지하기 위한 배리어 층으로서 적용시 충분한 두께가 된다. 하나의 양태에서, 얇은 배리어 층은 마이크론 이하(예를 들면 0.15㎛ 보다 작은)를 채우는데 유용하게 이용될 수 있으며, 더 작은 피쳐는 고 총횡비(예를 들면, 5 대 1 보다 큰)를 가진다. 물론, 50Å 보다 큰 측벽 커버리지를 가지는 층이 이용될 수 있다.
In one embodiment, a layer, such as a tantalum nitride layer, is deposited with a sidewall coverage of about 50 A or less. In yet another embodiment, the layer is deposited with a sidewall coverage of about 20 A or less. In yet another embodiment, the layer is deposited with a sidewall coverage of about 10 A or less. A tantalum nitride layer having a thickness of about 10 A or less is a sufficient thickness when applied as a barrier layer to prevent copper diffusion. In one embodiment, a thin barrier layer may be usefully used to fill submicrons (e.g., less than 0.15 microns), and smaller features have a high total aspect ratio (e.g., greater than 5 to 1) . Of course, a layer having a sidewall coverage greater than 50 ANGSTROM may be used.

원자 층 증착의 실시예는 기판 상의 반응물의 단일 층의 흡수로서 상술되었다. 본 발명은 또한 반응물이 단일 층 보다 더 많거나 작게 증착되는 실시예를 포함한다. 본 발명은 또한 반응물이 자체 제한 방식으로 증착되지 않는 실시예를 포함한다. 본 발명은 또한 증착이 주로 화학 증착 공정에서 발생하는 실시예를 포함하며 화학 증착 공정에서 반응물이 후속적으로 또는 동시에 전달된다.
Embodiments of atomic layer deposition have been described above as absorption of a single layer of reactants on a substrate. The present invention also includes embodiments where the reactants are deposited more or less than a single layer. The present invention also includes embodiments wherein the reactants are not deposited in a self-limiting manner. The present invention also includes embodiments wherein the deposition occurs primarily in a chemical vapor deposition process and the reactants are subsequently or simultaneously delivered in a chemical vapor deposition process.

커버리지Coverage -- 디버리지Deveridge 리드 조립체( Lead assembly ( CoverageCoverage -- DiverageDiverage LidLid AssemblyAssembly ))

도 10a 내지 도 10f는 또 다른 실시예에 설명된 바와 같은 AND 공정에 대해 적용되는 챔버 리드 조립체(1032)의 개략도이다. 챔버 리드 조립체(1032)는 도 10a에 도시된 바와 같이, 리드판(1070)의 중앙 부분에 위치ㅐ하는 리드 캡(1072)을 포함한다. 가스 도관(1050a)은 일 단부에서 리드 캡(1072)에 결합되어 유체 소통되며, 가스 도관(1050a)의 다른 단부가 리드 플레이트(1070)를 통하여 연장되며 ALD 밸브 및 화학 전구체 소스와 유체 소통될 수 있다. 일 실시예에서, 가스 도관(1050a)은 가스 분산 채널(1028)에 직접 결합되어 유체 소통될 수 있다. 이와 달리, 가스 도관(1050a)은 가스 도관(1068a)(도 10f)을 통과하는 것과 같이, 가스 분산 채널(1028)에 간접적으로 결합되어 유체소통될 수 있다.
10A-10F are schematic views of a chamber lid assembly 1032 applied to an AND process as described in yet another embodiment. The chamber lid assembly 1032 includes a lead cap 1072 positioned in a central portion of the lid plate 1070, as shown in Fig. The gas conduit 1050a is coupled at one end to the lead cap 1072 for fluid communication and the other end of the gas conduit 1050a extends through the lead plate 1070 and is in fluid communication with the ALD valve and chemical precursor source have. In one embodiment, the gas conduit 1050a may be directly coupled to and in fluid communication with the gas distribution channel 1028. [ Alternatively, gas conduit 1050a may be indirectly coupled to fluid communication with gas distribution channel 1028, such as through gas conduit 1068a (Figure 10f).

가스 도관 커버(1052)는 하나 이상의 가스 도관을 포함하거나 두 개, 세 개, 또는 그 보다 많은 가스 도관을 포함할 수 있다. 도 10d 내지 도 10e는 가스 도관(1050b 및 1050c)을 포함하는 가스 도관 커버(1052)를 설명된다. 일 실시예에서 가스 도관(1050b)은 일 단부 상의 리드 캡(1072)을 통하여 연장하고, 가스 도관(1050b)의 타 단부는 리드판(1070)을 통하여 연장되고 ALD 밸브 및 화학 전구체 소스와 결합되어 유체 소통될 수 있다. 또 다른 실시예에서, 가스 도관(1050b 또는 1050c)은 가스 분산 채널(1028)에 결합되어 유체 소통된다. 이와 달리, 가스도관(1050b, 1050c)은 가스 분산 채널(1028)에 직접 결합되어 관통 가스 도관(1086b)(도 10f)를 통하는 것과 같이, 가스 분산 채널(1028)에 결합되어 유체 소통될 수 있다.
The gas conduit cover 1052 may include one or more gas conduits or two, three, or more gas conduits. 10D-10E illustrate a gas conduit cover 1052 that includes gas conduits 1050b and 1050c. In one embodiment, gas conduit 1050b extends through lead cap 1072 at one end and the other end of gas conduit 1050b extends through lead plate 1070 and is coupled to an ALD valve and a chemical precursor source Fluid communication. In yet another embodiment, the gas conduit 1050b or 1050c is coupled to and in fluid communication with the gas distribution channel 1028. Alternatively, gas conduits 1050b and 1050c may be coupled to and in fluid communication with gas distribution channel 1028, such as through a through gas conduit 1086b (Figure 10f) directly coupled to gas distribution channel 1028 .

도관(1050c)은 일부 실시예에서 선택적인 도관이다. 가스 도관(1050c)은 일 단부 상에 리드 캡(1072)에 결합되어 유체 소통될 수 있으며, 가스 도관(1050c)의 다른 단부는 리드판(1070)을 통하여 연장되어 ALD 밸브 및 캐리어 가스 소스, 퍼지 가스 소스, 플라즈마 가스, 또는 화학적 전구체 소스와 같은 가스 소스와 결합되어 유체 소통될 수 있다. 또 다른 실시예에서, 도관(1050c)은 리드 캡(1072)의 상부면에 결합되어 유체 소통될 수 있다. 또 다른 실시예에서, 도관(1050c)은 Y 조인트와 같은 도관(1050b)과 조합될 수 있다.
Conduit 1050c is an optional conduit in some embodiments. The gas conduit 1050c can be coupled to and fluidly coupled to the lead cap 1072 on one end and the other end of the gas conduit 1050c extends through the lead plate 1070 to provide an ALD valve and carrier gas source, A gas source, a plasma gas, or a chemical precursor source. In yet another embodiment, conduit 1050c may be coupled to fluid communication with the top surface of lead cap 1072. [ In another embodiment, conduit 1050c may be combined with conduit 1050b, such as a Y-joint.

챔버 리드 조립체(1032)는 리드 캡(1072) 및 리드판(1070)으로서 도 10a 내지 도 10f에 도시되며, 여기에서, 리드판(1070) 및 리드 캡(1072)은 가스 분산 채널(1028)을 형성한다. 부가판은 리드판(1070)과 리드 캡(1072)(도시안됨) 사이에 선택적을 배치될 수 있다. 그루브(1074) 내의 핀(1076)은 리드판(1070) 및 리드 캡(1072)(도 10d)을 연결한다. 부가판은 리드 캡(1072)과 리드판(1070) 사이의 거리를 조정(예를 들면, 증가)하기 위해 이용될 수 있어, 이를 형하여 형성된 가스 분산 채널(1028)의 길이를 각각 변화시킨다. 또 다른 실시예에서, 리드판(1070)과 리드 캡(1072) 사이에 배치되는 선택적인 부가판은 스테인레스 강을 포함한다. 다른 실시예에서, 가스 분산 채널(1028)은 재료의 단일 피스로부터 일체로 형성될 수 있다.
The chamber lid assembly 1032 is shown in Figures 10a-10f as a lead cap 1072 and a lid plate 1070 wherein the lid plate 1070 and the lead cap 1072 define a gas distribution channel 1028 . The additional plate may be selectively disposed between the lead plate 1070 and the lead cap 1072 (not shown). The pin 1076 in the groove 1074 connects the lead plate 1070 and the lead cap 1072 (Fig. 10D). The additional plate can be used to adjust (e.g., increase) the distance between the lead cap 1072 and the lead plate 1070, thereby varying the length of the gas distribution channel 1028 formed thereby. In another embodiment, the optional attachment plate disposed between the lead plate 1070 and the lead cap 1072 comprises stainless steel. In another embodiment, the gas distribution channel 1028 may be integrally formed from a single piece of material.

챔버 리드 조립체(1032)는 이를 통해 전달되는 특별한 가스에 따라 냉각 요소 및/또는 가열 요소를 포함할 수 있다. 챔버 리드 조립체(1032)의 온도를 조절하는 것은 챔버 리드 조립체(1032) 상의 가스 분해, 증착, 또는 응축을 방지하기 위해 이용될 수 있다. 예를 들면, 냉매 채널(1090)은 챔버 리드 조립체(1032)에 형성될 수 있어 챔버 리드 조립체(1032)를 냉각하도록 한다. 또 다른 예에서, 가열 요소(도시안됨)는 챔버 리드 조립체(1032)를 가열하도록 챔버 리드 조립체(1032)의 부품에 매립되거나 둘러쌀 수 있다. 일 실시예에서, 챔버 리드 조립체(1032)의 부품은 개별적으로 가열 또는 냉각될 수 있다. 예를 들면, 도 10a를 참조하면, 챔버 리드 조립체(1032)는 리드판(1070) 및 리드 캡(1072)을 포함할 수 있으며 챔버 리드 조립체 내의 리드판(1070) 및 리드 캡(1072)은 가스 분산 채널(1028)을 형성한다. 리드 캡(1072)은 하나의 온도 범위에서 유지될 수 있고 리드판(1070)은 또 다른 온도 범위에서 유지될 수 있다. 예를 들면, 리드 캡(1072)은 가열 테이프를 감음으로써 또는 반응물 가스의 응축을 방지하기 위해 또 다른 가열 장치를 이용함으로써 가열될 수 있다. 또 다른 예에서, 리드 캡(1072)은 가열될 수 있고 리드판(1070)은 이를 통해 형성되는 수 채널로 냉각될 수 있어 리드판(1070) 상의 반응물 가스의 열 분해를 방지하도록 한다.
The chamber lid assembly 1032 may include a cooling element and / or a heating element depending on the particular gas delivered therethrough. Adjusting the temperature of the chamber lid assembly 1032 may be used to prevent gas decomposition, deposition, or condensation on the chamber lid assembly 1032. For example, the coolant channel 1090 can be formed in the chamber lid assembly 1032 to allow cooling of the chamber lid assembly 1032. In another example, a heating element (not shown) may be embedded or enclosed in the components of the chamber lid assembly 1032 to heat the chamber lid assembly 1032. In one embodiment, the components of the chamber lid assembly 1032 can be individually heated or cooled. 10A, the chamber lid assembly 1032 may include a lid plate 1070 and a lid cap 1072 and a lid plate 1070 and a lid cap 1072 in the chamber lid assembly may include gas To form a dispersion channel 1028. [ The lead cap 1072 can be maintained in one temperature range and the lead plate 1070 can be maintained in another temperature range. For example, the lead cap 1072 can be heated by winding the heating tape or by using another heating device to prevent condensation of the reactant gas. In another example, the lead cap 1072 can be heated and the lead plate 1070 can be cooled with a number of channels formed therethrough to prevent thermal decomposition of the reactant gas on the lead plate 1070. [

챔버 리드 조립체(1032)는 수행되는 처리와 양립가능한 스테인레스 강, 알루미늄, 니켈 도금 알루미늄, 니켈 또는 다른 적절한 재료로 제조될 수 있는 부품을 포함한다. 일 실시예에서, 리드 캡(1072) 및 리드판(1070)은 독립적으로 제조, 기계가공, 단조될 수 있거나 그렇지 않으면 알루미늄, 알루미늄 합금, 강, 스테인레스 강, 이들의 합금, 또능 이들의 조합물로 제조될 수 있다.
The chamber lid assembly 1032 includes components that may be made of stainless steel, aluminum, nickel plated aluminum, nickel, or other suitable material compatible with the process being performed. In one embodiment, the lead cap 1072 and the lead plate 1070 can be independently manufactured, machined, forged or otherwise made of aluminum, aluminum alloy, steel, stainless steel, their alloys, .

일 실시예에서, 가스 분산 채널(1028) 및 챔버 리드 조립체(1032)의 하부면(1060)은 미러 연마 표면을 포함할 수 있어 챔버 리드 조립체(1032)의 하부면(1060) 및 가스 분산 채널(1028)을 따라 가스의 층류 유동을 형성하도록 한다. 또 다른 실시예에서, 가스 도관(1050a, 1050b, 1050c, 1068a, 또는 1068b)의 내부면은 이를 통한 가스의 층류 유동을 형성하도록 전기연마될 수 있다.
In one embodiment, the gas distribution channel 1028 and the lower surface 1060 of the chamber lid assembly 1032 can include a mirror polishing surface to provide a lower surface 1060 of the chamber lid assembly 1032 and a gas distribution channel 1028 to form a laminar flow of gas. In yet another embodiment, the interior surfaces of the gas conduits 1050a, 1050b, 1050c, 1068a, or 1068b may be electrically polished to form a laminar flow of gas therethrough.

일 실시예에서, 분산 채널(1028)의 내부면(1035a, 1035b, 및 1035c) 및 챔버 리드 조립체(1032)의 하부면(1060)은 챔버 리드 조립체(1032)의 하부면(1060) 및 분산 채널(1028)의 층류 유동을 형성하도록 미러 연마 표면을 포함할 수 있다. 또 다른 실시예에서, 가스 도관(1050a, 1050b, 및 1050c)의 내부면은 이를 통한 가스의 층류 유동을 형성하도록 전기연마될 수 있다.
In one embodiment, the inner surfaces 1035a, 1035b, and 1035c of the dispersion channel 1028 and the lower surface 1060 of the chamber lid assembly 1032 are positioned between the lower surface 1060 of the chamber lid assembly 1032 and the lower surface 1060 of the chamber lid assembly 1032, 0.0 &gt; 1028 &lt; / RTI &gt; In yet another embodiment, the interior surfaces of the gas conduits 1050a, 1050b, and 1050c may be electrically polished to form a laminar flow of gas therethrough.

선택적인 일 실시예에서, 챔버 리드 조립체(1032)의 하부면(1060) 및 분산 채널(1028)의 내부면(1035a, 1035b, 및 1035c)은 표면에 걸쳐 더 많은 표면적을 형성하도록 거칠기가공된 표면 또는 기계가공된 표면을 포함할 수 있다. 거칠기가공된 표면은 내부면(1035a, 1035b, 및 1035c) 및 하부면(1060) 상에 원하지 않는 축적된 재료의 향상된 부착을 제공한다. 원하지 않는 필름은 항상 증착 공정을 수행하는 순서로서 항상 이용되며 기판(1010)을 오염시키도록 내부면(1035a, 1035b, 및 1035c) 및 하부면(1060)으로부터 필링하거나 플레이킹할 수 있다. 일 예에서, 내부면(1035a, 1035b, 및/또는 1035c) 및 하부면(1060)의 평균 거칠기(Ra)는 약 10μin(약 0.254μm) 내지 약 200μin(약 5.08μm), 바람직하게는 약 20μin(약 0.508μm) 내지 약 100μin(약 2.54μm), 및 더욱 바람직하게는 약 30μin(약 0.762μm) 내지 약 80μin(약 2.032μm) 범위내와 같은 적어도 약 10μin일 수 있다. 또 다른 예에서, 내부면(1035a, 1035b, 및/또는 1035c) 및 하부면(1060)의 평균 거칠기는 바람직하게는 약 200μin(약 5.08μm) 내지 약 500μin(약 12.7μm)의 범위 내의 적어도 약 약 100μin(약 2.54μm)일 수 있다.
In an alternate embodiment, the lower surface 1060 of the chamber lid assembly 1032 and the inner surfaces 1035a, 1035b, and 1035c of the dispersion channel 1028 may be roughened surfaces to provide more surface area across the surface Or a machined surface. The roughened surface provides improved adhesion of undesired accumulated material on inner surfaces 1035a, 1035b, and 1035c and lower surface 1060. [ Unwanted films are always used in the order of always performing the deposition process and can be peeled or flaked from the inner surfaces 1035a, 1035b, and 1035c and the lower surface 1060 to contaminate the substrate 1010. [ In one example, the average roughness R a of the inner surfaces 1035a, 1035b, and / or 1035c and the lower surface 1060 is about 10μin (about 0.254μm) to about 200μin (about 5.08μm) Such as within the range of about 20μin (about 0.508μm) to about 100μin (about 2.54μm), and more preferably in the range of about 30μin (about 0.762μm) to about 80μin (about 2.032μm). In yet another example, the average roughness of inner surface 1035a, 1035b, and / or 1035c and lower surface 1060 is preferably at least about &lt; RTI ID = 0.0 &gt; About 100 microin (about 2.54 microns).

도 10d 내지 도 10f는 리드판(1070)의 중앙 부분을 통하여 연장하는 가스 분산 채널(1028)을 포함하는 챔버 리드 조립체(1032)의 단면도이다. 가스 분산 채널(1028)은 ALD 공정 동안 챔버 리드 조립체(1032) 아래 위치하는 기판에 대해 수직하게 연장하도록 항상 위치된다. 가스 분산 채널(1028)은 리드판(1070)을 통하여 그리고 하부면(1060)으로 리드 캡(1072)의 중심 축선을 따라 연장한다. 가스 분산 채널(1028)의 지오메트리는 수렴하는 상부 및 발산하는 하부를 포함하는 모래시계와 유사할 수 있다. 수렴하는 채널(1034a)은 가스 분산 채널(1028)의 하부(1035) 내의 중심 축선(1033)으로부터 먼쪽으로 테이퍼를 형성하는 가스 분산 채널(1028)의 일부분이다. 발산하는 채널(1034b)은 가스 분산 채널(1028)의 하부(1035) 내의 중심 축선(1033)으로부터 먼쪽으로 테이퍼를 형성하는 가스 분산 채널(1028)의 일 부분이다. 트로틀(1036)은 수렴하는 채널(1034a) 및 발산하는 채널(1034b)을 분리하는 좁은 통로이다. 가스 분산 채널(1028)은 하부면(1060)을 통하여 반응 존(1064) 내로 더 연장한다. 가스 분산 채널(1028)은 내부면(1035a 내지 1035c)을 포함하여, 수렴하는 채널(1034a)이 내부면(1035a)을 가지고, 분산하는 채널(1034b)이 내부면(1035b)을 가지며, 리드판(1070)이 내부면(1035c)을 가지도록 한다. 하부면(1060)은 발산하는 채널(1034)로부터 쵸크(1062)로 연장한다. 하부면(1060)은 ALD 공정 동안 챔버 리드 조립체(1032) 아래 위치되는 기판을 실질적으로 커버하도록 하는 크기 및 형상을 가진다.
10D-10F are cross-sectional views of a chamber lid assembly 1032 including a gas distribution channel 1028 extending through a central portion of the lid plate 1070. [ The gas distribution channel 1028 is always positioned to extend perpendicularly to the substrate positioned below the chamber lid assembly 1032 during the ALD process. The gas distribution channel 1028 extends along the central axis of the lead cap 1072 through the lead plate 1070 and to the lower surface 1060. The geometry of the gas distribution channel 1028 may be similar to an hourglass that includes a converging top and a diverging bottom. The converging channel 1034a is a portion of the gas distribution channel 1028 that forms a taper away from the central axis 1033 in the lower portion 1035 of the gas distribution channel 1028. [ The diverging channel 1034b is a portion of a gas distribution channel 1028 that forms a taper away from the central axis 1033 in the lower portion 1035 of the gas distribution channel 1028. [ The throttle 1036 is a narrow passage separating the converging channel 1034a and the diverging channel 1034b. The gas dispersion channel 1028 extends further into the reaction zone 1064 through the lower surface 1060. The gas distribution channel 1028 includes inner surfaces 1035a through 1035c such that the converging channel 1034a has an inner surface 1035a and the dispersing channel 1034b has an inner surface 1035b, (1070) having an inner surface (1035c). The lower surface 1060 extends from the diverging channel 1034 to the choke 1062. The bottom surface 1060 has a size and shape to substantially cover the substrate positioned below the chamber lid assembly 1032 during the ALD process.

도 10a 내지 도 10f는 기판을 두 개 이상의 가스 소스 또는 화학물 전구체에 노출하도록 구성된 챔버 리드 조립체(1032)를 도시한다. 다른 예에서, 가스 전달 시스템(1130)은 기판을 단일 가스 소스로(도 5에 도시된 바와 같이) 또는 3개 또는 그 보다 많은 가스 소스 또는 화학물 전구체(도 6에 도시된 바와 같이)에 노출하도록 재구성될 수 있다.
10A-10F illustrate a chamber lid assembly 1032 configured to expose a substrate to two or more gas sources or chemical precursors. In another example, the gas delivery system 1130 can be configured to expose the substrate to a single gas source (as shown in Figure 5) or to three or more gas sources or chemical precursors (as shown in Figure 6) Lt; / RTI &gt;

도 10e에 도시된 원형 가스 유동(1020)으로서, 공정 가스는 트로틀(1036)을 통과하는 동안 트로틀(1036)이 없는 유사하게 형성된 공정 챔버 내에서 보다 가스 분산 채널의 중심 축선(1033) 주위를 강제로 더 많이 회전하도록 한다. 원형 가스 유동(1020)은 보텍스 패턴, 헬릭스 패턴, 스파이럴 패턴, 트월 패턴, 트위스트 패턴, 코일 패턴, 월풀 패턴, 또는 이들로부터 유도된 패턴과 같은 유동 패턴을 포함할 수 있다. 원형 가스 유동(1020)은 가스 분산 채널(1028)의 중심 축선(1033) 주위를 적어도 약 1회전, 바람직하게는 적어도 약 1.5 회전, 더욱 바람직하게는, 적어도 약 2 회전, 더욱 바람직하게는 적어도 약 3 회전, 더욱 바람직하게는, 약 4 회전 또는 그 보다 많게 연장할 수 있다.
10E, the process gas is forced around the central axis 1033 of the gas distribution channel rather than in a similarly formed process chamber without the throttle 1036 while passing through the throttle 1036, As shown in Fig. The circular gas flow 1020 can include flow patterns such as vortex patterns, helix patterns, spiral patterns, twirl patterns, twist patterns, coil patterns, whirlpool patterns, or patterns derived therefrom. The circular gas flow 1020 is directed about the central axis 1033 of the gas distribution channel 1028 at least about one revolution, preferably at least about 1.5 turns, more preferably at least about two turns, Three turns, more preferably about four turns or more.

도 10a 내지 도 10f는 가스 도관(1050a, 1050b, 1050c, 1068a, 및 1068b) 및 가스 인렛(1038a 및 1038b)은 가스 분산 채널(1028)의 중심 축선(1033)에 대한 관계에서 다양한 각도로 위치될 수 있다. 각각의 가스 도관(1050a, 1050b, 1050c, 1068a, 또는 1068b) 또는 가스 인렛(1038a 또는 1038b)은 바람직하게는 중심 축선(1033)에 대해 수직하게(여기서, +β, -β = 90°) 위치하거나 각각의 가스 도관(1050a, 1050b, 1050c, 1068a, 또는 1068b)의 중심 축선 또는 가스 인렛(1038a 또는 1038b)으로부터 중심 축선(1033)까지 각도 +β 또는 -β(여기서, 중심 축선(1133)에 대해 도 11 내에 도시된 바와 같이, 0°< +β <90°, 또는 0°< -β <90°)로 위치한다. 따라서, 가스 도관(1050a, 1050b, 1050c, 1068a, 및 1068b) 및 가스 인렛(1038a 및 1038b)은 중심 축선(1033)에 대해 수직하게 수평으로 배치될 수 있고, 각도 +β로 하방으로 경사를 형성할 수 있거나 각도 -β로 상방으로 각도를 형성할 수 있어 기판을 향하여 하방으로 직접이 아닌 가스 분산 채널(1028)의 벽을 향하여 가스 유동을 제공하도록 하여, 기판의 표면 상에 흡수된 반응물의 제거 가능성을 감소시키도록 한다. 또한, 가스 도관(1050a, 1050b, 1050c, 1068a, 및 1068b)은 전달 라인 또는 ALD 밸브로부터 증가될 수 있어 가스 분산 채널(1028) 내로 유입되기 전에 가스 유동의 속도를 감소시킨다. 예를 들면, 가스 도관(1050a, 1050b, 1050c, 1068a, 및 1068b)은 점차적으로 증가하거나 내경을 증가시키는 다수의 연결 도관을 포함할 수 있어 내경을 포함할 수 있다.
10a-10f show that gas conduits 1050a, 1050b, 1050c, 1068a and 1068b and gas inlet 1038a and 1038b are located at various angles in relation to the central axis 1033 of the gas distribution channel 1028 . Each gas conduit 1050a, 1050b, 1050c, 1068a or 1068b or gas inlet 1038a or 1038b is preferably positioned perpendicular to the central axis 1033 where + Or from the central axis or gas inlet 1038a or 1038b of each gas conduit 1050a, 1050b, 1050c, 1068a or 1068b to the central axis 1033 at an angle + 0 < + < 90 DEG, or 0 DEG < -B < 90 DEG, as shown in FIG. Thus, the gas conduits 1050a, 1050b, 1050c, 1068a, and 1068b and the gas inlets 1038a and 1038b can be disposed horizontally, perpendicular to the central axis 1033, To provide a gas flow towards the wall of the gas distribution channel 1028 that is not directly downward toward the substrate, which may be angled upwardly at an angle - [beta], to remove the adsorbed reactants on the surface of the substrate Reduce the likelihood. In addition, the gas conduits 1050a, 1050b, 1050c, 1068a, and 1068b can be increased from the delivery line or ALD valve to reduce the velocity of the gas flow before entering the gas distribution channel 1028. [ For example, the gas conduits 1050a, 1050b, 1050c, 1068a, and 1068b may include an inner diameter that may include a number of connecting conduits that increase gradually or increase the inner diameter.

도 10d 내지 도 10f는 상부(1037)로부터 중심 축선(1033)을 따라, 트로틀(1036)로 수렴 채널(1034) 내에서 증가되는 내경을 포함하는 가스 분산 채널(1028)을 도시한다. 또한, 가스 분산 채널(1028)은 발산 채널(1034b) 내에서 트로틀(1036)로부터 중심 축선(1033)을 따라, 챔버 리드 조립체(1032)의 하부면(1060)에 인접한 하부(1035)로 증가하는 내경을 포함한다.
10D-10F illustrate a gas distribution channel 1028 that includes an inner diameter that is increased in the converging channel 1034 from the top 1037 along the central axis 1033 to the throttle 1036. The gas distribution channel 1028 also increases from the throttle 1036 in the diverging channel 1034b along the central axis 1033 to the lower portion 1035 adjacent the lower surface 1060 of the chamber lid assembly 1032 Includes inner diameter.

일 예에서, 300 mm 직경 기판에 적용하는 챔버 리드 조립체(1032)는 아래의 직경을 가질 수 있다. 가스 분산 채널(1028)의 상부(1037)에서 직경은 약 0.5 인치 내지 약 2 인치, 바람직하게는 약 0.75 인치 내지 약 1.5 인치, 및 더욱 바람직하게는 0.8 인치 내지 약 1.2 인치의 범위 내, 예를 들면 약 1인치일 수 있다. 가스 분산 채널(1028)의 트로틀(1036)에서의 직경은 약 0.1 인치 내지 약 1.5 인치, 바람직하게는 약 0.3 인치 내지 약 0.9 인치, 및 더욱 바람직하게는 0.5 인치 내지 약 0.8 인치의 범위 내, 예를 들면 약 0.66 인치일 수 있다. 가스 분산 채널(1028)의 하부(1035)의 직경은 약 0.5 인치 내지 약 2 인치, 바람직하게는 약 0.75 인치 내지 약 1.5 인치, 및 더욱 바람직하게는 0.8 인치 내지 약 1.2인치 범위 내, 예를 들면, 약 1 인치일 수 있다.
In one example, the chamber lid assembly 1032, which is applied to a 300 mm diameter substrate, may have a lower diameter. The diameter at the top 1037 of the gas distribution channel 1028 may range from about 0.5 inches to about 2 inches, preferably from about 0.75 inches to about 1.5 inches, and more preferably from 0.8 inches to about 1.2 inches, For example about 1 inch. The diameter of the gas distribution channel 1028 in the throttle 1036 is in the range of from about 0.1 inch to about 1.5 inch, preferably from about 0.3 inch to about 0.9 inch, and more preferably from 0.5 inch to about 0.8 inch, For example, about 0.66 inches. The diameter of the lower portion 1035 of the gas distribution channel 1028 may range from about 0.5 inches to about 2 inches, preferably from about 0.75 inches to about 1.5 inches, and more preferably from 0.8 inches to about 1.2 inches, , About 1 inch.

대체로, 상기 치수는 약 500 sccm 내지 약 3,000 sccm 사이의 총 가스 유동을 제공하도록 적용되는 가스 분산 채널(1028)에 적용된다. 다른 특정 실시예에서, 치수는 이를 통한 소정의 가스 유동을 수용하도록 변경될 수 있다. 대체로, 더 큰 가스 유동은 가스 분산 채널(1028)의 더 큰 직경을 요구한다.
Typically, the dimensions are applied to a gas distribution channel 1028 applied to provide a total gas flow between about 500 sccm and about 3,000 sccm. In another particular embodiment, the dimensions may be varied to accommodate a given gas flow therethrough. In general, a larger gas flow requires a larger diameter of the gas distribution channel 1028.

원리로부터 벗어나지 않고, 점차적으로 가스 분산 채널(1028)의 상부(1037)로부터 트로틀(1036)로 점차적으로 감소하고 트로틀(1036)로부터 가스 분산 채널(1028)의 하부(1035)로 증가하여, 원형 유동 가스(1020)에 포함되는 공정 가스의 온도를 제어하도록 하는 가스 분산 채널(1028)을 통한 가스의 적은 단열 팽창을 허용한다. 예를 들면, 가스 인렛(1038A)을 통하여 가스 분산 채널전달되는 가스의 갑작스런 단열 팽창은 가스의 응축 및 소적의 형성을 초래할 수 있는 가스의 온도 내에서의 강하를 초래할 수 있다. 한편, 점차적으로 테이퍼를 형성하는 가스 분산 채널(1028)은 적은 가스의 단열 팽창을 제공한다. 따라서, 더 많은 열이 가스로 또는 가스로부터 전달될 수 있어, 가스의 온도가 가스의 갑작스런 온도를 제어함으로써(챔버 리드 조립체(1032)의 온도를 제어함으로써) 더욱 용이하게 제어될 수 있다. 가스 분산 채널(1028)은 점차적으로 테이퍼를 형성하여 테이퍼 형성 직선형 표면, 오목형 표면, 볼록형 표면, 또는 이들의 조합면과 같은 하나 또는 그 보다 많은 테이퍼 형성 내부면을 포함하거나 하나 또는 그 보다 많은 테이퍼 형성 내부면의 섹션(즉, 테이퍼 형성된 일 부분 및 테이퍼가 형성되지 않은 일 부분)을 포함할 수 있다.
Gradually decreases from the upper portion 1037 of the gas distribution channel 1028 to the throttle 1036 and increases from the throttle 1036 to the lower portion 1035 of the gas distribution channel 1028 without departing from the principle, Allowing a small adiabatic expansion of the gas through the gas distribution channel 1028 to control the temperature of the process gas contained in the gas 1020. [ For example, a sudden adiabatic expansion of the gas that is channeled through the gas inlet 1038A can result in a drop in the temperature of the gas, which can lead to condensation of the gas and formation of droplets. On the other hand, the gas distribution channel 1028, which gradually forms the taper, provides for adiabatic expansion of less gas. Thus, more heat can be transferred to or from the gas, so that the temperature of the gas can be more easily controlled (by controlling the temperature of the chamber lid assembly 1032) by controlling the sudden temperature of the gas. The gas distribution channel 1028 may be tapered gradually to form one or more tapered inner surfaces, such as a tapered straight surface, a concave surface, a convex surface, or a combination surface thereof, (I.e., a portion that is tapered and a portion that is not tapered).

일 실시예에서, 가스 인렛(1038A, 1038B)은 도 10f에 도시된 바와 같은, 가스 분산 채널(1028)의 상부(1037)에 인접하게 위치된다. 다른 실시예에서, 하나 또는 그 보다 많은 가스 인렛(1038A, 1038B)은 상부(1037)와 하부(1035) 사이의 가스 분산 채널(1028)의 길이를 따라 위치될 수 있다.
In one embodiment, the gas inlet 1038A, 1038B is positioned adjacent the top 1037 of the gas distribution channel 1028, as shown in FIG. 10F. In another embodiment, one or more gas inlets 1038A, 1038B may be positioned along the length of the gas distribution channel 1028 between the top 1037 and the bottom 1035.

각각의 가스 도관(1050a, 1050b, 1050c, 1068a, 또는 1068b)은 가스 도관(1150a 및 1150b)의 중앙선(1146a 및 1146b)로부터 그리고 가스 분산 채널(1128)의 중앙으로부터 반경 라인으로부터 각도 α로 위치될 수 있는 각각의 가스 도관(1150a 및 1150b)의 도 11c 에 도시된 것과 유사하게 가스 도관의 중앙선으로부터 그리고 가스 분산 채널(1028)의 반경 라인으로부터 각도 α에 위치될 수 있다.바람직하게는 각도 α(즉, α > 0°일 때)로 위치되는 가스 도관(1050a, 1050b, 1050c, 1068a, 및 1068b)의 유입은 가스가 원형 가스 유동(1020)(도 10e)에 의해 도시된 바와 같이 원형 방향으로 유동하도록 한다. 팽창 채널의 벽에 대해 바로 직선으로 마추하는 각도 α로 가스를 제공함으로써(즉, α = 0°일 때) 난류 유동이 아닌 가스 분산 채널(1028)을 통한 더 많은 층류 유동을 제공하도록 한다. 가스 분산 채널(1028)을 통한 층류 유동은 가스 분산 채널(1028)의 내부면 및 챔버 리드 조립체(1032)의 다른 표면의 개선된 퍼징을 초래한다. 비교하면, 난류 유동은 가스 분산 채널(1028)의 내부면 및 다른 표면에 걸친 균일한 유동이 아닐 수 있으며 가스 유동이 없는 정체 스폿 또는 데드 스폿을 포함할 수 있다. 하나의 양태에서, 가스 도관(1050a, 1050b, 1050c, 1068a 및 1068b) 및 대응하는 가스 인렛(1038A, 1038B)은 서로로부터 이격되고 동일한 원형 방향(즉, 시계 방향 또는 반 시계 방향)으로 유동을 지향시킨다.
Each gas conduit 1050a, 1050b, 1050c, 1068a or 1068b is positioned at an angle a from the center line 1146a and 1146b of the gas conduits 1150a and 1150b and from the radial line from the center of the gas distribution channel 1128 Can be located at an angle a from the centerline of the gas conduit and from the radial line of the gas distribution channel 1028 similar to that shown in Figure 11C of each of the available gas conduits 1150a and 1150b. The introduction of the gas conduits 1050a, 1050b, 1050c, 1068a, and 1068b located at a predetermined angle (i.e., when?> 0 °) causes the gas to flow in a circular direction as shown by the circular gas flow 1020 Flow. Thereby providing more laminar flow through the gas distribution channel 1028 than by turbulent flow by providing the gas at an angle alpha that straightens directly to the wall of the expansion channel (i.e., when alpha = 0). Laminar flow through the gas distribution channel 1028 results in improved purging of the interior surface of the gas distribution channel 1028 and other surfaces of the chamber lid assembly 1032. By comparison, the turbulent flow may not be a uniform flow across the inner surface and other surfaces of the gas distribution channel 1028 and may include a static spot or dead spot without gas flow. In one embodiment, the gas conduits 1050a, 1050b, 1050c, 1068a and 1068b and the corresponding gas inlet 1038A, 1038B are spaced from each other and oriented in the same circular direction (i.e., clockwise or counterclockwise) .

이론에 구속되지 않고, 도 10e 내지 도 10f는 챔버 리드 조립체(1032)의 가스 분산 채널(1028)의 단면도로서, 가스 분산 채널을 통한 가스 유동을 간단히 보여준다. 비록 가스 분산 채널(1028)을 통한 정확한 가스 유동이 공지되지 않았지만, 원형 가스 유동(1020)(도 10e)은 보텍스 유동, 헬릭스 유동, 스월 유동, 트월 유동, 트위스트 유동, 코일 유동, 코크스크류 유동, 컬 유동, 월풀 유동, 및 이들로부터 유동된 유동, 또는 이들의 조합 유동과 같은 원형 유동 패턴으로 가스 분산 채널(1028)을 통하여 이동할 수 있다. 원형 유동은 기판으로부터 분리된 구획에 대응되는, " 처리 영역 "에 제공될 수 있다. 하나의 양태에서, 원형 가스 유동(1020)은 가스 분산 채널(1028)의 내부면을 걸쳐 보텍스 유동 패턴의 스위핑 작용에 의해 가스 분산 채널(1028)의 더욱 효과적인 퍼지를 설정하는데 도움이 될 수 있다.
Without being bound by theory, FIGS. 10E-10F are cross-sectional views of the gas distribution channel 1028 of the chamber lid assembly 1032, which briefly show the gas flow through the gas distribution channel. Although the precise gas flow through the gas distribution channel 1028 is not known, the circular gas flow 1020 (FIG. 10E) can be used to control the flow of the vortex flow, helix flow, swirl flow, twirl flow, twist flow, Can flow through the gas distribution channel 1028 in a circular flow pattern, such as a curled flow, a whirlpool flow, and a flow flow from them, or a combination flow thereof. The circular flow can be provided in a "processing zone" corresponding to a section separated from the substrate. In one embodiment, the circular gas flow 1020 can help establish a more effective purging of the gas distribution channel 1028 by sweeping the vortex flow pattern across the interior surface of the gas distribution channel 1028.

도 10d는 챔버 리드 조립체(1032)의 하부면(1060)의 적어도 일 부분은 가스 분산 채널(1028)로부터 챔버 리드 조립체(1032)의 주변 부분으로 테이퍼를 형성할 수 있어 기판의 표면에 걸쳐 가스 분산 채널(1028)로부터 가스 유동의 개선된 속도 프로파일을 제공하도록 한다(즉, 기판의 중앙으로부터 기판의 에지로). 하부면(1060)은 직선형 표면, 오목형 표면, 볼록형 표면, 또는 이들의 조합형 표면과 같은 하나 또는 그 보다 많은 테이퍼 형성 표면을 포함할 수 있다. 일 실시예에서, 하부면(1060)은 깔때기의 형상으로 테이퍼가 형성된다.
10D shows that at least a portion of the lower surface 1060 of the chamber lid assembly 1032 can form a taper from the gas distribution channel 1028 to the peripheral portion of the chamber lid assembly 1032 to provide gas dispersion (I.e., from the center of the substrate to the edge of the substrate) to provide an improved velocity profile of the gas flow from the channel 1028. Lower surface 1060 can include one or more tapered surfaces, such as a straight surface, a concave surface, a convex surface, or a combination thereof. In one embodiment, the lower surface 1060 is tapered in the shape of a funnel.

일 예에서, 하부면(1060)은 반응물 가스로 기판의 표면의 균일한 노출을 제공하는 것을 보조하면서 기판과 챔버 리드 조립체(1032)의 하부면(1060) 사이로 이동하는 공정 가스의 속도의 변화를 감소시키기 위해 하방으로 경사진다. 일 실시예에서, 기판의 표면과 챔버 리드 조립체(1032)의 하방 경사 하부면(1060) 사이의 유동 섹션의 최소 면적에 대한 유동 섹션의 최대 면적의 비율은 약 2 보다 작고, 바람직하게는 약 1.5 보다 작고, 바람직하게는 약 1.3 보다 작고, 그리고 더욱 바람직하게는 약 1이다.
In one example, the lower surface 1060 may provide a change in the velocity of the process gas moving between the substrate and the lower surface 1060 of the chamber lid assembly 1032, while providing a uniform exposure of the surface of the substrate to the reactant gas It is inclined downward to reduce it. In one embodiment, the ratio of the maximum area of the flow section to the minimum area of the flow section between the surface of the substrate and the downwardly inclined bottom surface 1060 of the chamber lid assembly 1032 is less than about 2, preferably less than about 1.5 , Preferably less than about 1.3, and more preferably about 1.

이론에 구속되지 않고, 기판의 표면에 걸쳐 더욱 균일한 속도로 이동하는 가스 유동은 기판 상의 가스의 더욱 균일한 증착을 제공하도록 한다. 가스의 속도는 가스의 농도에 직접 비례하고 이어서 기판 표면 상의 가스의 증착율에 직접 비례한다. 따라서, 기판의 표면의 제 1 영역 대 기판의 표면 상의 제 2 영역에서 가스의 더 높은 속도는 제 1 영역 상의 가스의 더 높은 속도는 제 1 영역 상의 가스의 더 높은 증착을 제공한다. 하방으로 경사지는, 하부면(1060)을 가지는 챔버 리드 조립체(1032)는 기판의 표면에 걸쳐 가스의 더욱 균일한 증착을 제공하며, 이는 하부면(1060)이 더욱 균일한 속도 및 이에 따라 기판의 표면에 걸친 가스의 더욱 균일한 농도를 제공하기 때문이다.
Without being bound by theory, the gas flow moving at a more uniform rate across the surface of the substrate allows for a more uniform deposition of gas on the substrate. The velocity of the gas is directly proportional to the concentration of the gas and then directly proportional to the deposition rate of the gas on the substrate surface. Thus, a higher rate of gas in the second region on the surface of the substrate than a first region of the surface of the substrate provides a higher deposition of gas on the first region than a higher rate of gas on the first region. A chamber lid assembly 1032 having a downwardly inclined bottom surface 1060 provides a more uniform deposition of gas across the surface of the substrate which allows the lower surface 1060 to have a more uniform velocity Because it provides a more uniform concentration of gas across the surface.

도 10c 내지 도 10e는 기판이 ALD 공정 동안 위치될 수 있는 위치의 주변에 인접하여 챔버 리드 조립체(1032)의 주변 부분에 위치되는 쵸크(1062)를 도시한다. 챔버 리드 조립체(1032)가 기판 주위에 처리 영역을 형성하기 위해 조립될 때, 쵸크(1062)는 기판의 주변에 인접한 영역에 이를 통한 가스의 유동을 제한하는 소정의 부재를 포함할 수 있다.
10C-10E illustrate a choke 1062 positioned in a peripheral portion of the chamber lid assembly 1032 adjacent the periphery of a location where the substrate may be located during the ALD process. When the chamber lid assembly 1032 is assembled to form a process region around the substrate, the choke 1062 may include a member that restricts the flow of gas therethrough to an area adjacent the periphery of the substrate.

리드 캡(1097), 가스 도관(1050a), 가스 도관 커버(1052), 및 리드판(1070)의 상부면의 일 부분은 도 10a 내지 도 10d에 도시된 바와 같이, 핸들(1082)을 가지는 챔버 리드 커버(1080)에 의해 커버될 수 있다. 챔버 리드 조립체(1032)의 온도는 리드판(1070)을 통하여 연장하는 냉매 채널(1090)과 같은, 수 재킷(water jacket)에 부착되는 액체 냉각 시스템에 의해 제어될 수 있다. 물과 같은, 유체 냉매는 냉매 채널(1090)을 통과할 수 있어 리드판(1070)으로부터 열을 제거하도록 한다. 냉매 커넥터(1092a 및 1092b)는 호스 또는 튜브에 의해 냉매 채널(1070)에 연결될 수 있다. 냉매 커넥터(1092a 및 1092b)의 다른 단부는 호스 또는 튜브에 의해 유체 소스 및 하우징 내 냉각 시스템 또는 독립적인 냉각 시스템과 같은 유체 회수부로 연결될 수 있다. 냉매 커넥터(1092a 및 1092b)는 지지 브래킷(1094)에 의해 리드판(1070)에 부착될 수 있다. 냉매 채널(1070)을 통하여 유동할 수 있는 액체는 물, 오일, 알콜, 글리콜(glycol), 글리콜 에테르, 또는 다른 유기 용매를 포함한다. 일 실시예에서, 리드판(1070) 또는 챔버 리드 조립체(1032)의 온도는 약 0℃ 내지 약 100℃, 바람직하게는 약 18℃ 내지 약 65℃, 및 더욱 바람직하게는 약 20℃ 내지 약 50℃의 범위 내에 미리결정된 온도로 유지될 수 있다.
A portion of the top surface of the lead cap 1097, the gas conduit 1050a, the gas conduit cover 1052 and the lid plate 1070 is connected to a chamber 1082 having a handle 1082, as shown in Figures 10A- Can be covered by the lead cover 1080. [ The temperature of the chamber lid assembly 1032 can be controlled by a liquid cooling system attached to a water jacket, such as the coolant channel 1090 extending through the lid plate 1070. A fluid refrigerant, such as water, can pass through the refrigerant channel 1090 to remove heat from the lid plate 1070. The refrigerant connectors 1092a and 1092b may be connected to the refrigerant channel 1070 by hoses or tubes. Other ends of the refrigerant connectors 1092a and 1092b may be connected by a hose or tube to a fluid source such as a fluid source and a fluid return within the housing, such as a cooling system or an independent cooling system. The refrigerant connectors 1092a and 1092b may be attached to the lid plate 1070 by the support bracket 1094. [ The liquid that can flow through the refrigerant channel 1070 includes water, oil, alcohol, glycol, glycol ether, or other organic solvent. In one embodiment, the temperature of the lid plate 1070 or the chamber lid assembly 1032 is between about 0 캜 and about 100 캜, preferably between about 18 캜 and about 65 캜, and more preferably between about 20 캜 and about 50 Lt; RTI ID = 0.0 &gt; C, &lt; / RTI &gt;

도 11a 내지 도 11c는 ALD 공정을 위해 적용되는 가스 전달 시스템(1130)을 포함하는 공정 챔버(1100)의 일 실시예의 개략도이다. 공정 챔버(1100)는 측벽(1104) 및 바닥부(1106)를 가지는 챔버 바디(1102)를 포함한다. 공정 챔버(1100) 내의 슬릿 밸브(1108)는 200 mm 또는 300 mm 반도체 웨이퍼 또는 유리 기판과 같은 기판(1110)을 공정 챔버(1100)로 전달 및 공정 챔버(1100)로부터 회수하기 위한 로봇(도시안됨)을 위한 접근로를 제공한다.
11A-11C are schematic diagrams of one embodiment of a process chamber 1100 including a gas delivery system 1130 applied for an ALD process. The process chamber 1100 includes a chamber body 1102 having a sidewall 1104 and a bottom portion 1106. The slit valve 1108 in the process chamber 1100 is a robot for transferring a substrate 1110 such as a 200 mm or 300 mm semiconductor wafer or glass substrate to and from the process chamber 1100 ). &Lt; / RTI &gt;

기판 지지부(1112)는 공정 챔버(1100) 내의 기판 수용면(1111) 상에 기판(1110)을 지지한다. 기판 지지부(1112)는 기판 지지부(1112) 및 그 위에 배치되는 기판(1110)을 상승 및 낮추기 위해 리프트 모터(1114)에 장착된다. 리프트 모터(1118)에 연결되는 리프트판(1116)은 공정 챔버(1100) 내에 장착되고 기판 지지부(1112)를 통하여 가동되게 배치되는 리프트 핀(1120)을 상승 및 하강시킨다. 리프트 핀(1120)은 기판 지지부(1112)의 표면 위로 기판(1110)을 상승 및 하강한다. 기판 지지부(1112)는 증착 공정 동안 기판 지지부(1112)에 기판(1110)을 고정하기 위한 진공 척(도시안됨), 정전 척(도시안됨), 또는 클램프 링(도시안됨)을 포함할 수 있다.
The substrate support 1112 supports the substrate 1110 on the substrate receiving surface 1111 in the process chamber 1100. The substrate support 1112 is mounted to the lift motor 1114 to raise and lower the substrate support 1112 and the substrate 1110 disposed thereon. A lift plate 1116 connected to the lift motor 1118 lifts and lowers the lift pins 1120 that are mounted in the process chamber 1100 and are movably disposed through the substrate support 1112. The lift pins 1120 raise and lower the substrate 1110 over the surface of the substrate support 1112. The substrate support 1112 may include a vacuum chuck (not shown), an electrostatic chuck (not shown), or a clamp ring (not shown) for fixing the substrate 1110 to the substrate support 1112 during the deposition process.

기판 지지부(1112)의 온도는 그 위에 배치되는 기판(1110)의 온도를 제어하기 위해 조정될 수 있다. 예를 들면, 기판 지지부(1112)는 저항 히터(도시안됨)와 같은, 매립된 가열 요소를 이용하여 가열될 수 있거나, 기판 지지부(1112) 위에 배치되는 가열 램프(도시안됨)과 같이, 방사 열을 이용하여 가열될 수 있다. 퍼지 링(1122)은 기판 지지부(1112) 위에 배치되어 퍼지 채널(1124)을 형성할 수 있으며, 퍼지 채널은 기판 위의 증착을 방지하기 위하여 기판(1110)의 주변 부분에 퍼지 가스를 제공한다.
The temperature of the substrate support 1112 can be adjusted to control the temperature of the substrate 1110 disposed thereon. For example, the substrate support 1112 may be heated using a buried heating element, such as a resistive heater (not shown), or may be heated by a radiant heat (not shown), such as a heating lamp , &Lt; / RTI &gt; A purge ring 1122 may be disposed over the substrate support 1112 to form a purge channel 1124 that provides purge gas to the peripheral portion of the substrate 1110 to prevent deposition on the substrate.

가스 전달 시스템(1130)은 공정 가스 및/또는 퍼지 가스와 같은, 가스를 공정 챔버로 제공하도록 챔버 바디(1102)의 상부에 배치된다. 도 11a 내지 도 11c는 적어도 두 개의 가스 소스 또는 화학물 전구체에 기판(1110)을 노출하도록 구성된 가스 전달 시스템(1130)을 도시한다. 다른 예에서, 가스 전달 시스템(1130)은 단일 가스 소스(도 5에 도시된 바와 같은) 또는 3개 또는 그 보다 많은 소스 또는 화학물 전구체(도 6에 도시된 바와 같은)에 기판(1110)을 노출하도록 재구성될 수 있다. 진공 시스템(1178)은 펌핑 채널(1179)과 소통되어 공정 챔버(1100)로부터 소정의 원하는 가스를 배출하고 공정 챔버(1100)의 펌핑 존(1166) 내부의 원하는 압력 또는 원하는 압력 범위를 유지하도록 한다.
The gas delivery system 1130 is disposed on top of the chamber body 1102 to provide gas to the process chamber, such as process gas and / or purge gas. Figures 11a-11c illustrate a gas delivery system 1130 configured to expose a substrate 1110 to at least two gas sources or chemical precursors. In another example, the gas delivery system 1130 can include a substrate 1110 in a single gas source (as shown in Figure 5) or three or more sources or chemical precursors (as shown in Figure 6) Lt; / RTI &gt; Vacuum system 1178 communicates with pumping channel 1179 to discharge any desired gas from process chamber 1100 and maintain a desired or desired pressure range within pumping zone 1166 of process chamber 1100 .

일 실시예에서, 가스 전달 시스템(1130)은 챔버 리드 조립체(1132)의 중앙 부분을 통하여 연장하는 가스 분산 채널(1128)을 가지는 챔버 리드 조립체(1132)를 포함한다. 가스 분산 채널(1128)은 기판 수용면(1111)에 대해 수직하게 연장하고 또한 가스 분산 채널(1128)의 중심 축선(1133)을 따라 리드판(1170)을 통하여, 하부 표면(1160)으로 연장한다. 수렴 채널(1134a)은 가스 분산 채널(1128)의 상부(1137) 내의 중심 축선(1133)을 통하여 테이퍼를 형성하는 가스 분산 채널(1128)의 일 부분이다. 발산 채널(1134b)은 가스 분산 채널(1128)의 하부(1135) 내의 중심 축선(1133)으로부터 이격하여 테이퍼를 형성하는 가스 분산 채널(1128)의 일 부분이다. 트로틀(1131)은 수렴 채널(1134a) 및 발산 채널(1134b)을 분리하는 좁은 통로이다. 가스 분산 채널(1128)은 하부 표면(1160)을 통하여 반응 존(1164) 내로 추가로 연장한다. 하부 표면(1160)은 수렴 채널(1134b)로부터 쵸크(1162)로 연장한다. 하부면(1160)은 기판 지지부(1112)의 기판 수용면(1111) 상에 배치되는 기판(1110)을 실질적으로 커버하도록 하는 크기 및 형상을 가진다.
In one embodiment, the gas delivery system 1130 includes a chamber lid assembly 1132 having a gas distribution channel 1128 extending through a central portion of the chamber lid assembly 1132. The gas distribution channel 1128 extends perpendicular to the substrate receiving surface 1111 and also extends through the lid plate 1170 along the central axis 1133 of the gas distribution channel 1128 to the lower surface 1160 . The converging channel 1134a is a portion of the gas distribution channel 1128 that forms a taper through the central axis 1133 in the upper portion 1137 of the gas distribution channel 1128. The diverging channel 1134b is a portion of the gas distribution channel 1128 that forms a taper away from the central axis 1133 in the lower portion 1135 of the gas distribution channel 1128. [ The throttle 1131 is a narrow passage separating the converging channel 1134a and the diverging channel 1134b. The gas dispersion channel 1128 further extends into the reaction zone 1164 through the bottom surface 1160. The lower surface 1160 extends from the converging channel 1134b to the choke 1162. [ The bottom surface 1160 has a size and shape to substantially cover the substrate 1110 disposed on the substrate receiving surface 1111 of the substrate support 1112.

원형 가스 유동(1174)으로서 공정 가스는 트로틀(1131)을 통과하는 동안 트로틀(1131)의 부존재시 유사하게 형성된 공정 챔버 내에서 보다 가스 분산 채널(1128)의 중심 축선(1133) 둘레를 더 많이 회전시킨다. 원형 가스 유동(1174)은 보텍스 패턴, 헬릭스 패턴, 스파이럴 패턴, 트월 패턴, 트위스트 패턴, 코일 패턴, 월풀 패턴, 또는 이들의 유도형 패턴과 같은 유동 패턴을 포함할 수 있다. 원형 가스 유동(1174)은 가스 분산 채널(1128)의 중심 축선(1133) 둘레를 적어도 약 1회전, 바람직하게는 적어도 약 1.5 회전, 더욱 바람직하게는 적어도 약 2 회전, 더욱 바람직하게는 적어도 약 3 회전, 및 더욱 바람직하게는 약 4 회전 또는 그 보다 많게 연장할 수 있다.
The process gas as the circular gas flow 1174 rotates more about the central axis 1133 of the gas distribution channel 1128 than in the similarly formed process chamber during the absence of the throttle 1131 while passing through the throttle 1131 . The circular gas flow 1174 may include flow patterns such as a vortex pattern, a helix pattern, a spiral pattern, a twist pattern, a twist pattern, a coil pattern, a whirlpool pattern, or an inductive pattern thereof. Circular gas flow 1174 is directed about the central axis 1133 of the gas distribution channel 1128 at least about one revolution, preferably at least about 1.5 turns, more preferably at least about two turns, more preferably at least about three Rotation, and more preferably about four turns or more.

가스 분산 채널(1128)은 함께 및/또는 별도로 제공될 수 있는 두 개의 유사한 쌍의 밸브(1142a/11152a, 1142b/1152b)로부터 가스 유동을 제공하도록 가스 인렛(1136a, 1136b)을 가진다. 하나의 구성에서, 밸브(1142a) 및 밸브(1142b)는 개별적인 반응물 가스 소스에 결합되지만 동일한 퍼지 가스 소스에 결합된다. 예를 들면, 밸브(1142a)는 반응물 가스 소스(1138)에 결합되고 밸브(1142b)는 반응물 가스 소스(1139)에 결합되고, 양 밸브(1142a, 1142b)는 퍼지 가스 소스(1140)로 결합된다. 각각의 밸브(1142a, 1142b)는 밸브 시트 조립체(1144a, 1144b)를 가지는 전달 라인(1143a)을 포함하며 각각의 밸브(1152a, 1152b)는 밸브 시트 조립체(1146a, 1146b)를 가지는 퍼지 라인(1145a, 1145b)을 포함한다. 전달 라인(1143a, 1143b)은 반응물 가스 소스(1138)와 유체 소통되고 가스 분산 채널(1128)의 가스 인렛(1136a, 1136b)과 유체 소통된다. 전달 라인(1143a, 1143b)의 밸브 시트 조립체(1144a, 1144b)는 반응물 가스 소스(1138, 1143)로부터 가스 분산 채널(1128)로 반응물 가스의 유동을 제어한다. 퍼지 라인(1145a, 1145b)은 퍼지 가스 소스(1140)와 유체 소통되고 전달 라인(1143a, 1143b)의 밸브 시트 조립체(1144a, 1144b)의 하류부의 전달 라인(1142a, 1143b)와 교차한다. 퍼지 라인(1145a, 1145b)의 밸브 시트 조립체(1146a, 1146b)는 퍼지 가스 소스(1140)로부터 가스 분산 채널(1128)로의 퍼지 가스의 유동을 제어한다. 캐리어 가스가 반응물 가스 소스(1138, 1143)로부터 반응물 가스를 전달하기 위해 이용하는 경우, 바람직하게는 동일한 가스가 캐리어 가스 및 퍼지 가스로서 이용된다(즉, 캐리어 가스 및 퍼지 가스로서 이용되는 아르곤 가스).
The gas distribution channel 1128 has gas inlets 1136a, 1136b to provide gas flow from two similar pairs of valves 1142a / 11152a, 1142b / 1152b that may be provided together and / or separately. In one configuration, valve 1142a and valve 1142b are coupled to separate reactant gas sources but are coupled to the same purge gas source. For example, valve 1142a is coupled to reactant gas source 1138, valve 1142b is coupled to reactant gas source 1139, and both valves 1142a and 1142b are coupled to purge gas source 1140 . Each valve 1142a and 1142b includes a delivery line 1143a having valve seat assemblies 1144a and 1144b and each valve 1152a and 1152b includes a purge line 1145a with valve seat assemblies 1146a and 1146b , 1145b. Transmission lines 1143a and 1143b are in fluid communication with reactant gas source 1138 and in fluid communication with gas inlet 1136a and 1136b of gas distribution channel 1128. The valve seat assemblies 1144a and 1144b of the transfer lines 1143a and 1143b control the flow of reactant gases from the reactant gas sources 1138 and 1143 to the gas distribution channels 1128. Purge lines 1145a and 1145b are in fluid communication with purge gas source 1140 and intersect transmission lines 1142a and 1143b downstream of valve seat assemblies 1144a and 1144b of delivery lines 1143a and 1143b. Valve seat assemblies 1146a and 1146b of purge lines 1145a and 1145b control the flow of purge gas from purge gas source 1140 to gas distribution channel 1128. [ When a carrier gas is used to transfer the reactant gas from the reactant gas sources 1138 and 1143, preferably the same gas is used as the carrier gas and the purge gas (i.e., the argon gas used as the carrier gas and the purge gas).

각각의 밸브 시트 조립체(1144a, 1144b, 1146a, 1146b)는 다이아프램(도시안됨) 및 밸브 시트(도시안됨)를 포함할 수 있다. 다이아프램은 편향되어 개방 또는 폐쇄될 수 있고 각각 작동되어 개방 또는 폐쇄될 수 있다. 다이아프램은 공기압으로 작동될 수 있거나 전기적으로 작동될 수 있다. 공기압 작동 밸브는 후지킨, 아이엔씨, 및 베리플로 디비젼, 파커 하니핀, 코포레이티드로부터 입수가능한 공기압으로 작동되는 밸브를 포함한다. 전기적으로 작동되는 밸브는 후지킨, 아이엔씨.로부터 입수가능한 전기적으로 작동되는 밸브를 포함할 수 있다. 예를 들면, 이용될 수 있는 ALD 밸브는 후지킨 모델 제 FPR-UDDFAT-21-6.35-PI-ASN호 또는 후지킨 모델 제 FPR-NHDT-21-6.35-PA-AYT호이다. 프로그램가능한 로직 제어기(1148a, 1148b)는 밸브(1142a, 1142b)에 결합되어 밸브(1142a, 1142b)의 밸브 시트 조립체(1144a, 1144b, 1146a, 1146b)의 다이아프램의 작동을 제어하도록 한다. 공기압으로 작동되는 밸브는 약 0.020 초 만큼 작은 시간에 가스의 펄스를 제공할 수 있다. 전기적으로 작동되는 밸브는 약 0.005초 만큼 작은 시간에 가스의 펄스를 제공할 수 있다. 전기적으로 작동되는 밸브는 통상적으로 밸브와 프로그램가능한 로직 제어기 사이에 결합되는 구동기의 이용을 요구한다.
Each valve seat assembly 1144a, 1144b, 1146a, 1146b may include a diaphragm (not shown) and a valve seat (not shown). The diaphragm can be deflected open or closed and each can be actuated to open or close. The diaphragm can be actuated pneumatically or electrically. The pneumatically actuated valve includes a pneumatically actuated valve available from Fujikin, Inc., and the Berry Fluid Division, Parker Hannifin Corporation. Electrically operated valves may include electrically actuated valves available from Fuzikin, IN. For example, ALD valves that may be used are the Fujitsu Model FPR-UDDFAT-21-6.35-PI-ASN or Fujiquin Model FPR-NHDT-21-6.35-PA-AYT. Programmable logic controllers 1148a and 1148b are coupled to valves 1142a and 1142b to control operation of diaphragms of valve seat assemblies 1144a, 1144b, 1146a, and 1146b of valves 1142a and 1142b. A pneumatically operated valve can provide a pulse of gas in as little as 0.020 seconds. Electrically operated valves can provide pulses of gas in as little as about 0.005 seconds. Electrically operated valves typically require the use of a driver coupled between the valve and a programmable logic controller.

각각의 밸브(1142a, 1142b)는 밸브 시트 조립체(1144a, 1144b)가 폐쇄될 때 전달 라인(1143a, 1143b)으로부터 반응물 가스의 플러싱을 가능하게 하도록 제로 데드 용적일 수 있다. 예를 들면, 퍼지 라인(1145a, 1145b)은 전달 라인(1143a, 1143b)의 밸브 시트 조립체(1144a, 1144b)에 인접하게 위치설정될 수 있다. 밸브 시트 조립체(1144a, 1144b)가 폐쇄될 때, 퍼지 라인(1145a, 1145b)은 전달 라인(1143a, 1143b)을 플러싱하기 위해 퍼지 가스를 전달할 수 있다. 일 실시예에서, 퍼지 라인(1145a, 1145b)은 전달 라인(1143a, 1143b)의 밸브 시트 조립체(1144a, 1144b)로부터 약간 이격되어 위치하여 퍼지 가스가 개방될 때 밸브 시트 조립체(1144a, 1144b) 내로 직접 전달되지 않도록 한다. 본 명세서에서 이용되는 바와 같은 제로 데드 용적 밸브는 무시가능한 데드 용적을 가지는(즉, 제로 데드 용적이 필요하지 않은) 밸브로서 정의된다.
Each valve 1142a and 1142b may be a zero dead volume to enable flushing of the reactant gas from the delivery lines 1143a and 1143b when the valve seat assemblies 1144a and 1144b are closed. For example, purge lines 1145a and 1145b may be positioned adjacent valve seat assemblies 1144a and 1144b of transfer lines 1143a and 1143b. When valve seat assemblies 1144a and 1144b are closed, purge lines 1145a and 1145b may deliver purge gas to flush transfer lines 1143a and 1143b. In one embodiment, the purge lines 1145a and 1145b are located slightly spaced from the valve seat assemblies 1144a and 1144b of the transfer lines 1143a and 1143b and into the valve seat assemblies 1144a and 1144b when the purge gas is opened Avoid direct delivery. A zero dead volume valve as used herein is defined as a valve having negligible dead volume (i. E. No zero dead volume is required).

각각의 밸브 쌍(1142a/1152a, 1142b/1152b)은 반응물 가스 및 퍼지 가스의 조합된 가스 유동 및/또는 개별 가스를 제공하도록 적용될 수 있다. 밸브 쌍(1142a/1152a)을 참조하면, 반응물 가스 및 퍼지 가스의 조합된 가스 유동의 일 예는 퍼지 라인(1145a)을 통한 퍼지 가스 소스(1140)로부터의 퍼지 가스의 연속적인 유동 및 전달 라인(1143a)을 통한 반응물 가스 소스(1138)로부터의 반응물 가스의 펄스를 포함한다. 퍼지 가스의 연속적인 유동은 퍼지 라인(1145a)의 밸브 시트 조립체(1146a)의 다이어프램을 개방함으로써 제공될 수 있다. 반응물 가스 소스(1138)로부터의 반응물 가스의 펄스는 전달 라인(1143a)의 밸브 시트 조립체(1144a)의 다이어프램을 개방 및 폐쇄함으로써 제공될 수 있다. 밸브 쌍(1142a/1152a)을 참조하면, 퍼지 가스 및 반응물 가스의 개별 가스 유동의 일 예는 퍼지 라인(1145a)을 통한 퍼지 가스 소스(1140)로부터의 퍼지 가스의 펄스 및 전달 라인(1143a)을 통한 반응물 가스 소스(1138)로부터의 반응물 가스의 펄스를 포함한다. 퍼지 가스의 펄스는 퍼지 라인(1145a)의 밸브 시트 조립체(1146a)의 다이어프램을 개방 및 폐쇄함으로써 제공될 수 있다. 반응물 가스 소스(1138)로부터의 반응물 가스의 펄스는 전달 라인(1143a)의 밸브 시트 조립체(1144a)의 다이어프램을 개방 및 폐쇄함으로써 제공될 수 있다.
Each valve pair 1142a / 1152a, 1142b / 1152b may be adapted to provide a combined gas flow of reactant gas and purge gas and / or individual gas. Referring to valve pair 1142a / 1152a, an example of a combined gas flow of a reactant gas and a purge gas includes continuous flow of purge gas from purge gas source 1140 through purge line 1145a, 0.0 &gt; 1138 &lt; / RTI &gt; Continuous flow of purge gas may be provided by opening the diaphragm of valve seat assembly 1146a of purge line 1145a. A pulse of reagent gas from the reactant gas source 1138 may be provided by opening and closing the diaphragm of the valve seat assembly 1144a of the transfer line 1143a. Referring to valve pair 1142a / 1152a, an example of a separate gas flow of purge gas and reactant gas includes a pulse of purge gas from purge gas source 1140 through purge line 1145a and a pulse of purge gas from delivery line 1143a Lt; RTI ID = 0.0 &gt; 1138 &lt; / RTI &gt; A pulse of purge gas may be provided by opening and closing the diaphragm of valve seat assembly 1146a of purge line 1145a. A pulse of reagent gas from the reactant gas source 1138 may be provided by opening and closing the diaphragm of the valve seat assembly 1144a of the transfer line 1143a.

밸브(1142a, 1142b)의 전달 라인(1143a, 1143b)은 가스 도관(1150a, 1150b)을 통하여 가스 인렛(1136a, 1136b)으로 결합될 수 있다. 가스 도관(1150a, 1150b)은 밸브(1142a, 1142b)와 일체로 형성될 수 있거나 밸브(1142a, 1142b)로부터 분리될 수 있다. 하나의 양태에서, 밸브(1142a, 1142b)는 밸브(1142a, 1142b)와 가스 인렛(1136a, 1136b) 사이의 가스 도관(1150a, 1150b) 및 전달 라인(1143a, 1143b)의 소정의 불필요한 용적을 감소시키도록 가스 분산 채널(1128)에 매우 근접하게 결합된다.
Delivery lines 1143a and 1143b of valves 1142a and 1142b may be coupled to gas inlet 1136a and 1136b through gas conduits 1150a and 1150b. Gas conduits 1150a and 1150b may be integrally formed with valves 1142a and 1142b or may be separate from valves 1142a and 1142b. In one aspect, valves 1142a and 1142b reduce certain undesired volumes of gas conduits 1150a and 1150b and transfer lines 1143a and 1143b between valves 1142a and 1142b and gas inlets 1136a and 1136b, 0.0 &gt; 1128 &lt; / RTI &gt;

도 11c는 가스 분산 채널(1128)의 중심 축선(1133)에 대한 관계에서 다양한 각도로 위치되는 가스 도관(1150a 및 1150b) 및 가스 인렛(1136a 및 1136b)을 각각 도시한다. 각각의 가스 도관(1150a, 1150b) 및 가스 인렛(1136a, 1136b)은 바람직하게는 중심 축선(1133)에 대해 수직하게(여기서, +β, -β = 90°) 위치하거나 가스 도관(1150a, 1150b)의 중앙선(1176a 및 1176b)으로부터 중심 축선(1133)으로 각도(+β) 또는 각도(-β )로 위치한다(여기서, 0°< +β < 90°또는 0°< -β < 90°). 따라서, 가스 도관(1150a, 1150b)은 중심 축선(1133)에 대해 수평방향으로 수직하게 위치될 수 있거나 각도(+β)로 하방으로 각도를 형성하거나, 각도(-β)로 상방으로 각도를 형성하여 기판의 표면 상에 흡수되는 반응물의 제거 가능성을 감소시키도록 하는 기판(1110)을 향하여 바로 하향하는 것이 아닌 가스 분산 채널(1128)의 벽을 향하여 가스 유동을 제공하도록 한다. 또한, 가스 도관(1150a, 1150b)의 직경은 밸브(1142a, 1142b)의 전달 라인(1143a, 1143b)으로부터 가스 인렛(1136a, 1136b)으로 증가할 수 있어 가스 분산 채널(1128) 내로 유입 전에 가스 유동의 속도를 감소시키도록 한다. 예를 들면, 가스 도관(1150a, 1150b)은 점차적으로 증가하는 내경을 포함할 수 있거나 증가하는 내경을 가지는 다수의 연결 도관을 포함할 수 있다.
Figure 11C shows gas conduits 1150a and 1150b and gas inlet 1136a and 1136b, respectively, positioned at various angles in relation to the central axis 1133 of the gas distribution channel 1128, respectively. Each gas conduit 1150a and 1150b and the gas inlet 1136a and 1136b are preferably positioned perpendicular to the central axis 1133 where +?,? = 90 占 or gas conduits 1150a and 1150b (Where 0 DEG < + < 90 DEG or 0 DEG < -B < 90 DEG) from the centerlines 1176a and 1176b of the center axis 1133 to the central axis 1133, . Thus, the gas conduits 1150a, 1150b may be positioned vertically in the horizontal direction with respect to the central axis 1133, forming an angle downward at an angle + [beta], or forming an angle upward at an angle [beta] Thereby directing gas flow toward the wall of the gas distribution channel 1128, not directly downwardly toward the substrate 1110, which reduces the likelihood of removal of reactants absorbed onto the surface of the substrate. The diameters of the gas conduits 1150a and 1150b may also increase from the delivery lines 1143a and 1143b of the valves 1142a and 1142b to the gas inlet 1136a and 1136b, Thereby reducing the speed of the vehicle. For example, the gas conduits 1150a, 1150b may include a gradually increasing inner diameter or may include a plurality of connecting conduits with an increasing inner diameter.

도 11c는 상부(1137)로부터 중심 축선(1133)을 따라 트로틀(1131)로 수렴 채널(1134a) 내에서 증가하는 내경을 포함하는 가스 분산 채널(1128)을 도시한다. 또한, 가스 분산 채널(1128)은 트로틀(1131)로부터 중심 축선(1133)을 따라 챔버 리드 조립체(1132)의 하부면(1160)에 인접한 하부(1135)로 발산 채널(1134b) 내에서 증가하는 내경을 포함한다. 일 예에서, 300 mm 직경 기판을 처리하도록 적용되는 공정 챔버(1100)는 아래의 직경을 가질 수 있다. 가스 분산 채널(1128)의 상부(1137)에서의 직경은 약 0.5 인치 내지 약 2 인치, 바람직하게는 약 0.75 인치 내지 약 1.5 인치, 및 더욱 바람직하게는 0.8 인치 내지 약 1.2 인치 범위 내 , 예를 들면 약 1 인치일 수 있다. 가스 분산 채널(1128)의 트로틀(1131)의 직경은 약 0.1 인치 내지 약 1.5 인치, 바람직하게는 약 0.3 인치 내지 약 0.9 인치, 및 더욱 바람직하게는 0.5 인치 내지 약 0.8 인치 범위 내, 예를 들면 약 0. 66인치일 수 있다. 가스 분산 채널(1128)의 하부(1135)의 직경은 약 0.5 인치 내지 약 2 인치, 바람직하게는 약 0.75 인치 내지 약 1.5 인치, 및 더욱 바람직하게는 0.8 인치 내지 약 1.2 인치 범위 내, 예를 들면, 약 1 인치일 수 있다.
Figure 11C illustrates a gas distribution channel 1128 including an increasing inner diameter within the converging channel 1134a from the top 1137 along the central axis 1133 to the throttle 1131. [ The gas distribution channel 1128 also extends from the throttle 1131 along the central axis 1133 to the lower portion 1135 adjacent the lower surface 1160 of the chamber lid assembly 1132, . In one example, a process chamber 1100 adapted to process a 300 mm diameter substrate may have a diameter below. The diameter at the top 1137 of the gas distribution channel 1128 may range from about 0.5 inches to about 2 inches, preferably from about 0.75 inches to about 1.5 inches, and more preferably from 0.8 inches to about 1.2 inches, For example about 1 inch. The diameter of the throttle 1131 of the gas distribution channel 1128 may range from about 0.1 inch to about 1.5 inch, preferably from about 0.3 inch to about 0.9 inch, and more preferably from about 0.5 inch to about 0.8 inch, Can be about 0.66 inches. The diameter of the lower portion 1135 of the gas distribution channel 1128 may range from about 0.5 inches to about 2 inches, preferably from about 0.75 inches to about 1.5 inches, and more preferably from 0.8 inches to about 1.2 inches, , About 1 inch.

대체로, 상기 치수는 약 500 sccm 내지 약 3,000 sccm 사이의 총 가스 유동을 제공하도록 적용된 가스 분산 채널(1128)로 적용될 수 있다. 다른 특정 실시예에서, 치수는 중앙 가스 유동을 수용하도록 변경될 수 있다. 대체로, 더 큰 가스 유동은 가스 분산 채널(1128)의 더 큰 직경을 요구하게 된다.
In general, the dimensions may be applied as a gas dispersion channel 1128 applied to provide a total gas flow between about 500 sccm and about 3,000 sccm. In another particular embodiment, the dimensions may be altered to accommodate a central gas flow. In general, a larger gas flow will require a larger diameter of the gas distribution channel 1128.

이론에 구속되지 않고, 가스 분산 채널(1128)의 상부(1137)로부터 점차적으로 증가하고 트로틀(1131)로부터 가스 분산 채널(1128)의 하부(1135)로 증가하는 가스 분산 채널(1128)의 직경은 가스 분산 채널(1128)을 통한 가스의 단열 팽창을 감소시켜 원형 유동 가스(1174) 내에 포함되는 공정 가스의 온도를 제어하도록 한다. 예를 들면, 가스 분산 채널(1128) 내로 가스 인렛(1136a, 1136b)을 통하여 전달되는 가스의 갑작스런 단열 팽창은 가스의 온도 강하를 초래하여 가스의 응축 및 소적의 형성을 초래할 수 있다. 한편, 점차적으로 테이퍼를 형성하는 가스 분산 채널(1128)은 가스의 적은 단열 팽창을 제공한다. 따라서, 더 많은 열이 가스로 또는 가스로부터 전달될 수 있어, 가스의 온도가 가스의 주변 온도를 제어함으로써 더욱 용이하게 제어될 수 있다(즉, 챔버 리드 조립체(1132)의 온도 제어). 가스 분산 채널(1128)은 점차적으로 테이퍼를 형성하여 테이퍼 형성 직선형 표면, 오목형 표면, 볼록형 표면, 또는 이들의 조합형 표면과 같은 하나 또는 그 보다 많은 테이퍼 형성 내부면을 포함할 수 있거나, 하나 또는 그 보다 많은 테이퍼 형성 내부면의 섹션을 포함할 수 있다(즉, 테이퍼 형성 부분 및 테이퍼 형성하지 않은 부분).
Without being bound by theory, the diameter of the gas distribution channel 1128, which gradually increases from the top 1137 of the gas distribution channel 1128 and increases from the throttle 1131 to the bottom 1135 of the gas distribution channel 1128, Adiabatic expansion of the gas through the gas distribution channel 1128 is controlled to control the temperature of the process gas contained in the circular flow gas 1174. For example, a sudden adiabatic expansion of the gas delivered through the gas inlet 1136a, 1136b into the gas distribution channel 1128 can result in a temperature drop in the gas, resulting in gas condensation and droplet formation. On the other hand, the gas distribution channel 1128, which gradually forms a taper, provides low adiabatic expansion of the gas. Thus, more heat can be transferred to or from the gas, so that the temperature of the gas can be more easily controlled (i.e., the temperature control of the chamber lid assembly 1132) by controlling the ambient temperature of the gas. The gas distribution channel 1128 may include one or more tapered inner surfaces such as tapered straight surfaces, tapered surfaces, convex surfaces, or a combination surface thereof that gradually forms a taper, (I. E., A tapered portion and a non-tapered portion). &Lt; RTI ID = 0.0 &gt;

일 실시예에서, 가스 인렛(1136a, 1136b)은 가스 분산 채널(1128)의 상부(1137)에 인접하게 위치된다. 다른 실시예에서, 하나 또는 그 보다 많은 가스 인렛(1136a, 1136b)은 상부(1137)와 하부(1135) 사이의 가스 분산 채널(1128)의 길이를 따라 위치할 수 있다.
In one embodiment, the gas inlets 1136a, 1136b are positioned adjacent the top 1137 of the gas distribution channel 1128. In other embodiments, one or more gas inlet 1136a, 1136b may be located along the length of the gas distribution channel 1128 between the top 1137 and the bottom 1135.

각각의 가스 도관(1150a, 1150b)은 가스 분산 채널(1128)의 중앙으로부터 반경 라인으로부터 그리고 가스 도관(1150a 및 1150b)의 중앙선(1146a 및 1146b)로부터 각도(α)로 위치될 수 있는 각각의 가스 도관(1150a 및 1150b)의 도 11에 도시된 것과 유사하게, 가스 도관(1150a, 1150b)의 중앙선으로부터 그리고 가스 분산 채널(1128)의 반경 라인으로부터 각도(α)로 위치될 수 있다. 바람직하게는 각도(α)(즉 α > 0°일 때)로 위치하는 가스 도관(1150a, 1150b)를 통한 가스의 유입은 원형 가스 유동(1174)(도 11b 내지 도 11c)에 의해 도시된 바와 같이 가스가 원형 방향으로 유동하도록 한다. 팽창 채널(즉, α = 0°일 때)은 난류 유동이 아닌 가스 분산 채널(1128)을 통한 더 많은 층류 유동을 제공하도록 한다. 가스 분산 채널(1128)을 통한 층류 유동은 가스 분산 채널(1128)의 내부면 및 챔버 리드 조립체(1132)의 다른 표면의 개선된 퍼징을 초래한다. 비교하면, 난류 유동은 가스 분산 채널(1128)의 내부면 및 다른 표면에 걸쳐 균일하지 않은 유동일 수 있으며 가스가 유동하지 않은 정체 스폿 또는 데드 스폿을 포함할 수 있다. 하나의 양태에서, 가스 도관(1150a, 1150b) 및 대응하는 가스 인렛(1136a, 1136b)은 서로로부터 이격되어 동일한 원형 방향(즉, 시계방향 또는 반 시계 방향)으로 유동을 지향시킨다.
Each of the gas conduits 1150a and 1150b may include a respective gas line 1150a and 1150b that may be positioned at an angle a from a radial line from the center of the gas distribution channel 1128 and from the centerlines 1146a and 1146b of the gas conduits 1150a and 1150b, Can be positioned at an angle a from the centerline of the gas conduits 1150a and 1150b and from the radius line of the gas distribution channel 1128, similar to that shown in Fig. 11 of the conduits 1150a and 1150b. The introduction of gas through the gas conduits 1150a, 1150b, which are preferably located at an angle? (I.e. when?> 0 °), is shown by the circular gas flow 1174 (FIGS. 11b-11c) Likewise, let the gas flow in the circular direction. The expansion channel (i.e., when? = 0) allows more laminar flow through the gas distribution channel 1128 than a turbulent flow. Laminar flow through the gas distribution channel 1128 results in improved purging of the inner surface of the gas distribution channel 1128 and other surfaces of the chamber lid assembly 1132. By comparison, the turbulent flow may be a non-uniform flow across the inner surface and other surfaces of the gas distribution channel 1128 and may include a stagnant spot or dead spot where the gas has not flowed. In one embodiment, the gas conduits 1150a, 1150b and the corresponding gas inlet 1136a, 1136b are spaced apart from one another to direct flow in the same circular direction (i.e., clockwise or counterclockwise).

이론에 구속되지 않고, 도 11c는 가스 분산 채널을 통한 가스 유동의 간단한 표시를 보여주는 챔버 리드 조립체(1132)의 가스 분산 채널(1128)의 단면도이다. 가스 분산 채널(1128)을 통한 정확한 가스 패턴이 공지되어 있지 않지만, 원형 가스 유동(1174)(도 11b 내지 도 11c)은 보텍스 유동, 헬릭스 유동, 스파이럴 유동, 스월 유동, 트월 유동, 트위스트 유동, 코일 유동, 코크스크류 유동, 컬 유동, 월풀 유동, 이들의 유도형 유동, 이들의 조합형 유동과 같은 원형 유동 패턴으로 가스 분산 채널(1128)을 통하여 이동할 수 있다. 도 11c에 도시된 바와 같이, 원형 유동은 기판(1110)으로부터 분리된 구획부와는 대응되는 " 처리 영역 "에 제공될 수 있다. 하나의 양태에서, 원형 가스 유동(1174)은 가스 분산 채널(1128)의 내부면에 걸친 보텍스 유동의 스위핑 작용에 의해 가스 분산 채널(1128)의 더욱 유효한 퍼지를 설정하도록 할 수 있다.
Without being bound by theory, FIG. 11C is a cross-sectional view of the gas distribution channel 1128 of the chamber lid assembly 1132 showing a simple indication of gas flow through the gas distribution channel. The precise gas pattern through the gas distribution channel 1128 is not known, but the circular gas flow 1174 (FIGS. 11B-11C) can be applied to any one or more of the vortex flow, helix flow, spiral flow, swirl flow, twirl flow, Flow through the gas distribution channel 1128 in a circular flow pattern, such as a flow, a cock screw flow, a curl flow, a whirlpool flow, an induction flow thereof, or a combination flow thereof. As shown in FIG. 11C, the circular flow can be provided in a "processing region" corresponding to the partition separated from the substrate 1110. In one embodiment, the circular gas flow 1174 may be configured to establish a more effective purging of the gas distribution channel 1128 by the sweeping action of the vortex flow over the interior surface of the gas distribution channel 1128.

일 실시예에서, 도 11c는 기판(1110)의 표면에 걸친 스파이럴 유동이 바람직하지 않을 때 원형 가스 유동(1174)이 하방으로 유동하도록 소산되기에 충분히 긴 가스 인렛(1136a, 1136b)과 기판(1110) 사이의 거리(1175)를 도시한다. 원형 가스 유동(1174)이 층류 방식으로 진행되어 기판(1110) 및 챔버 리드 조립체(1132)의 표면을 효과적으로 퍼징한다. 하나의 특정 실시예에서, 가스 분산 채널(1128)의 상부(1137)와 기판(1110) 사이의 거리(1175)의 길이는, 약 5인치와 같은, 약 3 인치 내지 약 8 인치, 바람직하게는 약 3.5 인치 내지 약 7 인치, 및 더욱 바람직하게는 약 4 인치 내지 약 6 인치 범위 내에 있을 수 있다.
11C shows gas inlet 1136a and 1136b long enough to allow the circular gas flow 1174 to flow downward when a spiral flow across the surface of the substrate 1110 is undesirable, 0.0 &gt; 1175 &lt; / RTI &gt; The circular gas flow 1174 proceeds in a laminar flow manner to effectively purgize the surface of the substrate 1110 and the chamber lid assembly 1132. In one particular embodiment, the length of the distance 1175 between the top 1137 of the gas distribution channel 1128 and the substrate 1110 is from about 3 inches to about 8 inches, such as about 5 inches, From about 3.5 inches to about 7 inches, and more preferably from about 4 inches to about 6 inches.

거리(1177a)는 가스 분산 채널(1128)의 상부(1137)와 트로틀(1131) 사이의 리드 캡(1172) 내의 중심 축선(1133)을 따른 수렴 채널(1134a)의 길이이고, 거리(1177b)는 트로틀(1131)과 리드 캡(1172)의 하부면(1173) 사이의 리드 캡(1172) 내의 중심 축선(1133)을 따른 발산 채널(1134b)의 길이이다. 일 실시예에서, 거리(1177a)는 약 1 인치 내지 약 4 인치, 바람직하게는 약 1.25 인치 내지 약 3 인치, 및 더욱 바람직하게는, 약 1.5 인치 내지 약 2.5 인치의 범위 내의 길이, 예를 들면, 약 2인치의 길이일 수 있으며 거리(1177b)는 약 0.5 인치 내지 약 4 인치, 바람직하게는 약 1 인치 내지 약 3인치, 더욱 바람직하게는 1.25 인치 내지 약 1.75 인치의 범위 내의 길이, 예를 들면 약 1.5 인치의 길이를 가질 수 있다.
The distance 1177a is the length of the converging channel 1134a along the central axis 1133 in the lead cap 1172 between the top 1137 of the gas distribution channel 1128 and the throttle 1131, Is the length of the diverging channel 1134b along the central axis 1133 in the lead cap 1172 between the throttle 1131 and the lower surface 1173 of the lead cap 1172. [ In one embodiment, distance 1177a is a length in the range of about 1 inch to about 4 inches, preferably about 1.25 inches to about 3 inches, and more preferably about 1.5 inches to about 2.5 inches, , A length of about 2 inches, and a distance 1177b of about 0.5 inches to about 4 inches, preferably about 1 inches to about 3 inches, more preferably 1.25 inches to about 1.75 inches, For example, it can have a length of about 1.5 inches.

도 11a는 기판(1110)의 표면에 걸쳐 가스 분산 채널(1128)로부터의 개선된 속도 프로파일의 가스 유동을 제공하도록 가스 분산 채널(1128)로부터 챔버 리드 조립체(1132)의 주변 부분으로 테이퍼를 형성할 수 있다(즉, 기판의 중앙으로부터 기판의 에지로). 하부면(1160)은 직선형 표면, 오목형 표면, 볼록형 표면, 또는 이들의 조합물과 같은, 하나 또는 그 보다 많은 테이퍼 형성 표면을 포함할 수 있다. 일 실시예에서, 하부면(1160)은 깔때기 형상으로 테이퍼를 형성한다.
11A illustrates a process for forming a taper from the gas distribution channel 1128 to the peripheral portion of the chamber lid assembly 1132 to provide an improved velocity profile of gas flow from the gas distribution channel 1128 across the surface of the substrate 1110 (I.e., from the center of the substrate to the edge of the substrate). Lower surface 1160 can include one or more tapered surfaces, such as a straight surface, a concave surface, a convex surface, or a combination thereof. In one embodiment, the lower surface 1160 forms a taper in a funnel shape.

일 실시예에서, 하부면(1160)은 반응물 가스로의 기판(1110)의 표면의 균일한 노출을 제공하도록 보조하는 동안 챔버 리드 조립체(1132)의 하부면(1160) 및 기판(1110) 사이로 이동하는 공정 가스의 속도에서의 변화를 감소시키기 위해 하방으로 경사진다. 일 실시예에서, 기판(1110)의 표면과 챔버 리드 조립체(1132)의 하방 경사 하부면(1160) 사이의 유동 섹션의 최소 면적에 대한 유동 섹션의 최대 면적의 비율은 2보다 작고, 바람직하게는 1.5 보다 작고, 더욱 바람직하게는 1.3 보다 작다.
The bottom surface 1160 is moved between the bottom surface 1160 of the chamber lid assembly 1132 and the substrate 1110 while assisting in providing a uniform exposure of the surface of the substrate 1110 to the reagent gas And is inclined downward to reduce the change in the speed of the process gas. In one embodiment, the ratio of the maximum area of the flow section to the minimum area of the flow section between the surface of the substrate 1110 and the downwardly inclined bottom surface 1160 of the chamber lid assembly 1132 is less than 2, Is less than 1.5, and more preferably is less than 1.3.

이론에 구속되지 않고, 가스가 기판(1100)의 표면에 걸쳐 더욱 균일한 속도로 유동한다. 가스의 속도는 가스의 농도에 직접 비례하며 이어서 기판(1110)의 표면이 차례로 기판(1110) 표면 상의 가스의 증착율에 직접 비례한다. 따라서, 기판(1110)의 표면의 제 1 영역 대 기판(1110)의 표면의 제 2 영역은 제 1 영역 상의 가스의 도 높은 증착을 제공하도록 한다. 하부면(1160)이 더욱 균일한 속도를 제공하여 따라서 기판(1110)의 표면에 걸친 가스의 더 균일한 농도를 제공하기 때문에, 기판(110)의 표면에 걸친 가스의 더욱 균일한 증착을 위해 제공딘다.
Without being bound by theory, the gas flows at a more uniform rate across the surface of the substrate 1100. The velocity of the gas is directly proportional to the concentration of the gas and the surface of the substrate 1110 is in turn directly proportional to the deposition rate of the gas on the substrate 1110 surface. Thus, a first region of the surface of the substrate 1110 versus a second region of the surface of the substrate 1110 also provides for a high deposition of gas on the first region. The lower surface 1160 provides for a more uniform deposition of gas across the surface of the substrate 110 because it provides a more uniform velocity and thus a more uniform concentration of gas across the surface of the substrate 1110 Dean.

도 11a는 기판(1110)의 주변에 인접한 챔버 리드 조립체(1132)의 주변 부분에 위치하는 쵸크(1162)를 도시한다. 챔버 리드 조립체(1132)가 기판(1110) 주위의 처리 영역을 형성하도록 하고, 기판(1110)의 주에 인접한 영역에서 가스의 동을 제한하는 소정의 부재를 포함한다.
11A shows a choke 1162 located in a peripheral portion of the chamber lid assembly 1132 adjacent to the periphery of the substrate 1110. Fig. The chamber lid assembly 1132 forms a processing region around the substrate 1110 and includes a member that restricts the movement of gas in the region adjacent the periphery of the substrate 1110. [

하나의 특정 실시예에서, 쵸크(1162)와 기판 지지부(1112) 사이의 간격은 약 0.04 인치 내지 약 2.0 인치, 및 바람직하게는 0.04 인치 내지 약 0.2 인치이다. 간격은 전달되는 가스 및 증착 동안 공정 상태 및 전달되는 가스에 따라 에 종속하는 것을 변화시킬 수 있다. 초크(1162)는 펌프 존(1166)의 균일하지 않은 압력 분포로부터 반응 존(1164)를 격리함으로써 챔버 리드 조립체(1132) 및 기판(1110) 사이에 형성된 영역 또는 반응 존(1164) 내에서의 더욱 균일한 압력 분포를 제공한다(도 11a).
In one particular embodiment, the spacing between the choke 1162 and the substrate support 1112 is from about 0.04 inches to about 2.0 inches, and preferably from 0.04 inches to about 0.2 inches. The spacing can vary depending on the gas being delivered and on the process conditions during deposition and on the gases being delivered. Choke 1162 may be used to isolate reaction zone 1164 from the non-uniform pressure distribution of pump zone 1166 and to further reduce the pressure within the zone formed between chamber lid assembly 1132 and substrate 1110, Thereby providing a uniform pressure distribution (FIG. 11A).

도 11a를 참조하면, 하나의 양태에서, 반응 존(1164)이 펌핑 존(1166)으로부터 격리되기 때문에, 반응 가스 또는 퍼지 가스는 반응 존(1164)을 단지 적절히 채우는 것을 요구하여 반응물 가스 또는 퍼지 가스로 기판(1110)의 충분한 노출을 보장한다. 종래의 화학 증착에서, 종래 기술의 챔버는 반응물의 공동-반응이 기판(1110)의 표면에 걸쳐 균일하게 발생하도록 기판의 전체 표면으로 동시에 그리고 균일하게 반응물의 조합된 유동을 제공하는 것이 요구된다. 원자 층 증착에서, 공정 챔버(1100)는 기판(1110)의 표면 상으로 반응물의 얇은 층이 번갈아 형성되도록 하는 흡수를 제공하기 위하여 기판(1110)의 표면으로 반응물을 순차적으로 도입한다. 결론적으로, 원자 층 증착은 동시에 기판(1110)의 표면에 도달하는 반응물의 유동을 요구하지 않는다. 대신, 반응물의 유동은 기판(1110)의 표면 상의 반응물의 얇은 층을 흡수하기에 충분한 양으로 제공되는 것이 요구된다.
Referring to FIG. 11A, in one embodiment, because the reaction zone 1164 is isolated from the pumping zone 1166, the reactive gas or purge gas requires only a proper filling of the reaction zone 1164, 0.0 &gt; 1110 &lt; / RTI &gt; In conventional chemical vapor deposition, the prior art chambers are required to provide a combined flow of reactants simultaneously and uniformly over the entire surface of the substrate so that the co-reaction of the reactants occurs uniformly across the surface of the substrate 1110. In atomic layer deposition, the process chamber 1100 sequentially introduces reactants into the surface of the substrate 1110 to provide absorption so that a thin layer of reactants is formed alternately on the surface of the substrate 1110. Consequently, atomic layer deposition does not require the flow of reactants reaching the surface of the substrate 1110 at the same time. Instead, the flow of reactants is required to be provided in an amount sufficient to absorb a thin layer of reactants on the surface of the substrate 1110.

반응 존(1164)이 종래의 CVD 챔버의 내부 용적과 비교할 때 더 작은 용적을 포함할 수 있기 때문에, 원자 층 증착 시컨스의 특졀한 공정에 대해 반응 존(1164)을 채우기 위하여 더 작은 양의 가스가 요구된다. 예를 들면, 일 실시예에서, 반응 존(1164)의 용적은 200 mm 직경 기판을 처리하기 위해 적용되는 챔버에 대해 약 1,000 cm3 또는 그 미만, 바람직하게는 500 cm3 또는 그 미만, 그리고 더욱 바람직하게는 200 cm3 또는 그 미만이다. 일 실시예에서, 반응 존(1164)의 용적은 300 mm 직경 기판을 처리하기 위해 적용되는 챔버에 대해 약 3,000 cm3 또는 그 미만, 바람직하게는 1,500 cm3 또는 그 미만, 그리고 더욱 바람직하게는 600 cm3 또는 그 미만이다. 일 실시예에서, 기판 지지부(1112)는 증착에 대해 반응 존(1164)의 용적을 조정하기 위해 상승 또는 하강될 수 있다. 반응 존(1164)의 더 작은 용적 때문에, 증착 가스 또는 퍼지 가스 든지 적은 가스가 공정 챔버(1100) 내로 유동하기 위해 필요하다. 따라서, 공정 챔버(100)의 처리량이 크고 폐기물은 작업 비용을 감소시키기 위해 이용되는 더 작은 양의 가스 때문에 최소화될 수 있다.
Because the reaction zone 1164 may include a smaller volume compared to the internal volume of a conventional CVD chamber, a smaller amount of gas may be used to fill the reaction zone 1164 for the particular process of the atomic layer deposition sequence Is required. For example, in one embodiment, the volume of the reaction zone 1164 is about 1,000 cm 3 or less, preferably 500 cm 3 or less, and more preferably about 1000 cm 3 or less for a chamber applied for processing a 200 mm diameter substrate Preferably 200 cm &lt; 3 &gt; or less. In one embodiment, the volume of the reaction zone 1164 is about 3,000 cm &lt; 3 &gt; for a chamber applied to process a 300 mm diameter substrate Or less, preferably 1,500 cm 3 or less, and more preferably from 600 cm 3 Or less. In one embodiment, the substrate support 1112 may be raised or lowered to adjust the volume of the reaction zone 1164 for deposition. Because of the smaller volume of reaction zone 1164, either deposition gas or purge gas is needed to allow less gas to flow into process chamber 1100. [ Thus, the throughput of the process chamber 100 is high and the waste can be minimized due to the smaller amount of gas used to reduce operating costs.

챔버 리드 조립체(1132)는 리드 캡(1172) 및 리드판(1170)을 포함하며, 여기서 리드 캡(1172) 및 리드판(1170)은 도 11a 내지 도 11c에 도시된 바와 같이, 가스 분산 채널(1128)을 형성한다. 부가판은 리드판(1170)과 리드 캡(1172) 사이에 기능적으로 배치될 수 있다. 다른 실시예에서, 가스 분산 채널(1128)은 재료의 단일 피스로부터 일체로 제조될 수 있다.
The chamber lid assembly 1132 includes a lead cap 1172 and a lid plate 1170 wherein the lid cap 1172 and the lid plate 1170 are connected to a gas distribution channel (not shown) 1128 are formed. The additional plate may be functionally disposed between the lead plate 1170 and the lead cap 1172. [ In another embodiment, the gas distribution channel 1128 may be integrally fabricated from a single piece of material.

챔버 리드 조립체(1132)는 이를 통하여 전달되는 특별한 가스에 따라 냉각 요소 및/또는 가열 요소를 포함할 수 있다. 챔버 리드 조립체(1132)의 온도를 제어함으로써 챔버 리드 조립체(1132) 상에 가스 분해, 증착, 또는 응축을 방지하기 위하여 이용될 수 있다. 예를 들면, 수 채널(도 10a의 냉매 채널(1090)과 같은)은 챔버 리드 조립체(1132)를 냉각시키기 위해 챔버 리드 조립체(1132)에 형성될 수 있다. 다른 예에서, 가열 요소(도시안됨)는 매립될 수 있거나 챔버 리드 조립체(1132)를 가열하기 위하여 챔버 리드 조립체(1132)의 부품을 둘러쌀 수 있다. 일 실시예에서, 챔버 리드 조립체(1132)의 부품은 개별적으로 가열 또는 냉각될 수 있다. 예를 들면, 도 11a를 참조하면, 챔버 리드 조립체(1132)는 리드판(1170) 및 리드 캡(1172)을 포함할 수 있으며 여기에서 리드판(1170) 및 리드 캡(1172)은 가스 분산 채널(1128)을 형성한다. 리드 캡(1172)은 하나의 온도 범위로 유지될 수 있고 또 다른 온도 범위로 유지될 수 있다. 예를 들면, 리드 캡(1172)은 반응물 가스의 응축을 방지하기 위하여 히터 테이프에 감김으로써 또는 또 다른 가열 장치를 이용함으로써 가열될 수 있으며, 리드판(1170)은 대기 온도로 유지될 수 있다. 또 다른 예에서, 리드 캡(1172)은 가열될 수 있고 리드판(1170)은 리드판(1170) 상의 반응물 가스의 열 분해를 방지하기 위하여 형성되는 수 채널로 냉각될 수 있다.
The chamber lid assembly 1132 may include a cooling element and / or a heating element depending on the particular gas delivered therethrough. Evaporation, or condensation on the chamber lid assembly 1132 by controlling the temperature of the chamber lid assembly 1132. [0064] For example, a number of channels (such as the coolant channel 1090 of FIG. 10A) may be formed in the chamber lid assembly 1132 to cool the chamber lid assembly 1132. In another example, a heating element (not shown) may be buried or may surround parts of the chamber lid assembly 1132 to heat the chamber lid assembly 1132. In one embodiment, the components of the chamber lid assembly 1132 may be individually heated or cooled. 11A, the chamber lid assembly 1132 may include a lid plate 1170 and a lid cap 1172 wherein the lid plate 1170 and the lid cap 1172 are connected to a gas distribution channel (1128). The lead cap 1172 can be maintained in one temperature range and can be maintained in another temperature range. For example, the lead cap 1172 can be heated by winding it on a heater tape to prevent condensation of the reactant gas or by using another heating device, and the lead plate 1170 can be maintained at ambient temperature. In another example, the lead cap 1172 can be heated and the lead plate 1170 can be cooled to a number of channels that are formed to prevent thermal decomposition of the reactant gas on the lead plate 1170.

챔버 리드 조립체(1132)의 부품 및 부분은 스테인레스 강, 알루미늄, 니켈-도금 알루미늄, 니켈, 이들의 합금과 같은 재료, 또는 다른 적절한 재료를 포함할 수 있다. 일 실시예에서, 리드 캡(1172) 및 리드판(1170)은 독립적으로 제작, 기계가공, 단조될 수 있거나 그렇지 않으면 알루미늄, 알루미늄 합금, 강, 스테인레스 강, 이들의 합금, 또는 이들의 조합물과 같은 금속으로 제조된다.
The parts and portions of chamber lid assembly 1132 may include materials such as stainless steel, aluminum, nickel-plated aluminum, nickel, alloys thereof, or other suitable materials. In one embodiment, the lead cap 1172 and the lead plate 1170 may be independently fabricated, machined, forged or otherwise formed of aluminum, aluminum alloy, steel, stainless steel, alloys thereof, Are made of the same metal.

일 실시예에서, 가스 분산 채널(1128)의 내부면(리드판(1170) 및 리드캡(1172)의 내부면 둘다를 포함하는) 및 챔버 리드 조립체(1132)의 하부면(1160)은 챔버 리드 조립체(1132)의 하부면(1160) 및 가스 분산 채널(1128)을 따라 가스의 층류 유동을 형성하도록 하는 미러 연마 표면을 포함할 수 있다. 또 다른 실시예에서, 가스 도관(1150a, 1150b)의 내부면은 가스의 층류 유동을 형성하도록 전기연마될 수 있다.
The lower surface 1160 of the chamber lid assembly 1132 and the inner surface of the gas distribution channel 1128 (including both the inner surface of the lid plate 1170 and the lid cap 1172) A lower surface 1160 of assembly 1132 and a gas distribution channel 1128 to form a laminar flow of gas. In yet another embodiment, the interior surfaces of the gas conduits 1150a, 1150b may be electrically polished to form a laminar flow of gas.

선택적인 일 실시예에서, 가스 분산 채널(1128)의 내부면(리드판(1170) 및 리드 캡(1172)의 내부면 둘다 포함하는) 및 챔버 리드 조립체(1132)의 내부면(1160)은 표면에 걸쳐 더 많은 표면적을 형성하도록 거칠기 가공 표면 또는 기계 가공 표면을 포함할 수 있다. 거칠어진 표면은 리드판(1170) 및 리드 캡(1172)의 내부면 및 하부면(1160) 상의 원하지 않는 축적 재료의 향상된 부착을 제공한다. 원하지 않는 필름은 보통 증기 증착 공정을 수행하는 결과로서 기판(1110)을 오염시키기 위하여 형성되며 하부면(1160) 및 가스 분산 채널(1128)의 내부면으로부터 필링되거나 플레이킹될 수 있다. 하나의 예에서, 가스 분산 채널(1128)의 내부면 및/또는 하부면(1160)의 평균 거칠기(Ra)는 약 10μin(약 0.254μm) 내지 약 200 μin(약 5.08μm), 바람직하게는 약 20 μin(약 0.508μm) 내지 약 100 μin(약 2.54μm), 및 더욱 바람직하게는 약 30 μin(약 0.762μm) 내지 약 80 μin(약 2.032μm)의 범위와 같이 적어도 약 10μin일 수 있다. 또 다른 예에서, 하부면(1160) 및/또는 가스 분산 채널(1128)의 내부면의 평균 거칠기는 적어도 약 100 μin(약 2.54μm), 바람직하게는 약 200 μin(약 5.08μm) 내지 약 500 μin(약 12.7μm) 범위 내 일 수 있다.
The inner surface 1160 of the chamber lid assembly 1132 and the inner surface 1160 of the gas distribution channel 1128 (including both the inner surface of the lid plate 1170 and the lid cap 1172) Machined surface or machined surface to form more surface area over the entire surface. The roughened surface provides improved adhesion of undesirable buildup material on the inner surface and the lower surface 1160 of the lead plate 1170 and lead cap 1172. Unwanted films are typically formed to contaminate the substrate 1110 as a result of performing a vapor deposition process and may be peeled or flaked from the inner surface of the lower surface 1160 and the gas distribution channel 1128. In one example, the average roughness R a of the inner and / or lower surface 1160 of the gas distribution channel 1128 is about 10 μin (about 0.254 μm) to about 200 μin (about 5.08 μm) Such as in the range of about 20 microinches (about 0.508 microns) to about 100 microinches (about 2.54 microns), and more preferably about 30 microinches (about 0.762 microns) to about 80 microinches (about 2.032 microns) . In another example, the average roughness of the inner surface of the lower surface 1160 and / or the gas distribution channel 1128 is at least about 100 microns (about 2.54 microns), preferably about 200 microns (about 5.08 microns) to about 500 microns mu] in (about 12.7 [mu] m).

도 11a는 처리 상태를 제어하기 위해 공정 챔버(1100)로 결합되는 프로그램된 퍼스널 컴퓨터, 워크 스테이션 컴퓨터 등과 같은, 제어 유닛(1180)을 도시한다. 예를 들면, 제어 유닛(1180)은 기판 처리 시컨스의 상이한 스테이지 동안 밸브(1142a 및 1142b)를 통하여 가스 소스(1138, 1143, 및 1140)로부터 다양한 공정 가스 및 퍼지 가스의 유동을 제어하도록 구성될 수 있다. 예시적으로, 제어 유닛(1180)은 중앙 처리 유닛(CPU)(1182), 지지 회로(1184), 및 관련된 제어 소프트웨어(1183)를 내장하는 메모리(1186)를 포함한다.
Figure 11A illustrates a control unit 1180, such as a programmed personal computer, workstation computer, etc., coupled to the process chamber 1100 for controlling the process conditions. For example, control unit 1180 can be configured to control the flow of various process gases and purge gases from gas sources 1138, 1143, and 1140 through valves 1142a and 1142b during different stages of substrate processing sequence have. Illustratively, the control unit 1180 includes a central processing unit (CPU) 1182, a support circuit 1184, and a memory 1186 that incorporates the associated control software 1183.

제어 유닛(1180)은 다양한 챔버 및 서브-프로세서를 제어하기 위한 산업적 세팅에 이용될 수 있는 소정의 형태의 일반적 목적의 컴퓨터 중 하나일 수 있다. CPU(1182)는 랜덤 액세스 메모리, 리드 온리 메모리, 플로피 디스크 드라이브, 하드 디스크, 또는 소정의 다른 형태의 디지털 스토리지, 로컬 또는 리모트와 같은 소정의 적절한 메모리(1186)를 이용할 수 있다. 다양한 지지 회로는 공정 챔버(1100)를 지지하기 위한 CPU(1182)에 결합될 수 있다. 제어 유닛(1180)은 밸브들(1142a, 1142b)의 프로그램가능한 로직 제어기(1148a, 1148b)와 같은, 개별 챔버 부품에 인접하게 위치되는 또 다른 제어기에 결합될 수 있다. 제어 유닛(1180)과 공정 챔버(1100)의 다른 부품 사이의 양 방향 소통은 일부가 도 11a에 도시된, 신호 버스(1188)로서 전체적으로 지칭되는 다양한 신호 케이블을 통하여 취급된다. 밸브들(1142a, 1142b) 중 프로그램가능한 로직 제어기(1148a)로부터 그리고 가스 소스(1138, 1143, 1140)로부터 퍼지 가스 및 공정 가스의 제어에 부가하여, 제어 유닛(1180)은 다른 작동들 중에서 본 명세서에서 설명되는 웨이퍼 운반, 운반 제어, 챔버 배출과 같은, 웨이퍼 처리에 이용되는 다른 작동들의 자동 제어를 책임질 수 있도록 구성될 수 있다.
The control unit 1180 may be any of a number of general purpose computers of any type that may be used for industrial settings to control various chambers and sub-processors. The CPU 1182 may utilize any suitable memory 1186, such as random access memory, read only memory, floppy disk drive, hard disk, or any other form of digital storage, local or remote. A variety of support circuits may be coupled to the CPU 1182 for supporting the process chamber 1100. The control unit 1180 may be coupled to another controller located adjacent to the individual chamber components, such as the programmable logic controllers 1148a, 1148b of the valves 1142a, 1142b. Bi-directional communication between the control unit 1180 and the other components of the process chamber 1100 is handled through various signal cables, some of which are referred to collectively as the signal bus 1188, shown in FIG. 11A. In addition to the control of the purge gas and process gas from the programmable logic controller 1148a and from the gas sources 1138, 1143 and 1140 of the valves 1142a and 1142b, the control unit 1180 may, among other operations, May be configured to be responsible for automatic control of other operations used in wafer processing, such as wafer transfer, transfer control, chamber discharge,

도 11a 내지 도 11c를 참조하면, 작동 중, 기판(1110)은 로봇(도시안됨)에 의해 슬릿 밸브(1108)를 통하여 공정 챔버(1100)로 전달된다. 기판(1110)은 리프트 핀(1120) 및 로봇의 작동을 통하여 기판 지지부(1112) 상에 위치된다. 기판 지지부(1112)는 기판(1110)을 상승시켜 챔버 리드 조립체(1132)의 하부면(1160)에 대해 근접된다. 제 1 가스 유동은 밸브(1142b)에 의해 공정 챔버(1100) 내로 주입되는 제 2 가스 유도으로 함께 또는 개별적으로(즉, 펄스) 밸브에 의해 공정 챔버(1100)의 가스 분산 채널(1128) 내로 주입될 수 있다. 제 1 가스 유동은 퍼지 가스 소스(1140)로부터 퍼지 가스의 연속 유동 및 반응물 가스 소스(1138)로부터의 반응물 가스의 펄스를 포함할 수 있거나 퍼지 가스 소스(1140)로부터 퍼지 가스의 펄스 및 반응물 가스 소스(1138)로부터의 반응물 가스의 펄스를 포함할 수 있다. 제 2 가스 유동은 반응물 가스 소스(1139)로부터의 반응물 가스의 펄스 및 퍼지 가스 소스(1140)로부터의 퍼지 가스의 연속적인 유동을 포함할 수 있거나 퍼지 가스 소스(1140)로부터의 퍼지 가스의 펄스 및 반응물 가스 소스(1139)로부터의 반응물 가스의 펄스를 포함할 수 있다. 원형 가스 유동(1174)은 가스 분산 채널(1128)의 내부면에 걸쳐 스위핑 작용을 제공하는 보텍스 유동으로서 가스 분산 채널(1128)을 통하여 이동한다. 원형 가스 유동(1174)는 기판(1110)의 표면을 향하는 하방 유동으로 소산된다. 가스 유동의 속도는 가스 분산 채널(1128)을 통하여 이동할 때 감소한다. 이어서 가스 유동은 챔버 리드 조립체(1132)의 하부면(1160)에 걸쳐 그리고 기판(1110)의 표면에 걸쳐 이동한다. 하방으로 경사지는 채버 리드 조립체(1132)의 하부면(1160)은 기판(1110)의 표면에 걸쳐 가스 유동의 속도의 변화를 감소시킨다. 이어서 가스 유동은 쵸크(1162)에 의해 공정 챔버(1100)의 펌핑 존(1166) 내로 이동한다. 과잉 가스, 부산물 등은 펌핑 채널(1179) 내로 유동하고 이어서 진공 시스템(1178)에 의해 공정 챔버(1100)로부터 배출된다. 하나의 양태에서, 가스 유동은 가스 분산 채널(1128)을 통하여 그리고 챔버 리즈 조립체(132)의 하부면(1160)과 기판(1110)의 표면 사이로 층류 방식으로 이동하여 기판(1110)의 표면으로 반응물 가스의 균일한 노출 및 챔버 리드 조립테(1132)의 내부면의 효과적인 퍼징에 도움이 된다.
11A-11C, during operation, the substrate 1110 is transferred to the process chamber 1100 via a slit valve 1108 by a robot (not shown). The substrate 1110 is positioned on the substrate support 1112 through lift pins 1120 and the actuation of the robot. The substrate support 1112 lifts the substrate 1110 and approaches the lower surface 1160 of the chamber lid assembly 1132. The first gas flow is injected into the gas distribution channel 1128 of the process chamber 1100 by a valve (not shown) together or separately (i.e., by a pulse) with a second gas induction injected into the process chamber 1100 by a valve 1142b. . The first gas flow may comprise a continuous flow of purge gas from a purge gas source 1140 and a pulse of a reactant gas from a reactant gas source 1138 or may include a pulse of purge gas from a purge gas source 1140, May include a pulse of reactant gas from the reactor 1138. The second gas flow may include a continuous flow of the purge gas from the purge gas source 1140 and a pulse of the reactant gas from the reactant gas source 1139 or a pulse of the purge gas from the purge gas source 1140 and / May include a pulse of the reactant gas from the reactant gas source 1139. The circular gas flow 1174 moves through the gas distribution channel 1128 as a vortex flow that provides sweeping action across the interior surface of the gas distribution channel 1128. The circular gas flow 1174 is dissipated in a downward flow towards the surface of the substrate 1110. The velocity of the gas flow decreases as it moves through the gas distribution channel 1128. [ The gas flow then travels across the bottom surface 1160 of the chamber lid assembly 1132 and across the surface of the substrate 1110. The lower surface 1160 of the chopper lid assembly 1132, which is inclined downward, reduces the rate of change of the gas flow across the surface of the substrate 1110. The gas flow then moves into the pumping zone 1166 of the process chamber 1100 by the choke 1162. The excess gas, byproducts, etc., flow into the pumping channel 1179 and then out of the process chamber 1100 by the vacuum system 1178. The gas flow is transferred in a laminar manner through the gas distribution channel 1128 and between the lower surface 1160 of the chamber lid assembly 132 and the surface of the substrate 1110 to form a reactant Uniform exposure of the gas and effective purging of the inner surface of the chamber lid assembly frame 1132.

도 11a 내지 도 11c에 도시된 바와 같이, 공정 챔버(100)는 피쳐들의 조합을 가지는 것으로서 본 명세서에서 설명된다. 하나의 양태에서, 공정 챔버(1100)는 종래의 CVD 챔버와 비교하면 작은 용적을 포함하는 반응 존(1164)을 제공한다. 공정 챔버(1100)는 반응물 가스 또는 퍼지 가스와 같은 가스의 소형 양을 요구하여 특별한 공정을 위해 반응 존(1164)을 채우도록 한다. 또 다른 양태에서, 공정 챔버(1100)는 기판(1110) 및 챔버 리드 조립체(1132)의 바닥면 사이로 이동하는 가스 유동의 속도 프로파일에서의 변화를 감소시키도록 하장 경사 또는 깔때기형 하부면(1160)을 가지는 챔버 리드 조립체(1132)를 제공한다. 또 다른 양태에서, 공정 챔버(1100)는 도입되는 가스 유동의 속도를 줄이도록 가스 분산 채널(1128)을 제공한다. 또 다른 양태에서, 공정 챔버(1100)는 가스 분산 채널(1128)의 중앙으로부터 각도(α)로 가스 도관을 제공한다. 공정 챔버(1100)는 본 명세서에서 설명된 바와 같은 다른 피쳐를 제공한다. 원자 층 증착을 위한 챔버의 다른 실시예는 하나 또는 그 보다 많은 이러한 피쳐와 결합한다.
11A-11C, process chamber 100 is described herein as having a combination of features. In one aspect, process chamber 1100 provides a reaction zone 1164 that includes a smaller volume compared to a conventional CVD chamber. The process chamber 1100 requires a small amount of gas, such as a reactant gas or a purge gas, to fill the reaction zone 1164 for a particular process. The process chamber 1100 includes a bottom ramp or funnel bottom surface 1160 to reduce variations in the velocity profile of the gas flow moving between the bottom surface of the substrate 1110 and the chamber lid assembly 1132. In another aspect, Lt; RTI ID = 0.0 &gt; 1132 &lt; / RTI &gt; In another aspect, process chamber 1100 provides a gas distribution channel 1128 to reduce the rate of gas flow introduced. In another aspect, the process chamber 1100 provides a gas conduit at an angle a from the center of the gas distribution channel 1128. The process chamber 1100 provides other features as described herein. Other embodiments of the chamber for atomic layer deposition combine with one or more of these features.

다중 주입 리드 조립체Multiple injection lead assembly

도 12a 내지 도 12e, 도 13a 내지 도 13c, 및 도 14a 내지 도 14c는 또 다른 실시예에 설명된 바와 같이 다중 주입 리드 조립체로서 이용되고 ALD 공정에 대해 적용되는 챔버 리드 조립체(1232)의 개략도이다. 챔버 리드 조립체(1232)는 도 12a에 도시된 바와 같이, 리드판(1270)의 중앙 부분에 위치되는 리드 캡(1272)을 포함한다. 가스 도관(1250a)은 일 단부에 리드 캡(1272)에 연결되어 리드 캡(1272)와 유체 소통되며, 가스 도관(1250a)의 타 단부는 리드판(1270)을 통하여 연장되어 화학물 전구체 소소 또는 가스 소스 및/또는 ALD 밸브에 결합되어 유체 소통된다. 이와 달리, 가스 도관(1250a)의 단부는 리프판(1270)을 통하여 연장하고 화학물 전구체 소스 또는 가스 소스에 결합되어 유체 소통될 수 있으며, ALD 밸브는 상술된 리드판(1270)(도시안됨)과 같이, 그 사이에 있다. 가스 도관(1250a)은 전구체 가스가 다중 주입기 베이스(1269)를 통과하도록 제공되는 가스 통로(1268a)에 결합되어 유체 소통될 수 있다. 가스 통로(1268a)는 슬롯(1266a)(eh 12e, 도 13c 및 도 14a 내지 도 14c)을 통하여 가스 분산 채널(1228)과 유체 소통되는 가스 고리형상부(gas annulet; 1264a)와 결합되어 유체 소통될 수 있다.
12A-12E, 13A-13C, and 14A-14C are schematic illustrations of a chamber lid assembly 1232 used as a multiple implant lid assembly and applied to an ALD process, as described in yet another embodiment . The chamber lid assembly 1232 includes a lead cap 1272 positioned in a central portion of the lid plate 1270, as shown in Fig. The gas conduit 1250a is connected at one end to the lead cap 1272 to be in fluid communication with the lead cap 1272 and the other end of the gas conduit 1250a extends through the lead plate 1270, Gas source and / or ALD valve. Alternatively, the end of the gas conduit 1250a may extend through the leaf plate 1270 and may be in fluid communication with a chemical precursor source or gas source, and the ALD valve may be coupled to the lead plate 1270 (not shown) As shown in FIG. The gas conduit 1250a may be in fluid communication with a gas passage 1268a provided to allow precursor gas to pass through the multiple injector bases 1269. [ Gas passage 1268a is coupled with gas annulet 1264a in fluid communication with gas distribution channel 1228 through slot 1266a (eh 12e, Figure 13c and Figures 14a-14c) .

가스 도관 커버(1252)는 적어도 하나의 가스 도관을 포함하며, 또는 두 개, 세 개, 또는 더 많은 가스 도관을 포함할 수 있다. 도 12c는 가스 도관(1250a 및 1250b)을 포함하는 가스 도관 커버(1252)을 도시한다. 일 실시예에서, 가스 도관(1250b)은 일 단부 상에 리드 캡(1272)에 결합되어 유체 소통될 수 있으며, 가스 도관(1250b)의 다른 단부는 리드판(1270)을 통하여 연장하여 ALD 밸브 및/또는 화학물 전구체 소스 또는 가스 소스에 결합되어 유체 소통될 수 있다. 이와 달리, 가스 도간(1250b)의 단부는 리드판(1270)을 통하여 연장하고 화학물 전구체 소스 또는 가스 소스에 결합되어 유체 수통될 수 있으며, ALD 밸브는 상기 리드판(1270)(도시안됨)과 같이 그 사이에 있다. 일 예에서, 가스 도관(1250b 또는 1250c)는 독립적으로 또는 함께 가스 통로(1268b)에 결합되어 유체 소통될 수 있다. 가스 도관(1250b)은 전구체 가스가 다중 주입기 베이스(1269)를 통과하도록 제공되는 가스 통로(1268b)에 결합되어 유체 소통될 수 있다. 가스 통로(1268b)는 슬롯(1266b)(도 14a 내지 도 14c)을 통하여 가스 분산 채널(1228)과 유체 소통되는 가스 고리형상부(1264b)에 결합되어 유체 소통될 수 있다.
The gas conduit cover 1252 may include at least one gas conduit or may include two, three, or more gas conduits. Figure 12C shows a gas conduit cover 1252 comprising gas conduits 1250a and 1250b. The gas conduit 1250b may be coupled to and fluidly coupled to the lead cap 1272 on one end and the other end of the gas conduit 1250b may extend through the lead plate 1270 to form an ALD valve and / And / or a chemical precursor source or gas source. Alternatively, the end of the gas gaps 1250b may extend through the lead plate 1270 and may be fluidly connected to a chemical precursor source or gas source, and an ALD valve may be connected to the lead plate 1270 (not shown) It is in between. In one example, the gas conduits 1250b or 1250c may be independently or together coupled to the gas passageways 1268b for fluid communication. The gas conduit 1250b may be in fluid communication with a gas passage 1268b provided to allow precursor gas to pass through the multiple injector bases 1269. [ Gas passage 1268b may be fluidly coupled to gas annular portion 1264b in fluid communication with gas distribution channel 1228 through slot 1266b (Figures 14a-14c).

도관(1250c)은 소정의 실시예에서 선택적인 도관이다. 가스 도관(1250c)은 일 단부 상에 리드 캡(1272)에 결합되어 유체 소통될 수 있으며, 가스 도관(1250c)의 다른 단부는 ALD 밸브 및/또는 캐리어 가스 소스, 퍼지 가스 소스, 플라즈마 가스, 또는 화학물 전구체 소스와 같은 가스 소스에 결합되어 유체 소통될 수 있다. 또 다른 실시예에서, 도관(1250b)은 리드 캡(1272)의 상부면에 결합되어 유체 소통될 수 있다. 또 다른 실시예에서, 도관(1250c)은 Y-조인트와 같은 도관(1250b)과 조합될 수 있어, 가스 통로(1268b)에 결합되어 유체 소통될 수 있다.
Conduit 1250c is an optional conduit in some embodiments. The gas conduit 1250c may be coupled to and fluidly coupled to the lead cap 1272 on one end and the other end of the gas conduit 1250c may be an ALD valve and / or a carrier gas source, a purge gas source, And may be in fluid communication with a gas source such as a chemical precursor source. In another embodiment, conduit 1250b may be coupled to fluid communication with the top surface of lead cap 1272. [ In another embodiment, conduit 1250c may be combined with conduit 1250b, such as a Y-joint, to be coupled to fluid communication with gas passage 1268b.

도 12a 내지 도 12e, 도 13a 내지 도 13c, 및 도 14a 내지 도 14c는 리드 캡(1272) 및 리드판(1270) 위에 위치되는 다중 인젝터 베이스(1269)를 포함하는 챔버 리드 조립체(1232)를 도시한다. 다중 인젝터 베이스(1262), 리드 캡(1272), 및 리드판(1270)은 가스 분산 채널(1228)을 형성한다. 다중-주입기 베이스(1269)는 가스 분산 채널(1228)의 상부(1237)를 형성하고, 리드판(1270)은 가스 분산 채널(1228)의 하부(1235)를 형성한다. 부가판은 리드판(1270)과 리드 캡(1272) 상에 기능적으로 배치될 수 있다. 다른 실시예에서, 가스 분산 채널(1228)은 재료의 단일 피스로 일체로 제조될 수 있다.
12A-12E, 13A-13C, and 14A-14C illustrate a chamber lid assembly 1232 including a multi-injector base 1269 located over a lead cap 1272 and a lead plate 1270 do. The multiple injector base 1262, the lead cap 1272, and the lead plate 1270 form a gas distribution channel 1228. The multiple-injector base 1269 forms the top 1237 of the gas distribution channel 1228 and the lead plate 1270 forms the bottom 1235 of the gas distribution channel 1228. The additional plate may be functionally disposed on the lead plate 1270 and the lead cap 1272. In another embodiment, the gas distribution channel 1228 may be made integrally with a single piece of material.

도 12d 내지 도 12e는 다중 주입기 베이스(1269)를 통과하는 가스 통로(1268a 및 1268b)를 도시한다. 다중 주입기 캡(1267)은 이들 사이에 가스 고리형상부(1264a)를 형성하도록 다중 주입기 베이스(1269)의 릿지(ledge; 1261) 상에 위치될 수 있다. 유사하게, 다중 주입기 베이스(1269)는 그 사이에 가스 고리형상부(1264b)를 형성하도록 리드 캡(1272) 상에 위치설정될 수 있다. 핀(1265)은 이러한 부분들을 함께 고정하기 위하여 다중 주입기 캡(1276)의 구멍(1263)을 통하여 다중 주입기 베이스의 그루브(1275) 내로 통과될 수 있다. 유사하게, 그루브(1275) 내의 핀(1277)은 다중 주입기 베이스(1262) 및 리드 캡(1272)(도 12c)을 연결할 뿐만 아니라 그루브(1274) 내의 핀(1276)은 리드판(1270) 및 리드 캡(1277)(도 13c)을 연결한다. 증착 공정 동안, 제 1 공정 가스는 가스 통로(1268a)로부터, 가스 고리형상부(1264a) 주위로, 슬롯(1266a)을 통하여, 가스 분산 채널(1228) 내로 이동할 수 있다. 유사하게, 제 2 공정 가스는 가스 통로(1268b)로부터, 가스 고리형상부(1264b) 주위로, 슬롯(1266b)을 통하여, 가스 분산 채널(1228) 내로 이동할 수 있다.
12D-12E illustrate gas passageways 1268a and 1268b through multiple injector bases 1269. FIG. Multiple injector caps 1267 may be positioned on a ledge 1261 of multiple injector bases 1269 to form a gas annulus 1264a therebetween. Similarly, multiple injector bases 1269 can be positioned on lead cap 1272 to form gas annular portion 1264b therebetween. The pin 1265 can be passed through the hole 1263 of the multiple injector cap 1276 into the grooves 1275 of the multiple injector base to secure these parts together. Similarly, the pin 1277 in the groove 1275 connects not only the multiple injector base 1262 and the lead cap 1272 (FIG. 12C) but also the pin 1276 in the groove 1274 to the lead plate 1270 and lead Thereby connecting the cap 1277 (Fig. 13C). During the deposition process, the first process gas may travel from the gas passageway 1268a, around the gas annulus 1264a, through the slot 1266a, into the gas distribution channel 1228. [ Similarly, the second process gas may flow from the gas passage 1268b, around the gas annulus 1264b, through the slot 1266b, into the gas distribution channel 1228. [

슬롯(1266a 및 1266b)은 가스 고리형상부(1264a 및 1264b)로부터 가스 분산 채널(1228)로 유체 소통을 제공한다. 슬롯(1266a 및 1266b)은 중심 축선(1233) 또는 가스 분산 채널(1228)에 대해 거의 접선과 같이, 중심 축선(1233)에 대해 일정한 각도로 위치될 수 있다. 일 실시예에서, 슬롯(1266a 및 1266b)은 약 0°내지 약 90°, 바람직하게는 약 0°내지 약 45°, 더욱 바람직하게는 약 0°내지 약 20°의 범위 내와 같이, 가스 분산 채널(1228)에 대해 접하는 각도로 위치된다.
Slots 1266a and 1266b provide fluid communication from gas annular portions 1264a and 1264b to gas distribution channel 1228. [ The slots 1266a and 1266b may be positioned at an angle relative to the central axis 1233, such as about tangent to the central axis 1233 or the gas distribution channel 1228. [ In one embodiment, the slots 1266a and 1266b have a gas dispersion &lt; RTI ID = 0.0 &gt;(&lt; / RTI & And is positioned at an angle tangent to the channel 1228.

챔버 리드 조립체(1232)는 이를 통해 전달되는 특별한 가스에 따라 냉각 요소 및/또는 가열 요소를 포함할 수 있다. 챔버 리드 조립체(1232) 온도의 조절은 챔버 리드 조립체(1232) 상의 가스 분해, 증착 또는 응축을 방지하기 위해 이용될 수 있다. 예를 들면, 냉매 채널(1290)은 챔버 리드 조립체(1232) 내에 형성될 수 있어 챔버 리드 조립체(1232)를 냉각시키도록 한다. 또 다른 예에서, 가열 요소(도시안됨)는 챔버 리드 조립체(1232)를 가열하기 위하여 챔버 리드 조립체(1232)의 부품에 매립되거나 챔버 리드 조립체의 부품을 둘러쌀 수 있다. 일 실시예에서, 챔버 리드 조립체(1232)의 부품은 공정 동안 개별적으로 가열 또는 냉각될 수 있다. 예를 들면, 도 13c를 참조하면, 챔버 리드 조립체(1232)는 다중 주입기판(1269), 리드판(1270), 및 리드 캡(1272)을 포함할 수 있어, 가스 분배 채널(1228)을 형성한다. 다중 주입기판(1269) 및 리드 캡(1272)은 하나의 온도로 유지될 수 있고 리드판(1270)은 또 다른 온도로 유지될 수 있다. 예를 들면, 다중 주입기판(1269) 및 리드 캡(1272)은 반응물 가스의 응축을 방지하면서 히터 테이프가 감겨짐으로써 또는 또 다른 가열 장치를 이용함으로써 가열될 수 있고 대기 온도로 유지될 수 있다. 또 다른 예에서, 다중 주입기판(1269) 및 리드 캡(1272)은 가열될 수 있고 리드판(1270)은 리드판(1270) 상의 반응물 가스의 열 분해를 방지하도록 리드판을 통해 형성되는 수 채널로 냉각될 수 있다. 또 다른 예에서, 다중 주입기판(1269) 및 리드 캡(1272)은 강려 테이프 또는 다른 가열 장치에 의해 하나의 온도로 가열될 수 있고 리드판(1270)은 다중 주입기판(1269) 및 리드 캡(1272)의 온도 보다 작거나, 동일하거나 더 큰 온도로 개별적으로 가열될 수 있다.
The chamber lid assembly 1232 may include a cooling element and / or a heating element depending on the particular gas delivered therethrough. Adjustment of chamber lid assembly 1232 temperature may be used to prevent gas decomposition, deposition, or condensation on chamber lid assembly 1232. For example, the coolant channel 1290 can be formed in the chamber lid assembly 1232 to cool the chamber lid assembly 1232. In another example, a heating element (not shown) may be embedded in a component of the chamber lid assembly 1232 to surround the chamber lid assembly 1232, or may surround parts of the chamber lid assembly. In one embodiment, the components of the chamber lid assembly 1232 can be individually heated or cooled during the process. 13C, the chamber lid assembly 1232 may include multiple injection substrates 1269, a lid plate 1270, and a lead cap 1272 to form a gas distribution channel 1228 do. The multiple implanted substrate 1269 and lead cap 1272 can be maintained at one temperature and the lead plate 1270 can be maintained at another temperature. For example, the multiple implanted substrate 1269 and lead cap 1272 can be heated and kept at ambient temperature by wrapping the heater tape or by using another heating device while preventing condensation of the reactant gas. In another example, the multiple implanted substrate 1269 and lead cap 1272 can be heated and the lead plate 1270 can be heated by a number of channels formed through the lead plate to prevent thermal decomposition of the reactant gas on the lead plate 1270. [ Lt; / RTI &gt; In another example, the multiple implanted substrate 1269 and the lead cap 1272 can be heated to one temperature by an encapsulating tape or other heating device and the lead plate 1270 can be heated to multiple temperatures by multiple implanted substrates 1269 and lead caps 1272, &lt; / RTI &gt; respectively.

챔버 리드 조립체(1232)는 수행되는 처리와 양립가능한 스테인레스 강, 알루미늄, 니켈 도금 알루미늄, 니켈, 또는 다른 적절한 재료로 제조되는 부품을 포함한다. 일 실시예에서, 다중 주입기 베이스(1269), 리드 캡(1272), 및 리드판(1270)은 독립적으로 제조, 기계가공, 단조로 제조될 수 있거나, 알루미늄, 알루미늄 합금, 강, 스테인레스 강, 이들의 합금, 또는 이들의 조합 재료와 같은 금속으로 제조될 수 있다. 일 실시예에서, 이들 사이에 배치되는 선택적인 부가판은 스테인레스 강을 포함한다.
The chamber lid assembly 1232 includes components made of stainless steel, aluminum, nickel plated aluminum, nickel, or other suitable material compatible with the process being performed. In one embodiment, the multiple injector base 1269, the lead cap 1272, and the lead plate 1270 can be fabricated independently, machined, forged, or made of aluminum, aluminum alloy, steel, Or alloys thereof, or combinations thereof. In one embodiment, the optional additive plates disposed therebetween include stainless steel.

일 실시예에서, 가스 분산 채널(1228)의 내부면(1232)(리드판(1270) 및 리드 캡(1272)의 내부면 둘다를 포함하는) 및 챔버 리드 조립체(1232)의 하부면(1260)은 챔버 리드 조립체(1232)의 하부면(1260) 및 가스 분산 채널(1228)을 따라 가스의 층류 유동을 제공하도록 하는 미리 연마 표면을 포함할 수 있다.
The inner surface 1232 of the gas distribution channel 1228 (including both the inner surface of the lid plate 1270 and the lid cap 1272) and the lower surface 1260 of the chamber lid assembly 1232, May include a pre-polished surface to provide a laminar flow of gas along the lower surface 1260 of the chamber lid assembly 1232 and the gas distribution channel 1228. [

선택적인 일 실시예에서, 가스 분산 채널(1228)의 내부면(1231)(리드판(1270) 및 리드 캡(1272)의 내부면 둘다를 포함하는) 및 챔버 리드 조립체(1232)의 하부면(1260)은 거칠기가공된 표면 또는 기계가공된 표면을 포함할 수 있어 표면에 걸친 더 많은 표면적을 형성하도록 한다. 거칠기가공된 표면은 내부면(1231) 및 하부면(1260) 상의 원하지 않는 축적된 재료의 향사된 부착을 제공한다. 원하지 않는 필름은 항상 증착 공정을 수행하는 결과로서 생성되고 기판(1210)을 오염시키기 위해 내부면(1231) 및 하부면(1260)을 필링하거나 플레이킹할 수 있다. 하나의 예에서, 하부면(1260) 및/또는 내부면(1231)의 평균 거칠기(Ra)는 약 10μin(약 0.254μm) 내지 약 200μin(약 5.08μm), 바람직하게는 약 20μin(약 0.508μm) 내지 약 100μin(약 2.54μm), 및 더욱 바람직하게는 약 30μin(약 0.762μm) 내지 약 80μin(약 2.032μm))의 범위 내와 같은 적어도 10μin일 수 있다. 또 다른 예에서, 하부면(1260) 및/또는 내부면(1231)의 평균 거칠기는 적어도 약 100μin(약 2.54μm), 바람직하게는 약 200μin(약 5.08μm) 내지 약 500μin(약 12.7μm) 내에 있을 수 있다.
In an alternate embodiment, the inner surface 1231 of the gas distribution channel 1228 (including both the inner surface of the lid plate 1270 and the lid cap 1272) and the lower surface of the chamber lid assembly 1232 1260 may include a roughened surface or a machined surface to allow more surface area to be formed across the surface. The roughened surface provides an affixed attachment of the undesired accumulated material on the inner surface 1231 and the lower surface 1260. Unwanted films are always produced as a result of performing the deposition process and may be peeled or flaked on the inner surface 1231 and the lower surface 1260 to contaminate the substrate 1210. [ In one example, the average roughness R a of the lower surface 1260 and / or the inner surface 1231 is about 10 μin (about 0.254 μm) to about 200 μin (about 5.08 μm), preferably about 20 μin such as within a range of from about 10 microns to about 100 microns (about 2.54 microns), and more preferably from about 30 microns (about 0.762 microns) to about 80 microns (about 2.032 microns). In yet another example, the average roughness of the lower surface 1260 and / or the inner surface 1231 is at least about 100 microns (about 2.54 microns), preferably about 200 microns (about 5.08 microns) to about 500 microns (about 12.7 microns) Can be.

도 13a 및 도 14a 내지 도 14c는 리드판(1270)의 중앙 부분을 통하여 연장하는 가스 분산 채널(1228)을 포함하는 챔버 리드 조립체(1232)의 단면도이다. 가스 고리형상부(1264a 및 1264b)는 가스 분산 채널(1228) 및 중심 축선(1233) 주위로 고리형상으로 연장한다. 가스 분산 채널(1228)은 항상 ALD 공정 동안 챔버 리드 조립체(1232) 아래 위치하는 기판에 대해 수직하게 연장하도록 위치된다. 가스 분산 채널(1228)은 리드 캡(1272)의 중심 축선(1233)을 따라, 리드 캡(1270)을 통하여, 하부면(1260)으로 연장한다. 가스 분산 채널(1228)은 하부면(1260)을 통하여 반응 존(1064) 내로 추가로 연장한다. 하부면(1260)은 가스 분산 채널(1228)로부터 쵸크(1262)로 연장한다. 하부면(1260)은 ALD 공정 동안 챔버 리드 조립체(1232) 아래 위치되는 기판을 실질적으로 커버하도록 하는 크기 및 형상을 가진다.
13A and 14A-14C are cross-sectional views of a chamber lid assembly 1232 including a gas distribution channel 1228 extending through a central portion of the lid plate 1270. [ The gas annular portions 1264a and 1264b extend annularly around the gas distribution channel 1228 and the central axis 1233. The gas distribution channel 1228 is always positioned to extend perpendicularly to the substrate positioned below the chamber lid assembly 1232 during the ALD process. The gas distribution channel 1228 extends along the central axis 1233 of the lead cap 1272 and through the lead cap 1270 to the lower surface 1260. The gas dispersion channel 1228 further extends into the reaction zone 1064 through the bottom surface 1260. The lower surface 1260 extends from the gas distribution channel 1228 to the choke 1262. The lower surface 1260 has a size and shape to substantially cover the substrate positioned below the chamber lid assembly 1232 during the ALD process.

도 13a 내지 도 14a 내지 도 14c는 적어도 두 개의 가스 소스 또는 화학물 전구체로 기판을 노출하도록 구성되는 챔버 리드 조립체(1232)를 도시한다. 다른 예에서, 챔버 리드 조립체(1232)는 기판을 단일 가스 소스(도 5에 도시됨) 또는 3개 또는 그 보다 많은 가스 소스 또는 화학물 전구체(도 6에 도시됨)에 노출하도록 구성될 수 있다.
13A-14A-14C illustrate a chamber lid assembly 1232 configured to expose a substrate with at least two gas sources or chemical precursors. In another example, the chamber lid assembly 1232 can be configured to expose the substrate to a single gas source (shown in FIG. 5) or three or more gas sources or chemical precursors (shown in FIG. 6) .

도 14b 내지 도 14c에 도시된 원형 가스 유동(1220)으로서 공정 가스는 지점(1236)의 부 존재시 유사하게 형성된 공정 챔버에서 보다, 지점(1236)을 통과하는 동안, 강제로 가스 분산 채널(1228)의 중심 축선(1233) 주위를 더 많이 회전하도록 한다. 원형 가스 유동(1220)은 보텍스 패턴, 헬릭스 패턴, 스파이럴 패턴, 트월 패턴, 트위스트 패턴, 코일 패턴, 월풀 패턴, 또는 이들의 유도형 패턴과 같은 유동 패턴을 포함할 수 있다. 원형 가스 유동(1220)은 가스 분산 채널(1228)의 중심 축선(1233) 주위를 적어도 약 1회전, 바람직하게는 적어도 약 1.5 회전, 더욱 바람직하게는, 적어도 약 2 회전, 더욱 바람직하게는 적어도 약 3 회전, 및 더욱 바람직하게는 적어도 약 4 회전 또는 그 보다 많이 연장할 수 있다.
The process gas as the circular gas flow 1220 shown in Figures 14B-14C is forced to flow through the gas distribution channel 1228 (Figure 12B) while passing through point 1236, rather than in a similarly- To rotate more about the center axis 1233 of the rotor. The circular gas flow 1220 may include flow patterns such as vortex patterns, helix patterns, spiral patterns, twist patterns, twist patterns, coil patterns, whirlpool patterns, or their inductive patterns. The circular gas flow 1220 is directed about the central axis 1233 of the gas distribution channel 1228 at least about one revolution, preferably at least about 1.5 turns, more preferably at least about two turns, Three turns, and more preferably at least about four turns or more.

도 13c 및 도 14c는, 일 실시예에서, 상부(1237)로부터 중심 축선(1233)을 따라, 지점(1236)으로 실질적으로 일정한 내경을 포함하는 가스 분산 채널(1228)을 도시한다. 선택적인 일 실시예에서, 가스 분산 채널(1228)은 상부(1237)로부터 중심 축선(1233)을 따라, 지점(1236)(도시안됨)으로 증가 또는 감소하는 내경을 포함한다. 그러나, 가스 분산 채널(1228)은 지점(1236)으로부터 중심 축선(1233)을 따라 챔버 리드 조립체(1232)의 하부면(1260)에 인접한 하부(1236)로 증가하는 내경을 포함한다.
Figures 13C and 14C illustrate a gas distribution channel 1228 that includes a substantially constant inside diameter at point 1236 along a central axis 1233 from top 1237 in one embodiment. In an alternate embodiment, the gas distribution channel 1228 includes an inner diameter that increases or decreases from the upper portion 1237 along a central axis 1233 to a point 1236 (not shown). The gas distribution channel 1228 includes an inner diameter that increases from the point 1236 to the lower portion 1236 adjacent the lower surface 1260 of the chamber lid assembly 1232 along the central axis 1233. [

일 예에서, 300 mm 직경 기판을 처리하도록 적용되는 챔버 리드 조립체(1232)는 아래 직경을 가질 수 있다. 가스 분산 채널(1228)의 상부(1237)에서의 직경은 약 0.5 인치 내지 약 2 인치, 바람직하게는 약 0.75 인치 내지 약 1.5 인치, 및 더욱 바람직하게는 약 0.8 인치 내지 약 1.2 인치의 범위내, 예를 들면 약 1 인치일 수 있다. 가스 분산 채널(1228)의 지점(1236)에서의 직경은 약 0.5 인치 내지 약 2 인치, 바람직하게는 약 0.75 인치 내지 약 1.5 인치, 및 더욱 바람직하게는 0.8 인치 내지 약 1.2 인치의 범위내, 예를 들면 약 1인치일 수 있다. 가스 분산 채널(1228)의 하부(1235)의 직경은 약 1 인치 내지 약 4 인치, 바람직하게는 약 1.5 인치 내지 약 3 인치, 및 더욱 바람직하게는, 1.6 인치 내지 약 2.4 인치의 범위 내, 예를 들면 약 2인치일 수 있다. 일 실시예에서, 상기 치수는 약 500 sccm 내지 약 3,000 sccm 범위 내의 가스 유동을 제공하기 위해 적용되는 가스 분산 채널(1228)에 적용된다. 다른 실시예에서, 가스 분산 채널(1228)의 치수는 이를 통한 소정의 가스 유동을 수용하도록 변경될 수 있다.
In one example, a chamber lid assembly 1232 adapted to process a 300 mm diameter substrate may have a lower diameter. The diameter at the top 1237 of the gas distribution channel 1228 is within the range of about 0.5 inches to about 2 inches, preferably about 0.75 inches to about 1.5 inches, and more preferably about 0.8 inches to about 1.2 inches, For example about 1 inch. The diameter at the point 1236 of the gas distribution channel 1228 is within the range of about 0.5 inches to about 2 inches, preferably about 0.75 inches to about 1.5 inches, and more preferably 0.8 inches to about 1.2 inches, For example about 1 inch. The diameter of the lower portion 1235 of the gas distribution channel 1228 is in the range of about 1 inch to about 4 inches, preferably about 1.5 inches to about 3 inches, and more preferably 1.6 inches to about 2.4 inches, For example, it may be about 2 inches. In one embodiment, the dimensions are applied to a gas dispersion channel 1228 applied to provide a gas flow in the range of about 500 sccm to about 3,000 sccm. In other embodiments, the dimensions of the gas distribution channel 1228 can be varied to accommodate a given gas flow therethrough.

점차적으로 테이퍼를 형성하는 가스 분산 채널(1228)은 가스의 단열 팽창을 적게 제공하도록 한다. 따라서, 더 많은 열이 가스로 또는 가스로부터 전달될 수 있어, 가스의 온도가 가스의 주변 온도를 제어(즉, 챔버 리드 조립체(1232)의 온도를 제어)함으로써 더욱 용이하게 제어될 수 있다. 가스 분산 채널(1228)은 점차적으로 테이퍼를 형성할 수 있어 테이퍼 형성 직선형 표면, 오목형 표면, 볼록형 표면, 또는 이들의 조합형상의 표면과 같은 하나 또는 그 보다 많은 테이퍼 형성 내부면을 포함하거나 하나 또는 그 보다 많은 테이퍼 형성 내부면(즉, 테이퍼 형성된 부분 및 테이퍼가 형성되지 않은 부분)의 섹션을 포함할 수 있다.
The gas distribution channel 1228, which gradually forms a taper, allows less adiabatic expansion of the gas. Thus, more heat can be transferred to or from the gas, so that the temperature of the gas can be more easily controlled by controlling the ambient temperature of the gas (i.e., controlling the temperature of the chamber lid assembly 1232). The gas distribution channel 1228 may include one or more tapered inner surfaces, such as tapered straight surfaces, concave surfaces, convex surfaces, or combinations thereof, that may gradually form a taper, But may include sections of more tapered inner surfaces (i.e., tapered portions and non-tapered portions).

일 실시예에서, 가스 고리형상부(1264a 및 1264b)는 도 14a 내지 도 14b에 도시된 바와 같이, 가스 분산 채널(1228)의 상부(1237)를 둘러싼다. 다른 실시예에서, 하나 또는 그 보다 많은 가스 고리형상부(1264a 및 1264b)는 상부(1237)와 하부(1235) 사이의 가스 분산 채널(1228)의 길리를 따라 상이한 위치에 위치될 수 있다.
In one embodiment, gas annular portions 1264a and 1264b surround upper portion 1237 of gas distribution channel 1228, as shown in Figures 14a-14b. In other embodiments, one or more gas annulus-shaped portions 1264a and 1264b may be located at different locations along the path of the gas distribution channel 1228 between the top 1237 and the bottom 1235.

이론에 구속되지 않고, 도 14b 내지 도 14c는 가스 분산 채널을 통한 가스 유동를 간략하게 도시하는 챔버 리드 조립체(1232)의 가스 분산 채널(1228)의 상이한 도면이다. 비록 가스 분산 채널(1228)을 통한 정확한 가스 패턴이 알려져 있지 않지만, 원형 가스 유동(1220)은 보텍스 유동, 헬릭스 유동, 스파이럴 유동, 스월 유동, 트월 유동, 트위스트 유동, 코일 유동, 코크스크류 유동, 컬 유동, 월풀 유동, 이들의 유도형 유동, 또는 이들의 조합형 유동과 같은 원형 유동 패턴을 구비한 가스 분산 채널(1228)을 통하여 슬롯(1266a 및 1266b)으로부터 이동할 수 있다. 원형 유동은 기판으로부터 분리된 구획부 내에서 마주하는 바와 같은, " 처리 영역 " 내에 제공될 수 있다. 하나의 양태에서, 원형 가스 유동(1220)은 가스 분산 채널(1228)의 내부면에 걸친 보텍스 유동 패턴의 스위핑 작용 때문에 가스 분산 채널(1228)의 더욱 효과적인 퍼지를 설정하기에 도움이 된다.
Without being bound by theory, FIGS. 14B-14C are different views of the gas distribution channel 1228 of the chamber lid assembly 1232, which briefly illustrates the gas flow through the gas distribution channel. Although the exact gas pattern through the gas distribution channel 1228 is not known, the circular gas flow 1220 can be a flow of a gas such as a vortex flow, a helix flow, a spiral flow, a swirl flow, a twirl flow, a twist flow, a coil flow, Can move from slots 1266a and 1266b through gas distribution channels 1228 with circular flow patterns such as flow, whirlpool flow, induction flow thereof, or a combination thereof. The circular flow may be provided in a "processing zone &quot;, such as within a compartment separated from the substrate. In one embodiment, the circular gas flow 1220 helps to establish a more effective purging of the gas distribution channel 1228 due to the sweeping action of the vortex flow pattern over the inner surface of the gas distribution channel 1228.

도 12c, 도 13b 내지 도 13c, 및 도 14c는 챔버 리드 조립체(1232)의 하부면(1260)의 적어도 일 부분이 가스 분산 채널(1228)로부터 챔버 리드 조립체(1232)의 주변 부분으로 테이퍼를 형성할 수 있어 기판의 표면에 대한 가스 분산 채널(1228)로부터(즉, 기판의 중앙으로부터 기판의 에지로) 가스의 개선된 속도 프로파일을 제공하도록 한다. 하부면(1260)은 직선형 표면, 오목형 표면, 볼록형 표면, 또는 이들의 조합형 표면과 같은 하나 또는 그 보다 많은 테이퍼 형성 표면을 포함할 수 있다. 일 실시예에서, 하부면(1260)은 깔때기의 형상으로 테이퍼를 형성한다.
12C, 13B-13C, and 14C show that at least a portion of the lower surface 1260 of the chamber lid assembly 1232 tapers from the gas distribution channel 1228 to the peripheral portion of the chamber lid assembly 1232 To provide an improved velocity profile of the gas from the gas distribution channel 1228 (i.e., from the center of the substrate to the edge of the substrate) relative to the surface of the substrate. Lower surface 1260 may include one or more tapered surfaces, such as a straight surface, a concave surface, a convex surface, or a combination thereof. In one embodiment, the lower surface 1260 forms a taper in the form of a funnel.

하나의 예에서, 하부면(1260)은 반응물 가스로 기판의 표면의 균일한 노출을 제공하는 것을 보조하는 한편, 챔버 리드 조립체(1232)의 하부면과 기판 사이로 이동하는 공정 가스의 속도에서의 변화를 감소시키도록 하방으로 경사진다. 일 실시예에서, 기판의 표면과 챔버 리드 조립체(1232)의 하방으로 경사지는 하부면(1260) 사이의 유동 섹션의 최소 면적에 대한 유동 섹션의 최대 면적의 비율은 약 2보다 작고, 바람직하게는 약 1.5 보다 작고, 더욱 바람직하게는 약 1.3 보다 작고 더욱 바람직하게는 약 1 보다 작다.
In one example, the lower surface 1260 assists in providing a uniform exposure of the surface of the substrate to the reactant gas while a change in the speed of the process gas moving between the lower surface of the chamber lid assembly 1232 and the substrate As shown in Fig. In one embodiment, the ratio of the maximum area of the flow section to the minimum area of the flow section between the surface of the substrate and the lower surface 1260, which is inclined downwardly of the chamber lid assembly 1232, is less than about 2, Less than about 1.5, more preferably less than about 1.3, and more preferably less than about 1.

이론에 구속되지 않고, 기판의 표면에 걸친 더욱 균일한 속도로 이동하는 가스 유동은 기판 상의 가스의 더욱 균일한 증착을 제공하는데 도움이 된다. 가스이 속도는 기판 표면 상의 가스의 증착율에 직접 비례하는 가스의 농도에 직접 비례한다. 따라서, 기판의 표면의 제 1 영역 대 기판의 표면의 제 2 영역에서의 가스의 더 높은 속도는 제 1 영역 상에서 가스의 더 높은 증착을 제공하도록 한다. 하방으로 경사지는 하부면(1260)을 가지는 챔버 리드 조립체(1232)는 기판의 표면에 걸친 가스의 더욱 균일한 증착을 위해 제공되며 이는 하부면(1260)이 더욱 균일한 속도를 제공하여 기판의 표면에 걸친 가스의 더욱 균일한 농도를 제공하기 때문이다.
Without being bound by theory, the gas flow moving at a more uniform rate across the surface of the substrate helps to provide a more uniform deposition of gas on the substrate. The gas velocity is directly proportional to the concentration of gas directly proportional to the deposition rate of the gas on the substrate surface. Thus, the higher rate of gas in the second region of the surface of the substrate relative to the first region of the surface of the substrate allows for a higher deposition of gas on the first region. A chamber lid assembly 1232 having a downwardly sloping bottom surface 1260 is provided for more uniform deposition of gas across the surface of the substrate, which allows the lower surface 1260 to provide a more uniform velocity, Lt; RTI ID = 0.0 &gt; gaseous &lt; / RTI &gt;

도 12c 및 도 13c는 ALD 공정 동안 기판이 위치될 수 있는 주변에 인접한 챔버 리드 조립체(1232)의 주변 부분에 쵸크(1262)를 도시한다. 챔버 리드 조립체(1232)가 기판 주위의 처리 존을 형성하도록 조립될 때 쵸크(1262)가 기판의 주변에 인접한 영역에서 이를 통한 가스의 유동을 제한하는 소정의 부재를 포함할 수 있다.
Figures 12C and 13C show the choke 1262 in the peripheral portion of the chamber lid assembly 1232 adjacent to the periphery where the substrate may be located during the ALD process. Choke 1262 may include a member that restricts the flow of gas therethrough in regions adjacent the periphery of the substrate when chamber lid assembly 1232 is assembled to form a process zone around the substrate.

리드 캡(1272), 가스 도관(1250a), 가스 도관 커버(1252), 및 리드판(1270)의 상부의 일 부분은 도 13a 내지 도 13b에 도시된 바와 같이, 핸들(1282)을 가지는 챔버 리드 커버(1280)에 의해 커버될 수 있다. 챔버 리드 조립체(1232)의 온도는 리드판(1270)을 통하여 연장하는 냉매 채널(1290)과 같은, 수 재킷(water jacket)에 부착되는 액체 냉각 시스템에 의해 제어될 수 있다. 물과 같은, 액체 냉매는 리드판(1270)으로부터 열을 제거하도록 냉매 채널(1290)을 통과할 수 있다. 냉매 커넥터(1292a 및 1292b)는 호스 또는 튜브에 의해 냉매 채널에 연결될 수 있다. 냉매 커넥터(1292a 및 1292b)의 다른 단부는 호스 또는 튜브에 의해 유체 소스 및 인-하우스 냉각 시스템 또는 독립 냉각 시스템과 같은 유체 회수부로 연결될 수 있다. 냉매 커넥터(1292a 및 1292b)는 지지 브래킷(1294)에 의해 부착될 수 있다. 냉매 채널(1270)을 통하여 유동할 수 있는 액체는 물, 오일, 알콜, 글리콜, 글리콜 에테르, 또는 다른 유기 용매를 포함한다. 일 실시예에서, 리드판(1270) 또는 챔버 리드 조립체(1232)의 온도는 약 0 ℃ 내지 약 100 ℃, 바람직하게는 약 18 ℃ 내지 약 65 ℃, 및 더욱 바람직하게는 약 20 ℃ 내지 약 50 ℃ 범위 내의 미리결정된 온도로 유지될 수 있다.
A portion of the top of the lead cap 1272, the gas conduit 1250a, the gas conduit cover 1252 and the lead plate 1270 may be connected to a chamber lead having a handle 1282, as shown in Figures 13A- Can be covered by the cover 1280. The temperature of the chamber lid assembly 1232 can be controlled by a liquid cooling system attached to a water jacket, such as a refrigerant channel 1290 extending through the lid plate 1270. A liquid coolant, such as water, can pass through the coolant channel 1290 to remove heat from the lead plate 1270. The refrigerant connectors 1292a and 1292b may be connected to the refrigerant channel by a hose or tube. Other ends of the refrigerant connectors 1292a and 1292b may be connected by a hose or tube to a fluid source such as a fluid source and an in-house cooling system or a fluid recovery unit such as an independent cooling system. Refrigerant connectors 1292a and 1292b may be attached by support brackets 1294. [ The liquid that can flow through the refrigerant channel 1270 includes water, oil, alcohol, glycol, glycol ether, or other organic solvent. In one embodiment, the temperature of the lid plate 1270 or chamber lid assembly 1232 is maintained at a temperature of from about 0 캜 to about 100 캜, preferably from about 18 캜 to about 65 캜, and more preferably from about 20 캜 to about 50 Lt; RTI ID = 0.0 &gt; C, &lt; / RTI &gt;

도 15a 내지 도 15c는 ALD 공정 동안 적용되는 가스 전달 시스템(1530)을 포함하는 공정 챔버(1500)의 일 실시예의 개략도이다. 공정 챔버(1500)는 측벽(1504) 및 바닥부(1506)을 가지는 챔버 바디(1502)를 포함한다. 공정 챔버(1500) 내의 슬릿 밸브(1508)는 200 mm 또는 300 mm 반도체 웨이퍼 또는 유리 기판과 같은, 기판(1510)을 공정 챔버(1500)로 전달 그리고 공정 챔버로부터 회수하기 위한 로봇(도시안됨)을 위한 액세스를 제공한다.
15A-15C are schematic diagrams of one embodiment of a process chamber 1500 that includes a gas delivery system 1530 applied during an ALD process. The process chamber 1500 includes a chamber body 1502 having a sidewall 1504 and a bottom portion 1506. The slit valve 1508 in the process chamber 1500 may include a robot (not shown) for transferring the substrate 1510 to and from the process chamber, such as a 200 mm or 300 mm semiconductor wafer or glass substrate Lt; / RTI &gt;

기판 지지부(1512)는 공정 챔버(1500) 내에서 기판 수용면(1511) 상에 기판(1510)을 지지한다. 기판 지지부(1512)는 기판 지지부(1512) 및 그 위에 배치되는 기판(1510)을 상승 및 하강시키기 위해 리프트 모터(1514)에 장착된다. 리프트 모터(1518) 상에 연결되는 리프트판(1516)은 공정 챔버(1500) 내에 장착되어 기판 지지부(1512)를 통하여 가동되게 배치되는 리프트 핀(1520)을 상승 및 하강시킨다. 리프트 핀(1520)은 기판 지지부(1512)의 표면 위에 기판(1510)을 상승 및 하강시킨다. 기판 지지부(1512)는 증착 공정 동안 기판(1510)을 기판 지지부(1512)에 고정시키기 위해 진공 척(도시안됨), 정전 척(도시안됨) 또는 클램핑 링(도시안됨)을 포함할 수 있다.
The substrate support 1512 supports the substrate 1510 on the substrate receiving surface 1511 within the process chamber 1500. The substrate support 1512 is mounted to the lift motor 1514 to raise and lower the substrate support 1512 and the substrate 1510 disposed thereon. A lift plate 1516 connected to the lift motor 1518 is mounted within the process chamber 1500 to lift and lower the lift pins 1520 that are movably disposed through the substrate support 1512. The lift pins 1520 raise and lower the substrate 1510 over the surface of the substrate support 1512. The substrate support 1512 may include a vacuum chuck (not shown), an electrostatic chuck (not shown), or a clamping ring (not shown) to secure the substrate 1510 to the substrate support 1512 during the deposition process.

기판 지지부(1512)의 온도는 그 위에 배치되는 기판(1510)의 온도를 제어하기 위해 조정될 수 있다. 예를 들면, 기판 지지부(1512)는 저항 히터(도시안됨)와 같은, 매립된 가열 요소를 이용하여 가열될 수 있거나, 기판 지지부(1512) 위에 배치되는 가열 램프(도시안됨)와 같은 방사성 히트를 이용하여 가열될 수 있다. 퍼지 링(1522)은 기판 상에 증착을 방지하도록 기판(1510)의 주변 부분으로 퍼지 가스를 제공하는 퍼지 채널(1524)을 형성하도록 기판 지지부(1512) 상에 배치될 수 있다.
The temperature of the substrate support 1512 can be adjusted to control the temperature of the substrate 1510 disposed thereon. For example, the substrate support 1512 may be heated using a buried heating element, such as a resistive heater (not shown), or a radiative heat, such as a heating lamp (not shown), disposed over the substrate support 1512 . &Lt; / RTI &gt; The purge ring 1522 may be disposed on the substrate support 1512 to form a purge channel 1524 that provides purge gas to a peripheral portion of the substrate 1510 to prevent deposition on the substrate.

가스 전달 시스템(1530)은 공정 챔버(150))로 공정 가스 및/또는 퍼지 가스와 같은 가스를 제공하도록 챔버 바디(1502)의 상부에 배치된다. 도 15a 내지 도 15c는 화학물 전구체 또는 두 개 이상의 가스 소스로 기판(1510)을 노출하도록 구성되는 가스 전달 시스템(1530)을 도시한다. 다른 예에서, 가스 전달 시스템(1530)은 단일 가스 소스(도 5에 도시된 바와 같이) 또는 3개 또는 그 보다 많은 가스 소스 또는 화학물 전구체(도 6에 도시된 바와 같이)로 기판(1510)을 노출하도록 구성될 수 있다. 진공 시스템(1578)은 공정 챔버(1500)로부터 소정의 원하는 가스를 배기하도록 그리고 공정 챔버(1500)의 펌핑 존(1566) 내부의 원하는 압력 범위 또는 원하는 압력을 유지하도록 하기 위해 펌핑 채널(1579)과 소통된다.
A gas delivery system 1530 is disposed on top of the chamber body 1502 to provide a process gas and / or a purge gas, such as a purge gas, to the process chamber 150). 15A-15C illustrate a gas delivery system 1530 configured to expose a substrate 1510 to a chemical precursor or to more than one gas source. In another example, the gas delivery system 1530 may include a substrate 1510 with a single gas source (as shown in Figure 5) or three or more gas sources or chemical precursors (as shown in Figure 6) As shown in FIG. Vacuum system 1578 includes a pumping channel 1579 to evacuate any desired gas from process chamber 1500 and to maintain a desired pressure range or desired pressure within pumping zone 1566 of process chamber 1500. Communication.

일 실시예에서, 가스 전달 시스템(1530)은 챔버 리드 조립체(1532)의 중앙 부분을 통하여 연장하는 가스 분산 채널(1534)를 가지는 챔버 리드 조립체(1532)를 포함한다. 가스 분산 채널(1534)은 기판 수용면(1511)을 향하여 수직하게 연장하며 또한 가스 분산 채널(1534)의 중심 축선(1533)을 따라, 리드판(1570)을 통하여, 그리고 하부면(1560)으로 연장한다. 일 예에서, 가스 분산 채널(1534)의 일 부분은 가스 분산 채널(1534)의 하부(1535) 내의 중심 축선(1533)으로부터 이격하여 테이퍼를 형성하는 가스 분산 채널(1534)의 일 부분 및 상부(1537) 내의 중심 축선(1533)을 따라 실질적으로 원통형이다. 가스 분산 채널(1534)은 하부면(1560)을 통하여 반응 존(1564) 내로 추가로 연장한다. 하부면(1560)은 가스 분산 채널(1534)의 하부(1535)로부터 쵸크(1562)로 연장한다. 하부면(1560)은 기판 지지부(1512)의 기판 수용면(1511)에 배치되는 기판(1510)을 실질적으로 커버하도록 하는 크기 및 형상을 가진다.
In one embodiment, the gas delivery system 1530 includes a chamber lid assembly 1532 having a gas distribution channel 1534 extending through a central portion of the chamber lid assembly 1532. The gas distribution channel 1534 extends vertically toward the substrate receiving surface 1511 and along the central axis 1533 of the gas distribution channel 1534 through the lid plate 1570 and into the lower surface 1560 Extend. In one example, a portion of the gas distribution channel 1534 includes a portion of the gas distribution channel 1534 that forms a taper away from the central axis 1533 in the lower portion 1535 of the gas distribution channel 1534, 1537 along a central axis 1533 thereof. The gas dispersion channel 1534 further extends into the reaction zone 1564 through the lower surface 1560. The lower surface 1560 extends from the lower portion 1535 of the gas distribution channel 1534 to the choke 1562. The bottom surface 1560 has a size and shape to substantially cover the substrate 1510 disposed on the substrate receiving surface 1511 of the substrate support 1512.

원형 가스 유동(1574)으로서 공정 가스는 중심 축선(1534)을 따라 통과하는 동안 가스 분산 채널(1534)의 중심 축선(1533) 주위로 강제로 회전을 하도록 한다. 원형 가스 유동(1574)은 보텍스 패턴, 헬릭스 패턴, 스파이럴 패턴, 트월 패턴, 코일 패턴, 월풀 패턴, 또는 이들의 유도 형상의 패턴과 같은 유동 패턴을 포함할 수 있다. 원형 가스 유동(1574)은 가스 분산 채널(1534)의 중심 축선 둘레를 적어도 약 1 회전, 바람직하게는 적어도 약 1.5 회전, 더욱 바람직하게는 적어도 약 2 회전, 더욱 바람직하게는 적어도 약 3 회전, 및 더욱 바람직하게는 약 4 회전 또는 그 보다 많게 연장할 수 있다.
As the circular gas flow 1574, the process gas is forced to rotate about the central axis 1533 of the gas distribution channel 1534 while passing along the central axis 1534. The circular gas flow 1574 may include flow patterns such as vortex patterns, helix patterns, spiral patterns, twirl patterns, coil patterns, whirlpool patterns, or patterns of derived shapes thereof. The circular gas flow 1574 is circulated about the center axis of the gas distribution channel 1534 by at least about one revolution, preferably at least about 1.5 rotations, more preferably at least about two rotations, more preferably at least about three rotations, More preferably about four turns or more.

가스 분산 채널(1534)은 함께 및/또는 개별적으로 제공될 수 있는 두 개의 유사한 쌍의 밸브(1542a/1552a, 1542b/1552b)로부터 가스 유동을 제공하도록 인렛(1536a, 1536b)을 가진다. 하나의 구성에서, 밸브(1542a) 및 밸브(1542b)는 반응물 가스 소스를 분리하도록 결합되지만 바람직하게는 동일한 퍼지 가스 소스에 결합된다. 예를 들면, 밸브(1542a)는 반응물 가스 소스(1538)에 결합되고 밸브(1542b)는 반응물 가스 소스(1539)에 결합되며, 양 밸브(1542a, 1542b)는 퍼지 가스 소스(1540)에 결합된다. 각각의 밸브(1542a, 1542b)는 밸브 시트 조립체(1544a, 1544b)를 가지는 전달 라인(1543a, 1543b)을 포함하며 각각의 밸브(1552a, 1552b)는 밸브 시트 조립체(1546a, 1546b)를 가지는 퍼지 라인(1545a, 1545b)을 포함한다. 전달 라인(1543a, 1543b)은 반응물 가스 소스(1538 및 1539)와 유체 소통되고 가스 분산 채널(1534)의 가스 인렛(1536a, 1536b)과 유체 소통된다. 전달 라인(1543a, 1543b)의 밸브 시트 조립체(1544a, 1544b)는 반응물 가스 소스(1538 및 1539)로부터 가스 분산 채널(1534)로의 유동을 제어한다. 퍼지 라인(1545a, 1545b)은 퍼지 가스 소스(1540)와 소통되고 전달 라인(1543a, 1543b)의 밸브 시트 조립체(1544a, 1544b)의 하류부에서 전달 라인(1543a, 1543b)과 교차한다. 퍼지 라인(1545a, 1545b)의 밸브 시트 조립체(1546a, 1546b)은 퍼지 가스 소스(1540)로부터 가스 분산 채널(1534)로의 퍼지 가스의 유동을 제어한다. 캐리어 가스가 반응물 가스 소스(1538 및 1539)로부터 반응물 가스를 전달하기 위해 이용되는 경우, 바람직하게는 동일한 가스가 캐리어 가스 및 퍼지 가스로서 이용된다(예를 들면, 캐리어 가스 및 퍼지 가스로서 이용된 아르곤 가스).
The gas distribution channels 1534 have inlets 1536a and 1536b to provide gas flow from two similar pairs of valves 1542a / 1552a, 1542b / 1552b that may be provided together and / or separately. In one configuration, valve 1542a and valve 1542b are coupled to separate reactant gas sources, but are preferably coupled to the same purge gas source. For example, valve 1542a is coupled to a reactant gas source 1538, valve 1542b is coupled to a reactant gas source 1539, and both valves 1542a and 1542b are coupled to a purge gas source 1540 . Each valve 1542a and 1542b includes delivery lines 1543a and 1543b having valve seat assemblies 1544a and 1544b and each valve 1552a and 1552b includes a purge line 1546a and 1546b having valve seat assemblies 1546a and 1546b, (1545a, 1545b). Transmission lines 1543a and 1543b are in fluid communication with reactant gas sources 1538 and 1539 and in fluid communication with gas inlet 1536a and 1536b of gas distribution channel 1534. [ The valve seat assemblies 1544a and 1544b of the transfer lines 1543a and 1543b control the flow from the reactant gas sources 1538 and 1539 to the gas distribution channel 1534. [ Purge lines 1545a and 1545b are in communication with purge gas source 1540 and intersect transmission lines 1543a and 1543b downstream of valve seat assemblies 1544a and 1544b of delivery lines 1543a and 1543b. The valve seat assemblies 1546a and 1546b of the purge lines 1545a and 1545b control the flow of the purge gas from the purge gas source 1540 to the gas distribution channel 1534. [ When a carrier gas is used to transfer the reactant gas from the reactant gas sources 1538 and 1539, preferably the same gas is used as the carrier gas and the purge gas (e.g., argon gas).

각각의 밸브 시트 조립체(1544a, 1544b, 1546a, 1546b)는 다이아프램(도시안됨) 및 밸브 시트(도시안됨)를 포함할 수 있다. 다이아프램은 편향되어 개방 또는 폐쇄될 수 있고 각각 작동되어 폐쇄 또는 개방될 수 있다. 다이아프램은 공기압으로 작동될 수 있거나 전기적으로 작동될 수 있다. 공기압으로 작동되는 밸브는 후지킨, 아이엔씨. 및 베리플로 디비젼, 파커 하니핀, 코포레이션으로부터 입수가능한 공기압으로 작동되는 밸브를 포함한다. 전기적으로 작동되는 밸브는 후지킨, 아이엔씨.로부터 입수가능한 전기적으로 작동되는 밸브를 포함한다. 예를 들면, 이용될 수 있는 ALD 밸브은 후지킨 모델 제 FPR-UDDFAT-21-6.35-PI-ASN호 또는 후지킨 모델 제 FPR-NHDT-21-6.35-PA-AYT이다. 프로그램가능한 로직 제어기(1548a, 1548b)는 밸브(1542a, 1542b)의 밸브 시트 조립체(1544a, 1544b, 1546a, 1546b)의 다이어프램의 작동을 제어하기 위해 밸브(1542a, 1542b)에 결합될 수 있다. 공기압으로 작동되는 밸브는 약 0.020 초 만큼 낮은 시간에 가스의 펄스를 제공할 수 있다. 전기적으로 작동되는 밸브는 약 0.005 초 만큼 낮은 시간에 가스의 펄스를 제공할 수 있다. 전기적으로 작동되는 밸브는 통상적으로 밸브와 프로그램가능한 로직 제어기 사이에 결합되는 구동기의 이용을 요구한다.
Each valve seat assembly 1544a, 1544b, 1546a, 1546b may include a diaphragm (not shown) and a valve seat (not shown). The diaphragm can be deflected open or closed and each can be actuated to close or open. The diaphragm can be actuated pneumatically or electrically. The air-operated valves are FUJIKIN, IAN. And a pneumatically operated valve available from VeriFlo Division, Parker Hannifin Corporation. Electrically operated valves include electrically actuated valves available from Fuzikin, IN. For example, ALD valves that may be used are the Fujitsu Model FPR-UDDFAT-21-6.35-PI-ASN or Fujiquin Model FPR-NHDT-21-6.35-PA-AYT. Programmable logic controllers 1548a and 1548b may be coupled to valves 1542a and 1542b to control operation of the diaphragm of valve seat assemblies 1544a, 1544b, 1546a, and 1546b of valves 1542a and 1542b. A pneumatically operated valve can provide a pulse of gas at a time as low as about 0.020 seconds. An electrically actuated valve can provide a pulse of gas at a time as low as about 0.005 seconds. Electrically operated valves typically require the use of a driver coupled between the valve and a programmable logic controller.

각각의 밸브(1542a, 1542b)는 밸브 시트 조립체(1544a, 1544b)가 폐쇄될 때 전달 라인(1543a, 1543b)으로부터 반응물 가스의 플러싱을 가능하게 하도록 제로 데드 용적일 수 있다. 예를 들면, 퍼지 라인(1545a, 1545b)은 전달 라인(1543a, 1543b)의 밸브 시트 조립체(1544a, 1544b)에 인접하게 위치될 수 있다. 밸브 시트 조립체(1544a, 1544b)가 폐쇄될 때, 퍼지 라인(1545a, 1545b)은 전달 라인(1543a, 1543b)을 플러싱하기 위해 퍼지 가스를 제공할 수 있다. 일 실시예에서, 퍼지 라인(1545a, 1545b)은 전달 라인(1543a, 1543b)의 밸브 시트 조립체(1544a, 1544b)로부터 약간 이격하여 위치되어, 퍼지 가스가 개방될 때 밸브 시트 조립체(1544a, 1544b) 내로 직접 전달되지 않도록 한다. 본 명세서에서 이용되는 제로 데드 용적 밸브는 무시가능한 데드 용적을 가지는(즉, 반드시 제로 데드 용적이 아님) 밸브로서 정의된다.
Each valve 1542a and 1542b may be a dead dead volume to enable flushing of the reactant gas from the transfer lines 1543a and 1543b when the valve seat assemblies 1544a and 1544b are closed. For example, purge lines 1545a, 1545b may be positioned adjacent valve seat assemblies 1544a, 1544b of transfer lines 1543a, 1543b. When valve seat assemblies 1544a and 1544b are closed, purge lines 1545a and 1545b may provide purge gas to flush transfer lines 1543a and 1543b. In one embodiment, the purge lines 1545a and 1545b are positioned slightly apart from the valve seat assemblies 1544a and 1544b of the transfer lines 1543a and 1543b so that the valve seat assemblies 1544a and 1544b, So that it is not directly transmitted to the user. The zero dead volume valve used herein is defined as a valve having negligible dead volume (i.e., not necessarily zero dead volume).

각각의 밸브 쌍(1542a/1552a, 1542b/1552b)은 반응물 가스 및 퍼지 가스의 조합된 가스 유동 및/또는 개별 가스 유동을 제공하도록 적용될 수 있다. 밸브 쌍(1542a/1552a)을 참조하면, 반응물 가스 및 퍼지 가스의 조합된 가스 유동의 일 예는 퍼지 라인(1545a)을 통한 퍼지 가스 소스(1540)로부터의 퍼지 가스의 연속 유동 및 전달 라인(1543a)을 통한 반응물 가스 소스(1548)로부터의 반응물 가스의 펄스를 포함한다. 퍼지 가스의 연속 유동은 퍼지 라인(1545a)의 밸브 시트 조립체(1546a)의 다이어프램을 개방시킴으로써 제공될 수 있다. 반응물 가스 소스(1538)로부터의 반응물 가스의 펄스는 전달 라인(1543a)의 밸브 시트 조립체(1544a)의 다이어프램을 개방 및 폐쇄함으로써 제공될 수 있다. 밸브 쌍(1542a/1552a)을 참조하면, 반응물 가스 및 퍼지 가스의 개별 가스 유동의 일 예는 퍼지 라인(1545a)을 통한 퍼지 가스 소스(1540)로부터의 반응물 가스의 펄스 및 전달 라인(1543a)을 통한 반응물 가스 소스(1538)로부터의 반응물 가스의 펄스를 포함한다. 퍼지 가스의 펄스는 퍼지 라인(1545a)의 밸브 시트 조립체(1546a)의 다이어프램을 개방 및 폐쇄함으로써 제공될 수 있다. 반응물 가스 소스(1538)로부터의 반응물 가스의 펄스는 전달 라인(1543a)의 밸브 시트 조립체(1544a)의 다이어프램을 개방 및 폐쇄함으로서 제공될 수 있다.
Each valve pair 1542a / 1552a, 1542b / 1552b may be adapted to provide a combined gas flow of reactant gas and purge gas and / or individual gas flow. One example of a combined gas flow of reactant gas and purge gas, referring to valve pair 1542a / 1552a, is a continuous flow of purge gas from purge gas source 1540 through purge line 1545a, Lt; RTI ID = 0.0 &gt; 1548 &lt; / RTI &gt; Continuous flow of purge gas may be provided by opening the diaphragm of valve seat assembly 1546a of purge line 1545a. A pulse of reagent gas from the reactant gas source 1538 may be provided by opening and closing the diaphragm of the valve seat assembly 1544a of the transfer line 1543a. One example of a separate gas flow of reactant gas and purge gas, referring to valve pair 1542a / 1552a, is a pulse of reactant gas from purge gas source 1540 through purge line 1545a and a transfer line 1543a Lt; RTI ID = 0.0 &gt; 1538 &lt; / RTI &gt; A pulse of purge gas may be provided by opening and closing the diaphragm of valve seat assembly 1546a of purge line 1545a. A pulse of reagent gas from the reactant gas source 1538 may be provided by opening and closing the diaphragm of the valve seat assembly 1544a of the transfer line 1543a.

밸브(1542a, 1542b)의 전달 라인(1543a, 1543b)은 가스 도관(1550a, 1550b)을 통하여 가스 인렛(1536a, 1536b)에 결합될 수 있다. 가스 도관(1550a, 1550b)은 밸브(1542a, 1542b)에 통합될 수 있거나 밸브(1542a, 1542b)로부터 분리될 수 있다. 하나의 양태에서, 밸브(1542a, 1542b)는 전달 라인(1543a, 1543b) 및 밸브(1542a, 1542b)와 가스 인렛(1536a, 1536b) 사이의 가스 도관(1550a, 1550b)의 소정의 불필요한 용적을 감소시키기 위해 가스 분산 채널(1534)에 매우 근접하게 결합된다.
Delivery lines 1543a and 1543b of valves 1542a and 1542b may be coupled to gas inlet 1536a and 1536b through gas conduits 1550a and 1550b. Gas conduits 1550a and 1550b may be integrated with valves 1542a and 1542b or may be separate from valves 1542a and 1542b. In one aspect, the valves 1542a and 1542b reduce the predetermined, undesired volume of the gas conduits 1550a and 1550b between the transfer lines 1543a and 1543b and the valves 1542a and 1542b and the gas inlets 1536a and 1536b. Lt; RTI ID = 0.0 &gt; 1534 &lt; / RTI &gt;

이론에 구속되지 않고, 중심 축선(1533)을 따라 소정의 지점에서 가스 분산 채널(1534)의 상부(1537)로부터 일정하고 이러한 지점으로부터 가스 분산 채널(1534)의 하부로 증가하는, 가스 분산 채널(1534)의 직경은 원형 유동 가스(1574) 내에 포함되는 공정 가스의 온도를 제어하는 가스 분산 채널(1534)을 통한 가스의 적은 단열 팽창을 허용한다. 예를 들면, 가스 분산 채널(1534) 내로 전달되는 가스의 갑작스런 단열 팽창은 가스의 응축 및 소적의 형성을 발생시킬 수 있는 가스의 온도의 강하를 초래할 수 있다. 한편, 점차적으로 테이퍼를 형성하는 가스 분산 채널(1534)은 가스의 단열 팽창을 적게 제공한다. 따라서, 더 많은 열이 가스로 또는 가스로부터 전달되어, 가스의 온도가 가스의 주위 온도를 제어함으로써(즉, 챔버 리드 조립체(1532)의 온도를 제어) 더욱 용이하게 제어될 수 있다. 가스 분산 채널(1534)은 점차적으로 테이퍼를 형성하여, 테이퍼 형성 직선형 표면, 오목형 표면, 볼록형 표면, 이들의 조합형 표면과 같은 하나 또는 그 보다 많은 테이퍼 형성 내부면을 포함하거나 하나 또는 그 보다 많은 테이퍼 형성 내부면(즉, 테이퍼 형성된 일 부분 및 테이퍼가 형성되지 않은 일 부분)의 섹션을 포함할 수 있다.
The gas distribution channel 1534 which is constant from the upper portion 1537 of the gas distribution channel 1534 at a predetermined point along the central axis 1533 and increases from this point to the lower portion of the gas distribution channel 1534, 1534 permit a small adiabatic expansion of the gas through the gas distribution channel 1534 that controls the temperature of the process gas contained within the circular flow gas 1574. [ For example, a sudden adiabatic expansion of the gas delivered into the gas distribution channel 1534 can result in a drop in the temperature of the gas, which can cause condensation of the gas and formation of droplets. On the other hand, the gas dispersion channel 1534, which gradually forms the taper, provides less adiabatic expansion of the gas. Thus, more heat is transferred to or from the gas, so that the temperature of the gas can be more easily controlled by controlling the ambient temperature of the gas (i.e., controlling the temperature of the chamber lid assembly 1532). The gas distribution channel 1534 gradually forms a taper and may include one or more tapered inner surfaces such as a tapered straight surface, a concave surface, a convex surface, a combination surface thereof, or one or more tapers Forming side (i. E., A portion that is tapered and a portion that is not tapered).

도 15b 내지 도 15c는 본 명세서의 실시예에서 설명된 바와 같이, 가스 분산 채널(1534)로의 가스 이동 경로를 도시한다. 공정 가스는 가스 도관(1550a 및 1550b)으로부터 가스 인렛(1536a 및 1536b)을 통하여 가스 고리형상부(1568a 및 1568b), 슬롯(1569a 및 1569b)을 통하여 가스 분산 채널(1534) 내로 전달된다. 도 15b는 공정 가스 또는 전구체 가스가 이동하는 경로, 즉 가스 도관(1550a)으로부터 가스 인렛(1536a)을 통하여 가스 고리형상부(1568a) 내로, 슬롯(1569a)을 통하여, 가스 분산 채널(1534) 내로의 경로를 도시한다. 제 2 경로(즉, 도 15b의 거울 이미지)는 도 15c에 도시된 바와 같이 가스 도관(1550b)으로부터 가스 인렛(1536b)을 통하여, 가스 고리형상부(1568b)내로, 슬롯(1569b)을 통하여, 가스 분산 채널(1534) 내로 연장한다. 이러한 경로 모두 가스 분산 채널(1534)의 상부(1537)를 둘러싼다.
Figs. 15B-15C illustrate the gas travel path to the gas distribution channel 1534, as described in the embodiments herein. Process gases are delivered from gas conduits 1550a and 1550b through gas inlets 1536a and 1536b through gas annulus portions 1568a and 1568b and through slots 1569a and 1569b into gas distribution channel 1534. [ Figure 15B shows the process gas or precursor gas flowing from the gas conduit 1550a through the gas inlet 1536a into the gas annulus 1568a through the slot 1569a into the gas distribution channel 1534 FIG. 15B) from the gas conduit 1550b through the gas inlet 1536b, into the gas annulus 1568b, through the slot 1569b, as shown in FIG. 15c, Gas dispersion channel 1534. As shown in Fig. Both of these paths enclose the upper portion 1537 of the gas distribution channel 1534.

슬롯(1569a 및 1569b)은 가스 고리형상부(1568a 및 1568b)로부터 가스 분산 채널(1534)로 유체 소통을 제공한다. 슬롯(1569a 및 1569b)은 가스 분산 채널(1534) 또는 중심 축선(1533)에 대해 거의 접하는 것과 같이 중심 축선(1533)에 대해 일정한 각도로 위치될 수 있다. 일 실시예에서, 슬롯(1569a 및 1569b)은 약 0°내지 약 90°, 바람직하게는 약 0°내지 약 45°, 더욱 바람직하게는, 약 0°내지 약 20°의 범위 내와 같이, 가스 분산 채널(1534)에 대해 접하는 각도로 위치된다.
Slots 1569a and 1569b provide fluid communication from gas annular portions 1568a and 1568b to gas distribution channel 1534. [ The slots 1569a and 1569b may be positioned at an angle relative to the central axis 1533, such as nearly in contact with the gas distribution channel 1534 or the central axis 1533. [ In one embodiment, the slots 1569a and 1569b may be formed from a gas such as a gas, such as within a range from about 0 [deg.] To about 90 [deg.], Preferably from about 0 [deg.] To about 45 [ Is positioned at an angle tangent to the dispersion channel (1534).

이론에 구속되지 않고, 도 15c는 챔버 리드 조립체(1532)의 가스 분산 채널(1534)의 단면도로서, 이를 통한 가스 유동을 간단히 도시한 도면이다. 비록 가스 분산 채널(1534)을 통한 정확한 가스 패턴을 알 수 없지만, 원형 가스 유동(1574)(도 15c)은 슬롯(1569a 및 1569b)으로부터 가스 분산 채널(1534)을 통하여 보텍스 유동, 헬릭스 유동, 스파이럴 유동, 스월 유동, 트윌 유동, 트위스트 유동, 코일 유동, 코크스크류 유동, 컬 유동, 월풀 유동, 이들의 유도형 유동, 이들의 조합 유동과 같은, 원형 유동 패턴으로 이동할 수 있다. 도 15c에 도시된 바와 같이, 원형 유동은 기판(1510)으로부터 분리된 구획부 내에서와는 대응되는 " 처리 영역 " 내에 제공될 수 있다. 하나의 양태에서, 원형 가스 유동(1574)은 가스 분산 채널(1534)의 내부면에 걸쳐 보텍스 유동 패턴의 스위핑 작용에 의해 가스 분산 채널(1534)의 더욱 효과적인 퍼지를 설정하도록 할 수 있다.
Without being bound by theory, FIG. 15C is a cross-sectional view of the gas distribution channel 1534 of the chamber lid assembly 1532, and is a simplified view of gas flow therethrough. Although the exact gas pattern through the gas distribution channel 1534 is unknown, the circular gas flow 1574 (Fig. 15C) is capable of generating vortex flow, helix flow, spiral flow through the gas distribution channels 1534 from the slots 1569a and 1569b, Such as flow, swirl flow, twirl flow, twist flow, coil flow, coke screw flow, curl flow, whirlpool flow, their inductive flow, their combined flow. As shown in FIG. 15C, the circular flow can be provided in a corresponding "processing region" within the compartment separated from the substrate 1510. In one embodiment, the circular gas flow 1574 can cause more effective purging of the gas distribution channel 1534 by sweeping the vortex flow pattern over the inner surface of the gas distribution channel 1534.

일 실시예에서, 도 15c는 기판(1510)의 표면의 지점(1576a)과 가스 분산 채널(1534)의 상부(1537)의 지점(1576b) 사이의 거리(1575)를 도시한다. 거리(1575)는 기판(1510)의 표면에 걸친 스파이럴 유동이 바람직하지 않을 수 있을 때 원형 가스 유동(1574)이 하방 유동으로 소산되기에 충분히 길다. 원형 가스 유동(1574)은 기판(1510) 및 챔버 리드 조립체(1532)의 표면을 충분히 퍼징하는 층류 방식으로 진행된다. 또 다른 실시예에서, 중심 축선(1533)을 따라 연장하는 가스 분산 채널(1534) 또는 거리(1575)는 약 3인치 내지 약 9인치, 바람직하게는 약 3.5인치 내지 약 7인치, 및 더욱 바람직하게는 약 5인치와 같은 약 4인치 내지 약 6인치의 범위 내의 길이를 가진다.
15C illustrates the distance 1575 between a point 1576a on the surface of the substrate 1510 and a point 1576b on the top 1537 of the gas distribution channel 1534. In one embodiment, The distance 1575 is long enough for the circular gas flow 1574 to dissipate in a downward flow when a spiral flow across the surface of the substrate 1510 may be undesirable. Circular gas flow 1574 proceeds in a laminar flow manner that sufficiently purges the surface of substrate 1510 and chamber lid assembly 1532. In another embodiment, the gas distribution channel 1534 or distance 1575 extending along the central axis 1533 is about 3 inches to about 9 inches, preferably about 3.5 inches to about 7 inches, and more preferably, Has a length in the range of about 4 inches to about 6 inches, such as about 5 inches.

도 15a는 챔버 리드 조립체(1532)의 하부면(1560)은 가스 분산 채널(1534)로부터 챔버 리드 조립체(1532)의 주변 부분으로 테이퍼를 형성할 수 있어 기판(1510)의 표면에 걸쳐 가스 분산 채널(1534)로부터 개선된 속도 프로파일의 가스 유동을 제공한다(즉, 기판의 중앙으로부터 기판의 에지로). 하부면(1560)은 직선형 표면, 오목형 표면, 볼록형 표면, 또는 이들의 조합형 표면과 같은, 하나 또는 그 보다 많은 테이퍼 형성 표면을 포함할 수 있다. 일 실시예에서, 하부면(1560)은 깔때기 형상으로 테이퍼를 형성한다.
15A shows that the lower surface 1560 of the chamber lid assembly 1532 can form a taper from the gas distribution channel 1534 to the peripheral portion of the chamber lid assembly 1532 to form a gas dispersion channel 1532 across the surface of the substrate 1510. [ (I.e., from the center of the substrate to the edge of the substrate). Lower surface 1560 can include one or more tapered surfaces, such as a straight surface, a concave surface, a convex surface, or a combination thereof. In one embodiment, the bottom surface 1560 forms a taper in a funnel shape.

일 예에서, 하부면(1560)은 반응물 가스로 기판(1510)의 표면의 균일한 노출을 제공하도록 하는 반면 기판(1510)과 챔버 리드 조립체(1532)의 하부면(1560) 사이로 이동하는 공정 가스의 속도에서의 변화를 감소시키기 위해 하방으로 경사진다. 일 실시예에서, 기판(1510)의 표면과 챔버 리드 조립체(1532)의 하방으로 경사지는 하부면(1560) 사이의 유동 섹션의 최소 면적에 대한 유동 섹션의 최대 면적의 비율은 약 2보다 작고, 바람직하게는 약 1.5 보다 작고, 더욱 바람직하게는 약 1.3 보다 작고 더욱 바람직하게는 약 1이다.
In one example, the lower surface 1560 provides a uniform exposure of the surface of the substrate 1510 to the reactant gas, while a process gas (not shown) that moves between the substrate 1510 and the lower surface 1560 of the chamber lid assembly 1532 Lt; RTI ID = 0.0 &gt; speed. &Lt; / RTI &gt; In one embodiment, the ratio of the maximum area of the flow section to the minimum area of the flow section between the surface of the substrate 1510 and the lower surface 1560, which is inclined downwardly of the chamber lid assembly 1532, is less than about 2, Preferably less than about 1.5, more preferably less than about 1.3, and more preferably about 1.

이론에 구속되지 않고, 기판(1510)의 표면에 걸쳐 더욱 균일한 속도로 이동하는 가스 유동이 기판(1510) 상의 가스의 더욱 균일한 증착을 제공하도록 한다. 가스의 속도가 가스의 농도에 직접 비례하고, 가스의 농도는 기판(1510) 표면 상의 가스의 증착율에 직접 비례한다. 따라서, 기판(1510)의 표면의 제 2 면적 대 기판(1510)의 표면의 제 1 면적에서의 가스의 더 높은 속도는 제 1 면적 상에 가스의 더 높은 증착을 제공하게 한다. 하방으로 경사지는 하부면(1560)을 가지는 챔버 리드 조립체(1532)는 기판(1510)의 표면에 걸쳐 가스의 더욱 균일한 증착을 제공하며 이는 하부면(1560)이 더욱 균일한 속도를 제공하여 기판(1510)의 표면에 걸쳐 가스의 더욱 균일한 농도를 제공한다.
Without being bound by theory, a gas flow that moves at a more uniform rate across the surface of the substrate 1510 allows for a more uniform deposition of gas on the substrate 1510. The velocity of the gas is directly proportional to the concentration of the gas, and the concentration of the gas is directly proportional to the deposition rate of the gas on the substrate 1510 surface. Thus, the higher rate of gas at the first area of the surface of the substrate 1510 versus the second area of the substrate 1510 provides a higher deposition of gas on the first area. A chamber lid assembly 1532 having a downwardly sloping bottom surface 1560 provides a more uniform deposition of gas across the surface of the substrate 1510 which allows the lower surface 1560 to provide a more uniform velocity, Lt; RTI ID = 0.0 &gt; 1510 &lt; / RTI &gt;

도 15a는 기판(1510)의 주변에 인접한 챔버 리드 조립체(1532)의 주변 부분에 위치하는 쵸크(1562)를 도시한다. 챔버 리드 조립체(1532)가 조립되어 기판(1510) 주위에 처리 존을 형성할 때 쵸크는 기판(1510)의 주변에 인접한 면적에서 이를 통한 가스의 유동을 제한하는 소정의 부재를 포함한다.
15A shows a choke 1562 located in a peripheral portion of the chamber lid assembly 1532 adjacent to the periphery of the substrate 1510. Fig. The choke includes a member that restricts the flow of gas therethrough in an area adjacent to the periphery of the substrate 1510 when the chamber lid assembly 1532 is assembled to form a process zone around the substrate 1510. [

하나의 특정 실시예에서, 쵸크(1562)와 기판 지지부(1512) 사이의 간격은 약 0.04 인치 내지 약 2.0 인치, 바람직하게는 0.04 인치 내지 약 0.2 인치이다. 간격은 증착 동안 공정 상태 및 전달되는 가스에 따라 변화될 수 있다. 쵸크(1562)는 펌핑 존(1566)(도 15a)의 비 균일 압력 분포로부터 반응 존(1564)을 격리함으로써 기판(1510)과 챔버 리드 조립체(1532) 사이에 형성된 용적 또는 반응 존(1564) 내에 더욱 균일한 압력 분포를 제공하도록 한다.
In one particular embodiment, the spacing between the choke 1562 and the substrate support 1512 is from about 0.04 inches to about 2.0 inches, preferably 0.04 inches to about 0.2 inches. The spacing can vary depending on the process conditions during deposition and the gas delivered. The choke 1562 is located within the volume or reaction zone 1564 formed between the substrate 1510 and the chamber lid assembly 1532 by isolating the reaction zone 1564 from the non-uniform pressure distribution of the pumping zone 1566 (Figure 15A) Thereby providing a more uniform pressure distribution.

도 15a를 참조하면, 하나의 양태에서, 반응 존(1564)이 펌핑 존(1566)으로부터 격리되기 때문에, 반응 가스 또는 퍼지 가스는 반응 가스 또는 퍼지 가스로 기판(1510)의 충분한 노출을 보장하도록 반응 존(1564)을 적절히 채울 것 만을 요구한다. 종래의 화학 증착에서, 종래의 챔버는 반응물의 공동 반응이 기판(1510)의 표면에 걸쳐 균일하게 발생하는 것을 보장하도록 기판의 전체 표면에 동시에 그리고 균일하게 반응물의 조합된 유동을 제공하는 것이 요구된다. 원자 층 증착에서, 공정 챔버(1500)는 기판(1510)의 표면 상으로 반응물의 얇은 층을 교대로 흡수하는 것을 제공하도록 기판(1510)의 표면에 반응물을 순차적으로 도입한다. 결론적으로, 원자 층 증착은 동시에 기판(1510)의 표면에 도달하는 반응물의 유도을 요구하지 않는다. 대신, 반응물의 유동은 기판(1510)의 표면 상에 반응물의 얇은 층ㅇㄹ 흡수하기에 충분한 양으로 제공되는 것이 요구된다.
15A, in one embodiment, since the reaction zone 1564 is isolated from the pumping zone 1566, the reactive gas or purge gas is reacted to ensure sufficient exposure of the substrate 1510 to the reactive gas or purge gas It only needs to fill zone 1564 properly. In conventional chemical vapor deposition, conventional chambers are required to simultaneously and uniformly provide a combined flow of reactants to the entire surface of the substrate to ensure that the cavitation reaction of the reactants occurs uniformly across the surface of substrate 1510 . In atomic layer deposition, the process chamber 1500 sequentially introduces reactants to the surface of the substrate 1510 to provide alternating absorption of a thin layer of reactants onto the surface of the substrate 1510. Consequently, atomic layer deposition does not require the induction of reactants reaching the surface of the substrate 1510 at the same time. Instead, the flow of reactants is required to be provided in an amount sufficient to absorb a thin layer of reactants on the surface of the substrate 1510.

반응 존(1564)이 종래의 CVD 챔버의 내부 용적과 비교할 때 더 작은 용적을 포함할 수 있기 때문에, 더 작은 양의 가스가 원자 층 증착 시컨스에서의 특별한 공정을 위해 반응 존(1564)를 채울 것이 요구된다. 예를 들면, 일 실시예에서, 반응 존(1564)의 용적은 200 mm 직경 기판을 처리하도록 적용되는 챔버에 대해 약 1,000 cm3 또는 그 미만, 바람직하게는 500 cm3 또는 그 미만, 및 더욱 바람직하게는 200 cm3 또는 그 미만이다. 일 실시예에서, 반응 존(1564)의 용적은 300 mm 직경 기판을 처리하기 위해 적용되는 챔버에 대해 약 3,000 cm3 또는 그 미만, 바람직하게는 1,500 cm3 또는 그 미만, 및 더욱 바람직하게는 600 cm3 또는 그 미만이다. 일 실시예에서, 기판 지지부(1512)는 증착을 위해 반응 존(1564)의 용적을 조정하기 위해 상승 또는 하강할 수 있다. 더 작은 용적의 반응 존(1564) 때문에, 증착 가스 든지 또는 퍼지 가스 든지, 적은 가스가 공정 챔버(1500) 내로 유동하기 위해 필요하다. 따라서, 공정 챔버(1500)의 처리량은 더 크고 더 작은 양가 이용되기 때문에 폐기물이 최소화된다.
Since the reaction zone 1564 may include a smaller volume compared to the internal volume of a conventional CVD chamber, a smaller amount of gas may fill the reaction zone 1564 for a particular process in the atomic layer deposition sequence Is required. For example, in one embodiment, the volume of reaction zone 1564 is about 1,000 cm 3 or less, preferably 500 cm 3 or less, and more preferably about 500 cm 3 or less for a chamber that is adapted to process a 200 mm diameter substrate Lt; 3 &gt; or less. In one embodiment, the volume of the reaction zone 1564 is about 3,000 cm 3 or less, preferably 1,500 cm 3 or less, and more preferably about 600 cm 3 or less, cm &lt; 3 &gt; or less. In one embodiment, the substrate support 1512 may be raised or lowered to adjust the volume of the reaction zone 1564 for deposition. Because of the reaction zone 1564 of smaller volume, either a deposition gas or a purge gas, a small amount of gas is required to flow into the process chamber 1500. Thus, the throughput of process chamber 1500 is greater and waste is minimized since smaller amounts are used.

챔버 리드 조립체(1532)는 리드 캡(1572) 및 리드판(1570)을 포함하는 것으로 도 15a 내지 도 15c에 도시되며, 도 15c에서, 리드 캡(1572) 및 리드판(1570)은 가스 분배 채널(1534)을 형성한다. 일 실시예에서, 공정 챔버(1500)는 도 15a 내지 도 15c에 도시된 바와 같이, 가스 고리형상부(1568a 및 1568b) 및 슬롯(1569a 및 1569b)을 가지는 리드 캡(1572)을 포함한다. 또 다른 실시예에서, 공정 챔버(1500)는 도 12a 내지 도 14c에 도시된 바와 같이 리드 캡, 가스 고리형상부, 및 슬롯을 포함할 수 있다. 부가판은 리드판(1570)과 리드 캡(1572)(도시안됨) ㅅ하이에 선택적으로 배치될 수 있다. 부가판은 리드 캡(1572)과 리드판(1570) 사이의 거리를 조정(예를 들면, 증가)하기 위해 이용될 수 있어 이에 따라 각각 이를 따라 형성된 분산 채널(1534)의 길이를 변화시킨다. 또 다른 실시예에서, 리드판(1570)과 리드 캡(1572) 사이에 배치되는 선택적인 부가판은 스테인레스 강을 포함한다. 다른 실시예에서, 가스 분산 채널(1534)은 단일 피스의 재료로 일체로 제조될 수 있다.
The chamber lid assembly 1532 is shown in Figures 15A-15C with a lead cap 1572 and a lid plate 1570. In Figure 15C the lid cap 1572 and the lid plate 1570 are connected to a gas distribution channel (1534). In one embodiment, process chamber 1500 includes a lead cap 1572 having gas annular portions 1568a and 1568b and slots 1569a and 1569b, as shown in Figures 15a-15c. In another embodiment, the process chamber 1500 may include a lead cap, a gas annulus, and a slot, as shown in Figures 12A-14C. The additional plate may be selectively disposed on the lead plate 1570 and the lead cap 1572 (not shown). The additional plate may be used to adjust (e.g., increase) the distance between the lead cap 1572 and the lead plate 1570, thereby varying the length of the dispersion channel 1534 formed thereon. In another embodiment, the optional attachment plate disposed between the lead plate 1570 and the lead cap 1572 comprises stainless steel. In another embodiment, the gas distribution channel 1534 may be integrally fabricated from a single piece of material.

챔버 리드 조립체(1532)는 이를 통해 전달되는 특별한 가스에 따라 냉각 요소 및/또는 가열 요소를 포함할 수 있다. 챔버 리드 조립체(1532)의 온도의 제어는 챔버 리드 조립체(1532) 상에 가스 분해, 증착, 또는 응축을 방지하기 위해 이용될 수 있다. 예를 들면, 수 채널(도 12a의 수 채널(1290)과 같이)은 챔버 리드 조립체(1532)를 냉각시키기 위해 챔버 리드 조립체(1532) 내에 형성될 수 있다. 또 다른 예에서, 가열 요소(도시안됨)는 챔버 리드 조립체(1532)를 가열하기 위해 챔버 리드 조립체(1532)의 부품에 매립되거나 둘러쌀 수 있다. 일 실시예에서, 챔버 리드 조립체(1532)의 부품은 개별적으로 냉각 또는 가열될 수 있다. 예를 들면, 도 15a를 참조하면, 챔버 리드 조립체(1532)는 리드판(1570) 및 리드 캡(1572)을 포함할 수 있으며, 리드판(1570) 및 리드 캡(1572)은 가스 분산 채널(1534)을 형성한다. 리드 캡(1572)은 하나의 온도 범위로 유지될 수 있고 리드 판(1570)은 또 다른 온도 범위로 유지될 수 있다. 예를 들면, 리드 캡(1572)은 반응물 가스의 응축을 방지하기 위하여 히터 테이프로 감겨짐으로써 또는 또 다른 가열 요소를 이용함으로써 가열될 수 있으며 리드판(1570)은 대기 온도로 유지될 수 있다. 또 다른 예에서, 리드 캡(1572)은 가열될 수 있고 리드판(1570)은 리드판(1570) 상에 반응물 가스의 열 분해를 방지하기 위해 이를 통해 형성된 수 채널로 냉각될 수 있다.
The chamber lid assembly 1532 may include a cooling element and / or a heating element depending on the particular gas delivered therethrough. Control of the temperature of the chamber lid assembly 1532 can be used to prevent gas decomposition, deposition, or condensation on the chamber lid assembly 1532. For example, a number of channels (such as the number of channels 1290 in FIG. 12A) may be formed in the chamber lid assembly 1532 to cool the chamber lid assembly 1532. In another example, a heating element (not shown) may be embedded or enclosed in the components of the chamber lid assembly 1532 to heat the chamber lid assembly 1532. In one embodiment, the components of the chamber lid assembly 1532 can be individually cooled or heated. 15A, the chamber lid assembly 1532 may include a lid plate 1570 and a lid cap 1572, and the lid plate 1570 and the lid cap 1572 may include a gas distribution channel (not shown) 1534). The lead cap 1572 can be maintained in one temperature range and the lead plate 1570 can be maintained in another temperature range. For example, the lead cap 1572 can be heated by wrapping with a heater tape to prevent condensation of the reagent gas or by using another heating element, and the lead plate 1570 can be maintained at ambient temperature. In another example, the lead cap 1572 can be heated and the lead plate 1570 can be cooled with a number of channels formed therethrough to prevent thermal decomposition of the reactant gas on the lead plate 1570. [

챔버 리드 조립체(1532)의 부품 및 부분은 스테인레스 강, 알루미늄, 니켈 도금 알루미늄, 니켈, 이들의 합금, 또는 다른 적절한 재료와 같은 재료를 포함할 수 있다. 일 실시예에서, 리드 캡(1572) 및 리드판(1570)은 독립적으로 제조, 기계가공, 단조될 수 있거나 알루미늄, 알루미늄 합금, 강, 스테인레스 강, 이들의 합금, 또는 이들의 조합물과 같은 금속으로부터 제조될 수 있다.
Parts and portions of the chamber lid assembly 1532 may include materials such as stainless steel, aluminum, nickel plated aluminum, nickel, alloys thereof, or other suitable materials. In one embodiment, the lead cap 1572 and the lead plate 1570 can be independently fabricated, machined, forged, or made of metal such as aluminum, aluminum alloy, steel, stainless steel, alloys thereof, &Lt; / RTI &gt;

일 실시예에서, 가스 분산 채널(1534)의 내부면(1531)(리드판(1570) 및 리드 캡(1572)의 양 내부면을 포함하여) 및 챔버 리드 조립체(1532)의 하부면(1560)은 챔버 리드 조립체(1532)의 하부면(1560) 및 가스 분산 채널(1534)을 따른 가스이 층류 유동을 형성하도록 미러 연마 표면을 포함할 수 있다. 또 다른 실시예에서, 가스 도관(1550a 및 1550b)의 내부면은 이를 통한 가스의 층류 유동을 형성하도록 전기연마될 수 있다.
The inner surface 1531 of the gas distribution channel 1534 (including both the inner surfaces of the lid plate 1570 and the lid cap 1572) and the lower surface 1560 of the chamber lid assembly 1532, May include a mirror polishing surface to form a gas laminar flow along the lower surface 1560 of the chamber lid assembly 1532 and the gas distribution channel 1534. [ In yet another embodiment, the interior surfaces of the gas conduits 1550a and 1550b may be electrically polished to form a laminar flow of gas therethrough.

선택적인 일 실시예에서, 가스 분산 채널(1534)의 내부면(1531)(리드판(1570) 및 리드 캡(1572)의 양 내부면을 포함하여) 및 챔버 리드 조립체(1532)의 하부면(1560)은 표면에 걸쳐 더 많은 표면적을 형성하도록 거칠기가공된 표면 또는 기계가공된 표면을 포함할 수 있다. 거칠기 가공된 표면은 내부면(1531) 및 하부면(1560) 상의 바람직하지 않게 축적된 재료의 향상된 부착을 제공한다. 바람직하지 않은 필름은 항상 증착 공정을 수행하는 시컨스로서 형성되어 기판(1510)을 오염시키도록 내부면(1531) 및 하부면(1560)으로부터 필링되거나 플레이킹될 수 있다. 일 예에서, 하부면(1560) 및/또는 내부면(1531)의 평균 거칠기(Ra)는 적어도 약 10μin(약 0.254μm) 내지 약 200μin(약 5.08μm), 바람직하게는 약 20μin(약 0.508μm) 내지 약 100μin(약 2.54μm), 및 더욱 바람직하게는 약 30μin(약 0.762μm) 내지 약 80μin(약 2.032μm))의 범위 내와 같은 적어도 10μin일 수 있다. 또 다른 예에서, 하부면(1560) 및/또는 내부면(1531)의 평균 거칠기는 적어도 약 100μin(약 2.54μm), 바람직하게는 약 200μin(약 5.08μm) 내지 약 500μin(약 12.7μm) 내에 있을 수 있다.
In an alternate embodiment, the inner surface 1531 of the gas distribution channel 1534 (including both inner surfaces of the lid plate 1570 and the lid cap 1572) and the lower surface of the chamber lid assembly 1532 1560 may include a roughened surface or machined surface to form more surface area across the surface. The roughened surface provides improved adhesion of the undesirably accumulated material on the inner surface 1531 and the lower surface 1560. An undesirable film may always be formed as a sequence to perform the deposition process and may be peeled or flaked from the inner surface 1531 and the lower surface 1560 to contaminate the substrate 1510. In one example, the average roughness R a of the lower surface 1560 and / or the inner surface 1531 is at least about 10 μin (about 0.254 μm) to about 200 μin (about 5.08 μm), preferably about 20 μin such as within a range of from about 10 microns to about 100 microns (about 2.54 microns), and more preferably from about 30 microns (about 0.762 microns) to about 80 microns (about 2.032 microns). In another example, the average roughness of the lower surface 1560 and / or the inner surface 1531 is at least about 100 microns (about 2.54 microns), preferably about 200 microns (about 5.08 microns) to about 500 microns (about 12.7 microns) Can be.

도 15a는 처리 상태를 제어하기 위하여 공정 챔버(1500)으로 결합되는 프로그램가능한 퍼스널 컴퓨터, 워크 스테이션 컴퓨터, 등과 같은 제어 유닛(1580)을 도시한다. 예를 들면, 제어 유닛(1580)은 기판 공정 스컨스의 상이한 스테이지 동안 가스 소스(1538)로부터 퍼지 가스 및 다양한 공정 가스의 제어 유동으로 구성될 수 있다. 도시적으로, 제어 유닛(1580)은 중앙 처리 유닛(CPU), 지지 회로(1584), 및 관련된 제어 스포트웨어(1583)를 포함하는 메모리(1586)을 포함한다.
15A illustrates a control unit 1580, such as a programmable personal computer, workstation computer, or the like, coupled to the process chamber 1500 for controlling process conditions. For example, the control unit 1580 may be configured with a controlled flow of purge gas and various process gases from a gas source 1538 during different stages of the substrate process sequence. Illustratively, the control unit 1580 includes a memory 1586 that includes a central processing unit (CPU), support circuitry 1584, and associated control spotware 1583.

제어 유닛(1580)은 다양한 챔버 및 서브-프로세서를 제어하기 위한 산업적 세팅에서 이용될 수 있는 일반적 목적의 컴퓨터 프로세서의 소정의 형태 중 하나일 수 있다. CPU(1582)는 랜덤 액세스 메모리, 리드 온리 메모리, 플로피 디스크 드라이브, 하드 디스크, 또는 소정의 다른 형태의 디지털 스토리지, 로컬 또는 리모트와 같은, 소정의 적절한 메모리(1586)를 이용할 수 있다. 다양한 지지 회로는 공정 챔버(1500)를 지지하기 위해 CPU(1582)로 결합될 수 있다. 제어 유닛(1580)은 밸브(1542a, 1542b)의 프로그램가능한 로직 제어기(1548a, 1548b)와 같은, 개별 챔버 부품에 인접하게 위치되는 또 다른 제어기에 결합될 수 있다. 제어 유닛(1580)과 공정 챔버(1500)의 다양한 다른 부품 사이의 양 방향 소통은 신호 버스(1588)로서 총괄적으로 지칭되는 다양한 신호 케이블을 통하여 취급되며, 신호 버스들 중 일부가 도 15a에 도시된다. 가스 소스(1538, 1539, 1540)로부터 및 밸브(1542a, 1542b)의 프로그램가능한 로직 제어기(1548a, 1548b)로부터의 퍼지 가스 및 공정 가스의 제어에 부가하여, 제어 유닛(1580)은 일부가 본 명세서에서 설명되는, 다른 작용 중에서 웨이퍼 운반, 온도 제어, 챔버 배기와 같은 웨이퍼 처리에 이요되는 다른 작용의 자동화된 작용을 책임지도록 구성될 수 있다.
The control unit 1580 may be any of a number of general purpose computer processors that may be used in an industrial setting for controlling various chambers and sub-processors. The CPU 1582 may utilize any suitable memory 1586, such as random access memory, read only memory, floppy disk drive, hard disk, or any other form of digital storage, local or remote. A variety of support circuits may be coupled to the CPU 1582 to support the process chamber 1500. Control unit 1580 may be coupled to another controller located adjacent to the individual chamber components, such as programmable logic controllers 1548a, 1548b of valves 1542a, 1542b. Bidirectional communication between control unit 1580 and various other components of process chamber 1500 is handled through various signal cables collectively referred to as signal bus 1588 and some of the signal buses are shown in Figure 15A . In addition to the control of purge gas and process gases from the gas sources 1538, 1539 and 1540 and from the programmable logic controllers 1548a and 1548b of the valves 1542a and 1542b, To control the automated operation of other operations, such as wafer transport, temperature control, chamber evacuation, and the like, which are effected in wafer processing, among other operations,

도 15a 내지 도 15c를 참조하면, 작동 중, 기판(1510)은 로봇(도시안됨)에 의해 슬릿 밸브(1508)을 통해 공정 챔버(1500)로 전달된다. 기판(1510)은 리프트 핀(1520) 및 로봇의 협동을 통하여 기판 지지부(1512) 상에 위치된다. 기판 지지부(1512)는 챔버 리드 조립체(1532)의 하부면(1560)에 근접되게 기판(1510)을 상승시킨다. 제 1 가스 유동은 밸브(1542b)에 의해 공정 챔버(1500) 내로 주입되는 제 2 가스 유동과 함께 또는 개별적으로(즉, 펄스) 밸브(1542a)에 의해 공정 챔버(1500)의 가스 분산 채널(1534) 내로 주입될 수 있다. 제 1 가스 유동은 퍼지 가스 소스(1540)로부터의 퍼지 가스의 연속적인 유동 및 반응물 가스 소스(1538)로부터의 반응물 가스의 펄스를 포함할 수 있거나 퍼지 가스 소스(1540)로부터 퍼지 가스의 펄스 및 반응물 가스 소스(1538)로부터 반응물 가스의 펄스를 포함할 수 있다. 제 2 가스 유동은 퍼지 가스 소스(1540)로부터 퍼지 가스의 연속적인 유동 및 반응물 가스 소스(1539)로부터 반응물 가스의 펄스를 포함할 수 있거나 반응물 가스 소스(1539)로부터 반응물 가스의 펄스 및 퍼지 가스 소스(1540)로부터 퍼지 가스의 펄스를 포함할 수 있다.
15A-15C, during operation, the substrate 1510 is transferred to the process chamber 1500 via a slit valve 1508 by a robot (not shown). The substrate 1510 is positioned on the substrate support 1512 through the cooperation of the lift pins 1520 and the robot. The substrate support 1512 lifts the substrate 1510 close to the lower surface 1560 of the chamber lid assembly 1532. The first gas flow is directed to the gas distribution channel 1534 of the process chamber 1500 with a second gas flow injected by the valve 1542b into the process chamber 1500 or by a valve 1542a individually (i.e., Lt; / RTI &gt; The first gas flow may comprise a continuous flow of purge gas from the purge gas source 1540 and a pulse of reactant gas from the reactant gas source 1538 or may be a pulse of purge gas from the purge gas source 1540, And may include a pulse of reactant gas from a gas source 1538. The second gas flow may comprise a continuous flow of purge gas from the purge gas source 1540 and a pulse of the reactant gas from the reactant gas source 1539 or may include pulses of the reactant gas from the reactant gas source 1539, And may include pulses of purge gas from the plasma source 1540.

원형 가스 유동(1574)은 가스 분산 채널(1534)의 내부면에 걸친 스위핑 작용을 제공하는 보텍스 유동으로서 가스 분산 채널(1534)을 통하여 이동한다. 원형 가스 유동(1574)은 기판(1510)의 표면을 향하는 하방 유동으로 소산한다. 가스 유동의 속도는 가스 유동이 가스 분산 채널(1534)을 통하여 이동할 때 감소한다. 이어서 가스 유동은 기판(1510)의 표면을 가로질러 그리고 챔버 리드 조립체(1532)의 하부면(1560)을 가로질러 이동한다. 하방으로 경사지는 챔버 리드 조립체(1532)의 하부면(1560)은 기판(1510)의 표면에 걸친 가스 유동의 속도의 변화를 감소시키도록 한다. 이어서 가스 유동은 쵸크(1562)에 의해 그리고 공정 챔버(1500)의 펌핑 존(1566) 내로 이동한다. 과잉 가스, 부산물 등은 펌핑 채널(1579) 내로 유동하고 진공 시스템(1578)에 의해 공정 챔버(1500)으로부터 배출된다. 하나의 양태에서, 가스 유동은 가스 분산 채널(1534)을 통하여 그리고 팸버 리드 조립체(1532)의 하부면(1560)과 기판(1510)의 표면 사이로 기판(1510)의 표면에 대해 반응물 가스의 균일한 노출 및 챔버 리드 조립체(1532)의 내부면의 효과적인 퍼징에 도움이 되는 층류 방식으로 진행된다.
The circular gas flow 1574 moves through the gas distribution channel 1534 as a vortex flow that provides sweeping action across the interior surface of the gas distribution channel 1534. The circular gas flow 1574 dissipates downward flow toward the surface of the substrate 1510. The velocity of the gas flow decreases as the gas flow moves through the gas dispersion channel 1534. The gas flow then moves across the surface of the substrate 1510 and across the lower surface 1560 of the chamber lid assembly 1532. The lower surface 1560 of the downwardly sloping chamber lid assembly 1532 allows a reduction in the rate of change of the gas flow across the surface of the substrate 1510. The gas flow then travels by the choke 1562 and into the pumping zone 1566 of the process chamber 1500. The excess gas, byproducts, etc., flow into the pumping channel 1579 and exit the process chamber 1500 by the vacuum system 1578. The gas flow is directed through the gas distribution channel 1534 and between the lower surface 1560 of the fibril lid assembly 1532 and the surface of the substrate 1510 to a uniform And proceeds in a laminar flow manner that aids in effective purging of the interior surface of the exposure and chamber lid assembly 1532.

도 15a 내지 도 15c에 도시된, 공정 챔버(1500)는 피쳐의 조합을 가지는 것으로서 본 명세서에서 설명된다. 하나의 양태에서, 공정 챔버(1500)는 종래의 CVD 챔버와 비교하여 작은 용적을 포함하는 반응 존(1564)을 제공한다. 공정 챔버(1500)는 반응물 가스 또는 퍼지 가스와 같은, 작은 양의 가스를 요구하여, 특별한 공정 동안 반응 존(1564)을 채운다. 또 다른 양태에서, 공정 챔버(1500)는 하방으로 경사지는 또는 깔때기 형상의 하부면(1560)을 가지는 챔버 리드 조립체(1532)를 제오하여 챔버 리드 조립체(1532)의 바닥부와 기판(1510) 사이로 이동하는 가스 유동의 속도 프로파일에서의 변화를 감소시키도록 한다. 여전히 또 다른 양태에서, 공정 챔버(1500)는 가스 분산 채널(1534)를 제공하여 이를 통해 도입되는 가스 유동의 속도를 감소시킨다. 여전히 또 다른 양태에서, 공정 챔버(1500)는 가스 분산 채널(1534)의 중앙으로부터 각도(α)로 가스 도관을 제공한다. 공정 챔버(1500)는 본 명세서에서 설명된 바와 같은 다른 피쳐를 제공한다. 원자 층 증착을 위해 적용되는 챔버의 다른 실시예는 이러한 피쳐들 중 하나 또는 그 보다 많이 결합된다.
15A-15C, process chamber 1500 is described herein as having a combination of features. In one embodiment, process chamber 1500 provides a reaction zone 1564 that includes a smaller volume compared to a conventional CVD chamber. The process chamber 1500 requires a small amount of gas, such as a reactant gas or a purge gas, to fill the reaction zone 1564 during a particular process. In another aspect, the process chamber 1500 includes a chamber lid assembly 1532 having a downwardly inclined or funnel-shaped lower surface 1560, which is defined between the bottom of the chamber lid assembly 1532 and the substrate 1510 Thereby reducing the change in the velocity profile of the moving gas flow. In still another aspect, process chamber 1500 provides gas distribution channel 1534 to reduce the rate of gas flow introduced therethrough. In yet another aspect, process chamber 1500 provides a gas conduit at an angle a from the center of gas distribution channel 1534. [ The process chamber 1500 provides other features as described herein. Other embodiments of the chamber applied for atomic layer deposition are coupled to one or more of these features.

연장된 캡 리드 조립체Extended cap lid assembly

또 다른 실시예에서, 도 16a 내지 도 16e는 ALD 공정에 대해 적용되는 연장된 캡을 구비한 챔버 리드 조립체(1632)의 개략도이다. 도 17a 내지 도 17d는 또 다른 실시예에서 설명되는 바와 같은 ALD 공정을 위해 적용되는 가스 전달 시스템(1730) 및 연장된 리드 캡(1772)을 포함하는 공정 챔버(1700)의 개략적인 단면도이다.
In another embodiment, Figures 16A-16E are schematic diagrams of a chamber lid assembly 1632 with an extended cap applied for an ALD process. 17A-17D are schematic cross-sectional views of a process chamber 1700 including a gas delivery system 1730 and an extended lead cap 1772 applied for an ALD process as described in yet another embodiment.

일 실시예에서, 챔버 리드 조립체(1632)는 도 16a에 도시된 바와 같이, 리드판(1670)의 중앙 부분에 위치하는 리드 캡(1672)을 포함한다. 가스 도관(1650a)은 일 단부 상에 리드 캡(1672)에 결합되어 유체 소통될 수 있으며 가스 도관(1650a)의 다른 단부는 리드판(1672)을 통하여 연장되어 ALD 밸브 및 화학물 전구체 소스에 결합되어 유체 소통될 수 있다. 일 실시예에서, 가스 도관(1650a)은 가스 분산 채널(1628)에 직접 결합되어 유체 소통될 수 있다. 이와 달리, 가스 도관(1650a)은 가스 분산 채널(1628)에 간접적으로 결합되어 유체 소통될 수 있다.
In one embodiment, the chamber lid assembly 1632 includes a lead cap 1672 located in a central portion of the lid plate 1670, as shown in Fig. 16A. The gas conduit 1650a may be coupled to and fluidly coupled to the lead cap 1672 on one end and the other end of the gas conduit 1650a may extend through the lead plate 1672 to couple to the ALD valve and the chemical precursor source And can be in fluid communication. In one embodiment, the gas conduit 1650a can be directly coupled to and in fluid communication with the gas distribution channel 1628. [ Alternatively, gas conduit 1650a may be indirectly coupled to fluid communication with gas distribution channel 1628. [

가스 도관 커버(1652)는 하나 이상의 가스 도관을 포함하거나, 두 개, 세 개, 또는 그 보다 많은 가스 도관을 포함할 수 있다. 도 16b 내지 도 16d는 가스 도관(1650b 및 1650c)을 포함하는 가스 도관 커버(1652)를 도시한다. 일 실시예에서, 가스 도관(1650b)은 일 단부에서 리드 캡(1672)에 결합되어 유체 소통될 수 있으며, 가스 도관(1650b)의 다른 단부는 리드판(1670)을 통하여 연장되어 ALD 밸브 및 화학물 전구체 소스에 결합되어 유체 소통될 수 있다. 또 다른 실시예에서, 가스 도관(1650b 또는 1650c)은 가스 분산 채널(1628)에 직접 결합되어 유체 소통될 수 있다. 이와 달리, 가스 도관(1650b 또는 1650c)은 가스 분산 채널(1628)에 간접적으로 결합되어 유체 소통될 수 있다.
The gas conduit cover 1652 may include one or more gas conduits, or may include two, three, or more gas conduits. Figures 16B-D illustrate a gas conduit cover 1652 comprising gas conduits 1650b and 1650c. In one embodiment, the gas conduit 1650b may be coupled to and fluidly coupled to the lead cap 1672 at one end and the other end of the gas conduit 1650b may extend through the lead plate 1670 to form an ALD valve and chemical And may be coupled to a fluid precursor source for fluid communication. In yet another embodiment, gas conduit 1650b or 1650c may be directly coupled to fluid communication with gas distribution channel 1628. [ Alternatively, gas conduit 1650b or 1650c may be indirectly coupled to fluid communication with gas distribution channel 1628. [

도관(1650c)은 소정의 실시예에서 선택적인 도관이다. 가스 도관(1650c)은 일 단부에 리드 캡(1672)에 결합되어 유체 소통될 수 있으며, 가스 도관(1650c)의 다른 단부는 리드판(1670)을 통하여 연장되어 ALD 밸브 및 캐리어 가스 소스, 퍼지 가스 소스, 플라즈마 가스, 또는 화학물 전구체 소스와 같은 가스 소스에 결합되어 유체 소통될 수 있다. 또 다른 실시예에서, 도관(1650c)은 리드 캡(1672)의 상부면에 결합되어 유체 소통될 수 있다. 또 다른 실시예에서, 도관(1650c)은 Y-조인트와 같은, 도관(1650b)와 조합될 수 있으며 가스 통로(1668b)에 결합되어 유체 소통될 수 있다.
Conduit 1650c is an optional conduit in some embodiments. The gas conduit 1650c may be coupled to and fluidly coupled to the lead cap 1672 at one end and the other end of the gas conduit 1650c may extend through the lead plate 1670 to provide an ALD valve and carrier gas source, Such as a source, a plasma gas, or a chemical precursor source. In another embodiment, conduit 1650c may be coupled to fluid communication with the top surface of lead cap 1672. [ In yet another embodiment, conduit 1650c may be combined with conduit 1650b, such as a Y-joint, and may be coupled to fluid communication with gas passage 1668b.

도 16d 내지 도 16e는 리드 캡(1672) 및 리드판(1670)을 포함하는 챔버 리드 조립체(1632)를 도시하며 리드 캡(1672) 및 리드판(1670)은 가스 분산 채널(1628)을 형성한다. 부가판이 리드판(1670)과 리드 캡(1672)(도시안됨) 사이에 선택적으로 배치될 수 있다. 그루브(1674) 내의 핀(1676)은 리드판(1670) 및 리드 캡(1672)(도 10d)을 연결한다. 부가판이 리드 캡(1672)과 리드판(1670) 사이의 거리를 조정(예를 들면, 증가)하기 위해 이용될 수 있어, 이를 통해 형성되는 가스 분산 채널(1628)의 길이를 각각 변화시킬 수 있다. 또 다른 예에서, 리드판(1670) 및 리드 캡(1672) 사이에 배치되는 선택적인 부가판은 스테인레스 강을 포함한다. 다른 실시예에서, 가스 분산 채널(1628)은 재료의 단일 피스로부터 일체로 제조될 수 있다.
Figures 16d-16e illustrate a chamber lid assembly 1632 that includes a lead cap 1672 and a lid plate 1670 and the lid cap 1672 and the lid plate 1670 form a gas distribution channel 1628 . An additional plate can be selectively disposed between the lead plate 1670 and the lead cap 1672 (not shown). Pin 1676 in groove 1674 connects lead plate 1670 and lead cap 1672 (Figure 10D). An additional plate may be used to adjust (e.g., increase) the distance between the lead cap 1672 and the lead plate 1670, thereby varying the length of the gas distribution channel 1628 formed therewith, respectively . In another example, an optional attachment plate disposed between the lead plate 1670 and the lead cap 1672 comprises stainless steel. In another embodiment, the gas distribution channel 1628 can be integrally fabricated from a single piece of material.

챔버 리드 조립체(1632)는 이를 통해 전달되는 특별한 가스에 따라 냉각 요소 및/또는 가열 요소를 포함할 수 있다. 챔버 리드 조립체(1632)의 온도 제어는 챔버 리드 조립체(1632) 상의 가스 분해, 증착, 또는 응축을 방지하기 위하여 이용될 수 있다. 예를 들면, 냉매 채널(1690)은 챔버 리드 조립체(1632)를 냉각시키 위해 챔버 리드 조립체(1632) 내에 형성될 수 있다. 또 다른 예에서, 가열 요소(도시안됨)가 챔버 리드 조립체(1632)를 가열하도록 챔버 리드 조립체(1632)의 부품에 매립되거나 챔버 리드 조립체의 부품을 둘러 쌀 수 있다.
The chamber lid assembly 1632 may include a cooling element and / or a heating element depending on the particular gas delivered therethrough. Temperature control of the chamber lid assembly 1632 can be used to prevent gas decomposition, deposition, or condensation on the chamber lid assembly 1632. For example, the coolant channel 1690 may be formed in the chamber lid assembly 1632 to cool the chamber lid assembly 1632. In another example, a heating element (not shown) may be embedded in a component of the chamber lid assembly 1632 to heat the chamber lid assembly 1632 or surround parts of the chamber lid assembly.

일 실시예에서, 챔버 리드 조립체(1632)의 부품은 개별적으로 가열 또는 냉각된다. 예를 들면, 도 16d 내지 도 16e를 참조하면, 챔버 리드 조립체(1632)는 리드판(1670) 및 리드 캡(1672)을 포함할 수 있으며, 리드판(1670) 및 리드 캡(1672)은 가스 분산 채널(1628)을 형성한다. 리드 캡(1672)은 하나의 온도 범위로 유지될 수 있고 리드판(1670)은 또 다른 온도 범위로 유지될 수 있다. 예를 들면, 리드 캡(1672)은 반응물 가스의 응축을 방지하도록 또 다른 가열 장치를 이용함으로써, 또는 가열 테이프 내에 감겨짐으로써 가열될 수 있으며 리드판(1670)은 대기 온도로 유지될 수 있다. 또 다른 예에서, 리드 캡(1672)은 가열될 수 있고 리드판(1670)은 리드판(1670) 상의 반응물 가스의 열 분해를 방지하기 위해 이를 통해 형성되는 수 채널로 냉각될 수 있다.
In one embodiment, the components of the chamber lid assembly 1632 are individually heated or cooled. 16D-16E, the chamber lid assembly 1632 may include a lid plate 1670 and a lid cap 1672, and the lid plate 1670 and the lid cap 1672 may include gas Thereby forming a dispersion channel 1628. [ The lead cap 1672 can be maintained in one temperature range and the lead plate 1670 can be maintained in another temperature range. For example, the lead cap 1672 can be heated by using another heating device to prevent condensation of the reactant gas, or by being wound within the heating tape, and the lead plate 1670 can be kept at ambient temperature. In another example, the lead cap 1672 can be heated and the lead plate 1670 can be cooled to a number of channels formed therethrough to prevent thermal decomposition of the reactant gas on the lead plate 1670. [

챔버 리드 조립체(1632)는 스테인레스 강, 알루미늄, 니켈 도금 알루미늄, 니켈, 또는 다른 적절한 재료로 제조될 수 있는 부품을 포함한다. 리드 캡(1672) 및 리드판(1670)은 독립적으로 제조, 기계가공, 단조, 또는 알루미늄, 알루미늄 합금, 강, 스테인레스 강, 이들의 합금, 또는 이들의 조합물과 같은 금속으로 제조될 수 있다.
The chamber lid assembly 1632 includes components that may be made of stainless steel, aluminum, nickel plated aluminum, nickel, or other suitable material. The lead cap 1672 and the lead plate 1670 may be independently manufactured, machined, forged, or made of metal such as aluminum, aluminum alloy, steel, stainless steel, alloys thereof, or combinations thereof.

일 실시예에서, 가스 분산 채널(1628)의 내부면(1631)(리드판(1670) 및 리드 캡(1672)의 내부면 모두를 포함하여) 및 챔버 리드 조립체(1632)의 하부면(1660)은 챔버 리드 조립체(1632)의 하부면(1660) 및 팽창 채널(1634)을 따른 가스의 층류 유동을 형성할 수 있다. 또 다른 실시예에서, 가스 도관(1650a, 1650b)은 이를 통한 가스의 층류 유동을 형성하도록 전기 연마될 수 있다.
In one embodiment, the inner surface 1631 of the gas distribution channel 1628 (including both the inner surface of the lid plate 1670 and the lid cap 1672) and the lower surface 1660 of the chamber lid assembly 1632, May form a laminar flow of gas along the lower surface 1660 of the chamber lid assembly 1632 and the expansion channel 1634. [ In yet another embodiment, the gas conduits 1650a, 1650b may be electrically polished to form a laminar flow of gas therethrough.

선태적인 일 예에서, 가스 분산 채널(1628)의 내부면(1631)(리드판(1670) 및 리드 캡(1672)의 내부면을 포함) 및 챔버 리드 조립체(1632)의 하부면(1660)은 거칠기 가공된 표면 및 기계 가공된 표면을 포함할 수 있어 표면에 걸쳐 더 많은 표면적을 제공하도록 한다. 거칠기 가공된 표면은 내부면(1631) 및 하부면(1660) 상의 원하지 않게 축적된 재료의 향상된 부착을 제공한다. 원하지 않는 필름은 증착 공정을 수행하는 결과로서 항상 형성되고 기판(1610)을 오염시키도록 내부면(1631) 및 하부면(1660)으로부터 필링되거나 플래이킹할 수 있다. 하나의 예에서, 하부면(1660) 및/또는 내부면(1631)의 평균 거칠기(Ra)는 적어도 약 10μin(약 0.254μm) 내지 약 200μin(약 5.08μm), 바람직하게는 약 20μin(약 0.508μm) 내지 약 100μin(약 2.54μm), 및 더욱 바람직하게는 약 30μin(약 0.762μm) 내지 약 80μin(약 2.032μm))의 범위 내와 같은 적어도 10μin일 수 있다. 또 다른 예에서, 하부면(1560) 및/또는 내부면(1531)의 평균 거칠기는 적어도 약 100μin(약 2.54μm), 바람직하게는 약 200μin(약 5.08μm) 내지 약 500μin(약 12.7μm) 내에 있을 수 있다.
The inner surface 1631 of the gas distribution channel 1628 (including the inner surface of the lid plate 1670 and the lid cap 1672) and the lower surface 1660 of the chamber lid assembly 1632 Roughened surfaces and machined surfaces to provide more surface area across the surface. The roughened surface provides improved adhesion of the undesirably deposited material on the inner surface 1631 and the lower surface 1660. The undesired film may be formed or flicked from the inner surface 1631 and the lower surface 1660 to form the substrate 1610 at all times as a result of performing the deposition process. In one example, the average roughness R a of the lower surface 1660 and / or the inner surface 1631 is at least about 10 μin (about 0.254 μm) to about 200 μin (about 5.08 μm), preferably about 20 μin Such as within a range of from about 0.508 to about 100 microns, and more preferably from about 30 microns to about 80 microns. In another example, the average roughness of the lower surface 1560 and / or the inner surface 1531 is at least about 100 microns (about 2.54 microns), preferably about 200 microns (about 5.08 microns) to about 500 microns (about 12.7 microns) Can be.

도 16d 내지 도 16e는 리드판(1670)의 중앙 부분을 통하여 연장하는 가스 분산 채널(1628)을 포함하는 챔버 리드 조립체(1632)의 단면도이다. 가스 분산 채널(1628)은 보통 ALD 공정 동안 챔버 리드 조립체(1632) 아래 위치되는 기판에 대해 수직하게 연장되도록 위치된다. 가스 분산 채널(1628)은 리드 캡(1672)의 중심 축선(1633)을 따라, 리드판(1670)을 통하여 하부면(1660)으로 연장한다. 가스 분산 채널(1628)은 하부면(1660)을 통하여 반응 존(1064) 내로 더 연장한다. 하부면(1660)은 가스 분산 채널(1628)로부터 쵸크(1662)로 연장한다. 하부면(1660)은 ALD 공정 동안 챔버 리드 조립체(1632) 아래 위치되는 기판을 실질적으로 커버하도록 하는 크기 및 형상을 가진다.
16D-16E are cross-sectional views of a chamber lid assembly 1632 that includes a gas distribution channel 1628 extending through a central portion of the lid plate 1670. [ The gas distribution channel 1628 is normally positioned to extend perpendicularly to the substrate positioned below the chamber lid assembly 1632 during the ALD process. The gas distribution channel 1628 extends along the center axis 1633 of the lead cap 1672 and through the lead plate 1670 to the lower surface 1660. The gas dispersion channel 1628 further extends into the reaction zone 1064 through the lower surface 1660. The lower surface 1660 extends from the gas distribution channel 1628 to the choke 1662. The lower surface 1660 has a size and shape to substantially cover the substrate positioned below the chamber lid assembly 1632 during the ALD process.

도 16a 내지 도 16e는 두 개 이상의 소스 또는 화학물 전구체에 기판을 노출시키도록 구성된다. 다른 예에서, 챔버 리드 조립체(1632)는 단일 가스 소스(도 5에서 설명된 바와 같이) 또는 세 개 또는 그 보다 많은 가스 소스 또는 화학물 전구체(도 6에서 설명된 바와 같이)를 기판을 노출시키도록 재구성될 수 있다.
16A-16E are configured to expose the substrate to two or more sources or chemical precursors. In another example, chamber lid assembly 1632 may be used to expose a substrate to a single gas source (as described in FIG. 5) or three or more gas sources or chemical precursors (as described in FIG. 6) Lt; / RTI &gt;

도 16e에 도시된 원형 가스 유동(1620)으로서, 공정 가스는 충앙 축선(1633)을 통과하는 동안 가스 분산 채널(1628)의 중심 축선(1633) 주위를 회전할 수 있다. 원형 가스 유동(1620)은 보텍스 패턴, 헬릭스 패턴, 스파이럴 패컨, 트월 패턴, 트위스트 패턴, 코일 패턴, 월풀 패턴, 또는 이들의 유도형 패턴과 같은 유동 패턴을 포함할 수 있다. 원형 가스 유동(1620)은 가스 분산 채널(1628)의 중심 축선(1633) 주위를 적어도 약 1회전, 바람직하게는 적어도 약 1.5 회전, 더욱 바람직하게는 적어도 약 2회전, 더욱 바람직하게는 적어도 약 3 회전, 및 더욱 바람직하게는 약 4 회전 또는 그 보다 많게 연장할 수 있다.
As the circular gas flow 1620 shown in FIG. 16E, the process gas can rotate about the central axis 1633 of the gas distribution channel 1628 while passing through the impeller axis 1633. The circular gas flow 1620 can include a flow pattern such as a vortex pattern, a helix pattern, a spiral pattern, a twirl pattern, a twist pattern, a coil pattern, a whirlpool pattern, or an inductive pattern thereof. The circular gas flow 1620 is directed about the central axis 1633 of the gas distribution channel 1628 at least about one revolution, preferably at least about 1.5 rotations, more preferably at least about two rotations, Rotation, and more preferably about four turns or more.

일 실시예에서, 도 16a 내지 도 16e는 가스 도관(1650a, 1650b, 및 1650c) 및 가스 통로(1688a 및 1688b)를 도시하며, 이들은 가스 분산 채널(1628)의 중심 축선(1633)에 대해 다양한 각도로 위치될 수 있다. 가스 도관(1650a, 1650b, 및 1650c) 및/또는 가스 통로(1668a 및 1668b)는 공정 가스를 가스 인렛(1638a 및 1638b)을 통하여 가스 분산 채널(1628) 내로 제공된다. 각각의 가스 도관(1650a, 1650b, 또는 1650c) 또는 가스 통로(1668a 또는 1668b)는 바람직하게는 중심 축선(1633)에 대해 수직하게 위치되거나(+β, -β = 90°) 중심 축선(1633)에 대해 각각의 가스 도관(1650a, 1650b, 또는 1650c) 또는 가스 통로(1688a 또는 1688b)의 중앙선으로부터 각도 +β 또는 각도 -β로 위치된다(0°< +β <90°, 0°< -β <90°). 따라서, 가스 도관(1650a, 1650b, 또는 1650c) 및 가스 통로(1668a 및 1668b)는 중심 축선(1633)에 대해 수평방향으로 수직하게 위치될 수 있으며, 각도 +β로 하방으로 각도를 형성할 수 있거나 각도 -β로 상방으로 각도를 형성할 수 있어, 기판의 표면 상에 흡수되는 반응물의 제거(blow off) 가능성을 감소시키도록 하는 기판을 향하여 직접 하향이 아닌 가스 인렛(1638a 및 1638b)으로부터 가스 분산 채널(1628)의 벽을 향하여 가스 유동을 제공하도록 한다.
In one embodiment, Figures 16A-16E illustrate gas conduits 1650a, 1650b, and 1650c and gas passages 1688a and 1688b, which are angled at different angles with respect to the central axis 1633 of the gas distribution channel 1628 Lt; / RTI &gt; Gas conduits 1650a, 1650b, and 1650c and / or gas passages 1668a and 1668b are provided into gas distribution channel 1628 through gas inlets 1638a and 1638b. Each gas conduit 1650a, 1650b, or 1650c or gas passageway 1668a or 1668b is preferably positioned vertically with respect to central axis 1633 (+ ?,? = 90 占 center axis 1633) Beta] or 90 [deg.] From the center line of each gas conduit 1650a, 1650b, or 1650c or the gas passages 1688a or 1688b &Lt; 90 [deg.]). Thus, the gas conduits 1650a, 1650b, or 1650c and the gas passages 1668a and 1668b may be positioned vertically in the horizontal direction with respect to the central axis 1633 and may form an angle downward at an angle + From the gas inlets 1638a and 1638b that are not directly directed toward the substrate to reduce the likelihood of blow off of the reactants that are absorbed onto the surface of the substrate, To provide a flow of gas towards the wall of channel 1628.

또한, 가스 도관(1650a, 1650b, 및 1650c) 및 가스 통로(1668a 및 1668b)의 직경은 전달 라인 또는 ALD 밸브로부터 가스 인렛(1638a 및 1638b)으로 증가될 수 있어 가스 분산 채널(1628) 내로 유입 전에 가스 유동의 속도를 감소시키도록 한다. 예를 들면, 가스 도관(1650a, 1650b, 1650c) 및 가스 통로(1668a 및 1668b)는 점차적으로 증가할 수 있거나 증가하는 내경을 가지는 다수의 연결된 도관을 포함할 수 있다.
The diameters of the gas conduits 1650a, 1650b and 1650c and the gas passages 1668a and 1668b can also be increased from the transfer line or ALD valve to the gas inlet 1638a and 1638b, Thereby reducing the velocity of the gas flow. For example, gas conduits 1650a, 1650b, and 1650c and gas passages 1668a and 1668b may include a number of connected conduits that may increase or increase gradually.

도 16d 내지 도 16e는 일 실시예에서 상부(1637)로부터 중심 축선(1633)을 따라 지점(1636)으로 실질적으로 일정하게 유지되는 내경을 포함하는 가스 분산 채널(1628)을 도시한다. 선택적인 일 실시예에서, 가스 분산 채널(1628)은 상부(1637)로부터 중심 축선(1633)을 따라 지점(1636)(도시안됨)으로 증가하거나 감소되는 내경을 포함한다. 그러나, 가스 분산 채널(1628)은 지점(1636)으로부터 중심 축선(1633)을 따라 챔버 리드 조립체(1632)의 하부면(1660)에 인접하여 하부(1635)로 증가하는 내경을 포함한다.
16D-16E illustrate a gas distribution channel 1628 that includes an inner diameter that is substantially constantly maintained at a point 1636 along the central axis 1633 from the top 1637 in one embodiment. In an optional embodiment, the gas distribution channel 1628 includes an inner diameter that is increased or decreased from the upper portion 1637 to a point 1636 (not shown) along the central axis 1633. The gas distribution channel 1628 includes an inner diameter increasing from the point 1636 to the lower portion 1635 adjacent the lower surface 1660 of the chamber lid assembly 1632 along the central axis 1633.

하나의 예에서, 300 mm 직경 기판에 적용되는 챔버 리드 조립체(1632)는 아래의 직경을 가질 수 있다. 가스 분산 채널(1628)의 상부(1637)에서의 직경은 약 0.5 인치 내지 약 2 인치, 바람직하게는 약 0.75 인치 내지 약 1.5 인치, 및 더욱 바람직하게는 0.8 인치 내지 약 1.2 인치 내의 범위, 예를 들면 1 인치일 수 있다. 가스 분산 채널(1628)의 지점(1636)에서의 직경은 약 0.5 인치 내지 약 2 인치, 바람직하게는 약 0.75 인치 내지 약 1.5 인치, 및 더욱 바람직하게는 0.8 인치 내지 약 1.2 인치 내의 범위, 예를 들면 약 1 인치일 수 있다. 가스 분산 채널(1628)의 하부(1635)에서의 직경은 약 1 인치 내지 약 4 인치, 바람직하게는 약 1.5 인치 내지 약 3 인치, 및 더욱 바람직하게는 1.6 인치 내지 약 2.4 인치 내의 범위, 예를 들면 2 인치일 수 있다.
In one example, the chamber lid assembly 1632, which is applied to a 300 mm diameter substrate, may have a lower diameter. The diameter at the top portion 1637 of the gas distribution channel 1628 can range from about 0.5 inches to about 2 inches, preferably from about 0.75 inches to about 1.5 inches, and more preferably from 0.8 inches to about 1.2 inches, Lt; / RTI &gt; inch. The diameter at the point 1636 of the gas distribution channel 1628 can range from about 0.5 inches to about 2 inches, preferably from about 0.75 inches to about 1.5 inches, and more preferably from 0.8 inches to about 1.2 inches, For example about 1 inch. The diameter at the bottom 1635 of the gas distribution channel 1628 is in the range of about 1 inch to about 4 inches, preferably about 1.5 inches to about 3 inches, and more preferably 1.6 inches to about 2.4 inches, For example, 2 inches.

대체로, 상기 치수는 약 500 sccm 내지 약 3,000 sccm의 총 가스 유동을 제공하도록 하는 가스 분산 채널(1628)에 적용된다. 다른 특정 실시예에서, 치수는 이를 통하여 소정의 가스 유동을 수용하도록 변경될 수 있다. 대체로, 더 큰 가스 유동이 가스 분산 채널(1628)의 더 큰 직경을 요구한다.
In general, the dimensions are applied to the gas distribution channel 1628 to provide a total gas flow of between about 500 sccm and about 3,000 sccm. In another particular embodiment, the dimensions may be varied to accommodate a given gas flow therethrough. In general, a larger gas flow requires a larger diameter of the gas distribution channel 1628.

점차적으로 테이퍼를 형성하는 가스 분산 채널(1628)은 가스의 단열 팽창을 적게 제공한다. 따라서, 더 많은 열이 가스로 또는 가스로부터 전달될 수 있어, 가스의 온도는 가스의 주변 온도를 제어함으로써(즉, 챔버 리드 조립체(1632)의 온도를 제어함으로써) 더욱 용이하게 제어될 수 있다. 가스 분산 채널(1628)은 점차적으로 테이퍼를 형성하고 테이퍼 형성 직선형 표면, 오목형 표면, 볼록형 표면, 또는 이들의 조합면과 같은 하나 또는 그 보다 많은 테이퍼 형성 내부면을 포함할 수 있거나 하나 또는 그 보다 많은 테이퍼 형성 내부면의 섹션(즉, 테이퍼 형성 부분 및 테이퍼가 형성되지 않은 부분)을 포함할 수 있다.
The gas distribution channel 1628, which gradually forms a taper, provides less adiabatic expansion of the gas. Thus, more heat can be transferred to or from the gas, so that the temperature of the gas can be more easily controlled by controlling the ambient temperature of the gas (i.e., by controlling the temperature of the chamber lid assembly 1632). The gas distribution channel 1628 may include one or more tapered interior surfaces such as tapered straight surfaces, concave surfaces, convex surfaces, or a combination surface thereof that gradually forms a taper, or may include one or more And may include sections of many tapered inner surfaces (i.e., portions without tapered portions and tapered portions).

일 실시예에서, 가스 인렛(1638a 및 1638b)은 도 16e에 도시된 바와 같이, 가스 분산 채널(1628)의 상부(1637)에 인접하게 위치된다. 다른 실시예에서, 하나 또는 그 보다 많은 가스 인렛(1638a 및 1638b)은 가스 분산 채널(1628)의 상부(1637)에 위치될 수 있다.
In one embodiment, the gas inlets 1638a and 1638b are positioned adjacent the top portion 1637 of the gas distribution channel 1628, as shown in Figure 16E. In other embodiments, one or more of the gas inlets 1638a and 1638b may be located in the upper portion 1637 of the gas distribution channel 1628. [

각각의 가스 도관(1650a, 1650b, 및 1650c) 및 가스 통로(1668a 및 1668b)는, 가스 분산 채널(1734)의 중앙으로부터 반경 라인으로부터 그리고 가스 도관(1750a 및 1750b)의 중앙 라인(1776a 및 1776b)으로부터 각도(α)로 위치될 수 있는 각각의 가스 도관(1750a 및 1750b)의 도 17b 내지 도 17c에 도시된 것과 유사하게, 가스 도관의 중앙선으로부터 그리고 가스 분산 채널(1628)의 반경 라인으로부터 일정한 각도(α)로 위치될 수 있다. 가스 도관(1650a, 1650b, 및 1650c) 및 바람직하게는 각도(α)(즉, α>0°일 때)로 위치하는 가스 통로(1668a 및 1668b)를 통한 가스의 유입은 가스가 원형 가스 유동(1620)(도 16e)에 의해 도시된 바와 같이 원형 방향으로 유동하도록 한다. 팽창 채널의 벽에 대해 직접 직선형인 것(즉,α=0°일 때)과 대응되는 각도(α)로 가스를 제공하는 것은 난류성 유동이 아닌 가스 분산 채널(1628)을 통한 더 많은 층류 유동을 제공하도록 한다. 가스 분산 채널(1628)을 통한 층류 유동은 챔버 리드 조립체(1632)의 다른 면 및 가스 분산 채널(1628)의 내부면의 개선된 퍼징을 초래한다. 비교하면, 난류성 유동은 가스 분산 채널(1628)의 내부면 및 챔버 리드 조립체(1632)의 다른 면의 개선된 퍼징을 초래한다. 하나의 양태에서, 가스 도관(1650a, 1650b, 및 1650c) 및 가스 통로(1668a 및 1668b) 및 대응하는 가스 인렛(1638a 및 1638b)은 서로로부터 이격되어 동일한 원형 방향으로 유동을 지향시킨다(즉, 시계 방향 또는 반시계 방향).
Each of the gas conduits 1650a, 1650b and 1650c and the gas passages 1668a and 1668b are connected to the central line 1776a and 1776b of the gas conduits 1750a and 1750b from the radial line from the center of the gas distribution channel 1734, 17B-17C of each gas conduit 1750a and 1750b that can be positioned at an angle? From the gas distribution channel 1628, (?). The introduction of gas through gas conduits 1650a, 1650b, and 1650c and preferably through gas passages 1668a and 1668b located at an angle a (i.e., when > 0 °) 1620 (Fig. 16E). Providing the gas at an angle (alpha) that is directly linear with respect to the wall of the expansion channel (i.e., when alpha = 0) provides more laminar flow through the gas distribution channel 1628 . Laminar flow through the gas distribution channel 1628 results in improved purging of the other side of the chamber lid assembly 1632 and the interior surface of the gas distribution channel 1628. In comparison, the turbulent flow results in improved purging of the interior surfaces of the gas distribution channels 1628 and other surfaces of the chamber lid assembly 1632. In one aspect, the gas conduits 1650a, 1650b, and 1650c and the gas passages 1668a and 1668b and the corresponding gas inlets 1638a and 1638b are spaced apart from one another to direct flow in the same circular direction Direction or counterclockwise).

이론에 구속되지 않고, 도 16e는 챔버 리드 조립체(1632)의 가스 분산 채널(1628)의 단면도로서, 이를 통한 가스의 유동을 표시한다. 비록 가스 분산 채널(1628)을 통한 정확한 유동 패턴이 공개되어 있지 않지만, 원형 가스 유동(1620)은 보텍스 유동, 헬릭스 유동, 스파이럴 유동, 스월 유동, 트월 유동, 트위스트 유동, 코일 유동, 코크스크류 유동, 컬 유동, 월풀 유동, 이들의 유도형 유동, 또는 이들의 조합 유동과 같은 원형 유동 패턴으로 가스 분산 채널(1628)을 통하여 이동할 수 있다. 원형 유동은 기판으로부터 분리된 구획과 대응되는 " 처리 영역 "에 제공될 수 있다. 하나의 양태에서, 원형 가스 유동(1620)은 가스 분산 채널(1628)의 내부면에 걸친 보텍스 유동 패턴의 스위핑 액션에 의해 가스 분산 채널(1628)의 더욱 효율적인 퍼지를 설정하도록 할 수 있다.
Without being bound by theory, FIG. 16E is a cross-sectional view of the gas distribution channel 1628 of the chamber lid assembly 1632, indicating the flow of gas therethrough. Although the precise flow pattern through the gas distribution channel 1628 is not disclosed, the circular gas flow 1620 can be used to control the flow of the vortex flow, helix flow, spiral flow, swirl flow, twirl flow, twist flow, Flow through the gas distribution channel 1628 in a circular flow pattern, such as a curl flow, a whirlpool flow, an inductive flow thereof, or a combination flow thereof. The circular flow can be provided in a "processing zone" corresponding to the section separated from the substrate. In one embodiment, the circular gas flow 1620 can be configured to establish a more efficient purging of the gas distribution channel 1628 by the sweeping action of the vortex flow pattern over the interior surface of the gas distribution channel 1628.

도 16c 내지 도 16e는 챔버 리드 조립체(1632)의 하부면(1660)의 적어도 일 부분이 가스 분산 채널(1628)로부터 챔버 리드 조립체(1632)의 주변 부분으로 테이퍼를 형성할 수 있어 가스 분산 채널(1628)로부터 기판의 표면에 걸쳐 개선된 프로파일의 가스 유동을 제공하도록 한다(즉, 기판의 중앙으로부터 기판의 에지로). 하부면(1660)은 직선형 표면, 오목형 표면, 볼록형 표면, 또는 이들의 조합형 표면과 같은 하나 또는 그 보다 많은 테이퍼 형성 표면을 포함할 수 있다. 일 실시예에서, 하부면(1660)은 깔때기 형상으로 테이퍼를 형성한다.
16C-16E illustrate that at least a portion of the lower surface 1660 of the chamber lid assembly 1632 can form a taper from the gas distribution channel 1628 to the peripheral portion of the chamber lid assembly 1632, 1628) to provide an improved profile of gas flow across the surface of the substrate (i.e., from the center of the substrate to the edge of the substrate). Lower surface 1660 can include one or more tapered surfaces, such as a straight surface, a concave surface, a convex surface, or a combination thereof. In one embodiment, the lower surface 1660 forms a taper in a funnel shape.

일 예에서, 하부면(1660)은 챔버 리드 조립체(1632)의 하부면(1660)과 기판 사이로 이동하는 공정 가스의 속도에서의 변화를 감소시키도록 하방으로 경사지는 반면, 기판의 표면을 반응물 가스로의 균일한 노출을 제공하는 것을 보조한다. 일 실시예에서, 챔버 리드 조립체(1632)의 하방 경사 하부면(1660)과 기판의 표면 사이의 유동 섹션의 최소 면적에 대한 유동 섹션의 최대 면적의 비율은 약 2 보다 작고, 바람직하게는 약 1.5 보다 작고, 더욱 바람직하게는 약 1.3 보다 작고, 더욱 바람직하게는 약 1이다.
In one example, the lower surface 1660 is inclined downward to reduce the variation in the speed of the process gas moving between the lower surface 1660 of the chamber lid assembly 1632 and the substrate, while the surface of the substrate is reacted with the reactant gas Lt; RTI ID = 0.0 &gt; a &lt; / RTI &gt; In one embodiment, the ratio of the maximum area of the flow section to the minimum area of the flow section between the downwardly inclined bottom surface 1660 of the chamber lid assembly 1632 and the surface of the substrate is less than about 2, preferably less than about 1.5 , More preferably less than about 1.3, and more preferably about 1.

이론에 구속되지 않고, 기판의 표면에 걸쳐 더욱 균일한 속도로 이동하는 가스 유동은 기판 상의 가스의 더욱 균일한 증착을 제공하게 한다. 가스의 속도는 가스의 농도에 직접 비례하고, 가스의 농도는 기판 표면 상의 가스의 증착율에 직접 비례한다. 따라서, 기판의 표면의 제 2 면적 대 기판의 표면의 제 1 면적에서의 더 높은 속도는 제 1 면적 상의 가스의 더 높은 증착을 제공하도록 한다. 하방으로 경사지는, 하부면(1660)을 가지는 챔버 리드 조립체(1632)는 기판의 표면에 걸친 가스의 더욱 균일한 증착을 위해 제공되는데, 이는 하부면(1660)이 기판의 표면에 걸친 가스의 더욱 균일한 속도 및 더욱 균일한 농도를 제공하기 때문이다.
Without being bound by theory, the gas flow moving at a more uniform rate across the surface of the substrate will provide a more uniform deposition of gas on the substrate. The velocity of the gas is directly proportional to the concentration of the gas, and the concentration of the gas is directly proportional to the deposition rate of the gas on the substrate surface. Thus, a higher rate at the first area of the surface of the substrate than at the second area of the surface of the substrate allows for a higher deposition of gas on the first area. A chamber lid assembly 1632 having a downwardly sloping lower surface 1660 is provided for more uniform deposition of gas across the surface of the substrate since the lower surface 1660 is more Uniform speed and a more uniform concentration.

도 16c 내지 도 16e는 기판이 ALD 공정 동안 위치될 수 있는 장소의 주변에 인접한 챔버 리드 조립체(1632)의 주변 부분에서 쵸크(1662)를 도시한다. 챔버 리드 조립체(1632)가 기판 주위에 처리 영역을 형성하도록 조립될 때, 쵸크(1662)는 기판의 주변에 인접한 영역에 이를 통한 가스의 유동을 제한하는 소정의 부재를 포함할 수 있다.
16C-16E illustrate chocks 1662 in the peripheral portion of chamber lid assembly 1632 adjacent to the periphery of the location where the substrate may be located during the ALD process. When the chamber lid assembly 1632 is assembled to form a processing region around the substrate, the choke 1662 may include a member that restricts the flow of gas therethrough to an area adjacent the periphery of the substrate.

리드 캡(1672), 가스 도관(1650a), 가스 도관 커버(1652), 및 리드판(1670)의 상부의 일 부분은 도 16b 내지 도 16d에 도시된 바와 같이, 핸들(1682)을 가지는 챔버 리드 커버(1680)에 의해 커버될 수 있다. 챔버 리드 조립체(1632)의 온도는 리드판(1670)을 통하여 연장하는 냉매 채널(1690)과 같은, 수 재킷에 부착되는 액체 냉각 시스템에 의해 제어될 수 있다. 물과 같은, 유체 냉매는 리드판(1670)으로부터 열을 제거하기 위해 냉매 채널(1690)을 통해과할 수 있다. 냉매 커넥터(1692a 및 1692b)는 호스 또는 튜브에 의해 냉매 채널(1670)에 연결될 수 있다. 냉매 커넥터(1692a 및 1692b)의 다른 단부는 인-하우스(in-house) 냉각 시스템 또는 독립 냉각 시스템과 같은, 유체 소스 및 유체 회수부로 호스 또는 튜브에 의해 연결될 수 있다. 냉매 커넥터(1692a 및 1692b)는 지지 브래킷(1694)에 의해 리드판(1670)에 부착될 수 있다. 냉매 채널(1670)을 통하여 유동할 수 있는 액체는 물, 오일, 알콜, 글리콜, 글리콜 에테르, 또는 다른 유지 용매를 포함한다. 일 실시예에서, 리드 플레이트(1670) 또는 챔버 리드 조립체(1632)의 온도는 약 0 ℃ 내지 약 100 ℃, 바람직하게는 약 18 ℃ 내지 약 65 ℃, 및 더욱 바람직하게는 약 20 ℃ 내지 약 50 ℃ 범위 내의 미리결정된 온도로 유지될 수 있다.
A portion of the top of the lead cap 1672, the gas conduit 1650a, the gas conduit cover 1652 and the lead plate 1670 is connected to a chamber lead 1682 having a handle 1682, as shown in Figures 16b- May be covered by a cover 1680. The temperature of the chamber lid assembly 1632 can be controlled by a liquid cooling system attached to the water jacket, such as the coolant channel 1690 extending through the lead plate 1670. [ Fluidic refrigerant, such as water, may flow through the refrigerant channel 1690 to remove heat from the lead plate 1670. The refrigerant connectors 1692a and 1692b may be connected to the refrigerant channel 1670 by hoses or tubes. The other ends of the refrigerant connectors 1692a and 1692b may be connected by a hose or tube to a fluid source and a fluid return, such as an in-house cooling system or an independent cooling system. The refrigerant connectors 1692a and 1692b can be attached to the lead plate 1670 by the support bracket 1694. [ The liquid that can flow through the refrigerant channel 1670 includes water, oil, alcohol, glycol, glycol ether, or other preserving solvent. In one embodiment, the temperature of the lead plate 1670 or chamber lid assembly 1632 is between about 0 캜 and about 100 캜, preferably between about 18 캜 and about 65 캜, and more preferably between about 20 캜 and about 50 캜 Lt; RTI ID = 0.0 &gt; C, &lt; / RTI &gt;

도 17a 내지 도 17d는 ALD 공정을 위해 적용되는 가스 전달 시스템(1730)을 포함하는 공정 챔버(1700)의 일 실시예의 개략도이다. 공정 챔버(1700)는 측벽(1704) 및 바닥부(1706)를 가지는 챔버 바디(1702)를 포함한다. 공정 챔버(700)의 슬릿 밸브(1708)는 200 mm 또는 300 mm 반도체 웨이퍼 또는 유리 기판과 같은 기판(1710)을 공정 챔버(1700)로 및 공정 챔버로부터 기판(1710)을 전달 및 회수하기 위한 로봇(도시안됨)을 위한 액세스가 제공된다.
17A-17D are schematic diagrams of one embodiment of a process chamber 1700 that includes a gas delivery system 1730 applied for an ALD process. The process chamber 1700 includes a chamber body 1702 having a sidewall 1704 and a bottom portion 1706. The slit valve 1708 of the process chamber 700 may be a robot for transferring and retrieving a substrate 1710 such as a 200 mm or 300 mm semiconductor wafer or glass substrate to and from the process chamber 1700, (Not shown).

기판 지지부(1712)는 공정 챔버(1700) 내의 기판 수용면(1711) 상에 기판(1710)을 지지한다. 기판 지지부(1712)는 기판 지지부(1712) 및 그 위에 배치되는 기판(1710)을 상승 및 하강시키기 위해 리프트 모터(1714)에 장착된다. 리프트 모터(1718)에 연결되는 리프트 핀(1716)은 공정 챔버(1700) 내에 장착되고 기판 지지부(1712)을 통하여 가동되게 배치되는 리프트 핀(1720)을 상승 및 하강시킨다. 리프트 핀(1720)은 기판 지지부(1712)의 표면에 걸쳐 기판(1710)을 상승 및 하강시킨다. 기판 지지부(1712)는 종착 공정 동안 기판 지지부(1712)에 기판(1710)을 고정하기 위한 진공 척(도시안됨), 또는 클램프 링(도시안됨)을 포함할 수 있다.
A substrate support 1712 supports substrate 1710 on a substrate receiving surface 1711 in process chamber 1700. The substrate support 1712 is mounted to the lift motor 1714 to raise and lower the substrate support 1712 and the substrate 1710 disposed thereon. A lift pin 1716 connected to lift motor 1718 lifts and lowers lift pins 1720 mounted within process chamber 1700 and movably disposed through substrate support 1712. The lift pins 1720 raise and lower the substrate 1710 over the surface of the substrate support 1712. The substrate support 1712 may include a vacuum chuck (not shown), or a clamp ring (not shown) for securing the substrate 1710 to the substrate support 1712 during the deposition process.

기판 지지부(1712)의 온도는 그 위에 배치되는 기판(1710)의 온도를 제어하기 위해 조정될 수 있다. 예를 들면, 기판 지지부(1712)는 저항성 히터(도시안됨)와 같은, 매립된 가열 요소를 이용하여 가열될 수 있거나, 기판 지지부(1712) 위에 배치되는 가열 램프(도시안됨)와 같은, 방사 히트를 이용하여 가열될 수 있다. 퍼지 링(1722)은 기판 지지부(1712) 상에 배치될 수 있어 그 위에 증착을 방지하기 위해 기판(1710)의 주변 부분으로 퍼지 가스를 제공하는 퍼지 채널(1724)을 형성하도록 한다.
The temperature of the substrate support 1712 can be adjusted to control the temperature of the substrate 1710 disposed thereon. For example, the substrate support 1712 may be heated using a buried heating element, such as a resistive heater (not shown), or may be heated by a radiant heat, such as a heating lamp (not shown) As shown in FIG. The purge ring 1722 allows to form a purge channel 1724 that can be disposed on the substrate support 1712 to provide purge gas to peripheral portions of the substrate 1710 to prevent deposition thereon.

가스 전달 시스템(1730)은 챔버(1700)를 처리하도록 공정 가스 및/또는 퍼지 가스와 같은, 가스를 제공하도록 챔버 바디의 상부에 배치될 수 있다. 도 17a 내지 도 17d는 두 개 이상의 소스 또는 화학물 전구체로 기판(1710)을 노출하도록 구성된 가스 전달 시스템(1730)을 도시한다. 다른 예에서, 가스 전달 시스템(1730)은 단일 가스 소스(도 5에 도시된 바와 같은) 또는 세 개 또는 더 많은 가스 소스 또는 화학물 전구체(도 6에 도시된 바와 같은)로 기판(1710)을 노출하도록 재구성될 수 있다. 진공 시스템(1778)은 펌핑 채널(1779)과 소통되어 공정 챔버(1700)로부터 소정의 원하는 가스를 배기하고 공정 챔버(1700)의 펌핑 존(1766) 내부의 원하는 압력 범위 또는 원하는 압력을 유지하도록 한다.
A gas delivery system 1730 may be disposed on top of the chamber body to provide a gas, such as a process gas and / or a purge gas, to process the chamber 1700. 17A-17D illustrate a gas delivery system 1730 configured to expose a substrate 1710 with two or more sources or chemical precursors. In another example, the gas delivery system 1730 may include a substrate 1710 with a single gas source (as shown in Figure 5) or three or more gas sources or a chemical precursor (as shown in Figure 6) Lt; / RTI &gt; Vacuum system 1778 communicates with pumping channel 1779 to evacuate any desired gas from process chamber 1700 and maintain a desired pressure range or desired pressure within pumping zone 1766 of process chamber 1700 .

일 실시예에서, 가스 전달 시스템(1730)은 챔버 리드 조립체(1732)의 중앙 부분을 통하여 연장하는 가스 분산 채널(1734)을 가지는 챔버 리드 조립체(1732)를 포함한다. 리드 캡(1772)은 좁은 부분(1754)과 같은, 가스 분산 채널(1734)의 원통형 부분을 포함할 수 있다. 리드 캡(1772)은 또한 팽창 부분(1756)에서와 같이, 가스 분산 채널(1734)의 발산 또는 팽창 부분을 포함한다. 가스 분산 채널(1734)은 기판 수용면(1711)을 통하여 그리고 가스 분산 채널(1734)의 중심 축선(1733)을 따라, 리드판(1770)을 통하여 하부 표면(1760)으로 연장한다. 일 예에서, 가스 분산 채널(1734)의 일 부분은 상부(1737) 내의 중심 축선(1733) 및 가스 분산 채널(1734)의 하부(1735) 내의 중심 축선(1733)으로부터 테이퍼를 형성하는 가스 분산 채널(1734)의 일 부분을 따라 실질적으로 원통형으로 남아 있게 된다. 가스 분산 채널(1734)은 하부면(1760)을 통과하여 반응 존(1764) 내로 더 연장한다. 하부면(1760)은 가스 분산 채널(1734)의 하부(1735)로부터 쵸크(1762)로 연장한다. 하부면(1760)은 기판 지지부(1712)의 기판 수용면(1711) 상에 배치되는 기판(1710)을 실질적으로 커버하도록 하는 크기 및 형상을 가진다.
In one embodiment, the gas delivery system 1730 includes a chamber lid assembly 1732 having a gas distribution channel 1734 extending through a central portion of the chamber lid assembly 1732. The lead cap 1772 may include a cylindrical portion of the gas distribution channel 1734, such as a narrow portion 1754. [ The lead cap 1772 also includes a diverging or expanding portion of the gas distribution channel 1734, such as in the inflation portion 1756. The gas distribution channel 1734 extends through the substrate receiving surface 1711 and along the central axis 1733 of the gas distribution channel 1734 and through the lead plate 1770 to the lower surface 1760. In one example, a portion of the gas distribution channel 1734 is connected to a gas distribution channel 1733 that forms a taper from a central axis 1733 in the top portion 1737 and a central axis 1733 in the bottom portion 1735 of the gas distribution channel 1734. [ And remains substantially cylindrical along a portion of the recess 1734. The gas dispersion channel 1734 extends further into the reaction zone 1764 through the lower surface 1760. The lower surface 1760 extends from the lower portion 1735 of the gas distribution channel 1734 to the choke 1762. The bottom surface 1760 has a size and shape to substantially cover the substrate 1710 disposed on the substrate receiving surface 1711 of the substrate support 1712.

원형 가스 유동(1774)으로서 공정 가스는 중심 축선(1733)을 따라 통과하는 한편, 가스 분산 채널(1734)의 중심 축선(1733) 주위를 회전하도록 강제된다. 원형 가스 유동(1774)은 보텍스 패턴, 헬릭스 패턴, 스파이럴 패턴, 트월 패턴, 트위스트 패턴, 코일 패턴, 월풀 패턴, 또는 이들의 유도형과 같은, 유동 패턴을 포함할 수 있다. 원형 가스 유동(1774)은 가스 분산 채널(1734)의 중심 축선(1733) 주위를 적어도 약 1회전, 바람직하게는 적어도 약 1.5 회전, 더욱 바람직하게는 적어도 약 2 회전, 더욱 바람직하게는 적어도 약 3 회전, 및 더욱 바람직하게는 약 4 회전 또는 그 보다 많게 연장할 수 있다.
As the circular gas flow 1774, the process gas is forced to rotate about the central axis 1733 of the gas distribution channel 1734 while passing along the central axis 1733. The circular gas flow 1774 can include flow patterns, such as vortex patterns, helix patterns, spiral patterns, twirl patterns, twist patterns, coil patterns, whirlpool patterns, or their inductive forms. The circular gas flow 1774 is directed about the central axis 1733 of the gas distribution channel 1734 at least about one rotation, preferably at least about 1.5 turns, more preferably at least about two turns, more preferably at least about three Rotation, and more preferably about four turns or more.

가스 분산 채널(1734)은 함께 및/또는 개별적으로 제공될 수 있는 두 개의 유사한 쌍의 밸브(1742a/1752a, 1742b/1752b)로부터 가스 유동을 제공하기 위한 가스 인렛(1736a, 1736b)을 가진다. 하나의 구성에서, 밸브(1742a) 및 밸브(1742b)는 개별적인 반응물 가스 소스에 결합되지만 동일한 퍼지 가스 소스에 결합된다. 예를 들면, 밸브(1742a)는 반응물 가스 소스(1738)에 결합되고 밸브(1742b)는 반응물 가스 소스(1739)에 결합되고, 양 밸브(1742a, 1742b)는 퍼지 가스 소스(1740)에 결합된다. 각각의 밸브(1742a, 1742b)는 밸브 시트 조립체(1744a, 1744b)를 가지는 전달 라인(1743a, 1743b)를 포함하고 각각의 밸브(1752a, 1752b)는 밸브 시트 조립체(1746a, 1746b)를 가지는 퍼지 라인(1745a, 1745b)을 포함한다. 전달 라인(1743a, 1743b)은 반응물 가스 소스(1738, 1739)와 유체 소통되고, 가스 분산 채널(1734)의 가스 인렛(1736a, 1736b)과 유체 소통된다. 전달 라인(1743a, 1743b)의 밸브 시트 조립체(1744a, 1744b)는 반응물 가스 소스(1738, 1739)로부터 가스 분산 채널(1734)로 유동을 제어한다. 퍼지 라인(1745a, 1745b)은 퍼지 가스 소스(1740)와 유체 소통되고 전달 라인(1743a, 1743b)의 밸브 시트 조립체(1744a, 1744b)의 하류부의 전달 라인(1743a, 1743b)과 교차한다. 퍼지 라인(1745a, 1745b)의 밸브 시트 조립체(1746a, 1746b)는 퍼지 가스 소스(1740)로부터 가스 분산 채널(1734)로 퍼지 가스의 유동을 제어한다. 캐리어 가스가 반응물 가스 소스(1738, 1739)로부터 반응물 가스를 전달하기 위해 이용하는 경우, 바람직하게는 동일한 가스가 캐리어 가스 및 퍼지 가스로서 이용된다(즉, 아르곤 가스는 캐리어 가스 및 퍼지 가스로서 이용됨).
The gas distribution channels 1734 have gas inlets 1736a and 1736b for providing gas flow from two similar pairs of valves 1742a / 1752a, 1742b / 1752b that may be provided together and / or separately. In one configuration, valve 1742a and valve 1742b are coupled to separate reactant gas sources, but are coupled to the same purge gas source. For example, valve 1742a is coupled to reactant gas source 1738, valve 1742b is coupled to reactant gas source 1739, and both valves 1742a and 1742b are coupled to purge gas source 1740 . Each valve 1742a and 1742b includes delivery lines 1743a and 1743b with valve seat assemblies 1744a and 1744b and each valve 1752a and 1752b includes a purge line 1746a and 1746b having valve seat assemblies 1746a and 1746b, (1745a, 1745b). The transfer lines 1743a and 1743b are in fluid communication with the reactant gas sources 1738 and 1739 and in fluid communication with the gas inlets 1736a and 1736b of the gas distribution channel 1734. [ The valve seat assemblies 1744a and 1744b of the transfer lines 1743a and 1743b control the flow from the reactant gas sources 1738 and 1739 to the gas dispersion channel 1734. [ The purge lines 1745a and 1745b are in fluid communication with the purge gas source 1740 and intersect the delivery lines 1743a and 1743b downstream of the valve seat assemblies 1744a and 1744b of the delivery lines 1743a and 1743b. The valve seat assemblies 1746a and 1746b of the purge lines 1745a and 1745b control the flow of the purge gas from the purge gas source 1740 to the gas distribution channel 1734. [ When a carrier gas is used to transfer the reactant gas from the reactant gas sources 1738 and 1739, preferably the same gas is used as the carrier gas and purge gas (i. E., Argon gas is used as the carrier gas and purge gas).

각각의 밸브 시트 조립체(1744a, 1744b, 1746a, 1746b)는 다이어프램(도시안됨) 및 밸브 시트(도시안됨)를 포함할 수 있다. 다이어프램은 편향되어 개방 또는 폐쇄될 수 있거나 각각 작동되어 폐쇄 또는 개방될 수 있다. 다이어프램은 공기압으로 작동될 수 있거나 전기적으로 작동될 수 있다. 공기압으로 작동되는 밸브는 후지킨, 아이엔씨. 및 베리플로 디비젼, 파커 하니핀, 코포레이션으로부터 입수가능한 공기압으로 작동되는 밸브를 포함한다. 전기적으로 작동되는 밸브는 후지킨, 아이엔씨.로부터 입수가능한 전기적으로 작동되는 밸브를 포함한다. 예를 들면, 사용될 수 있는 ALD 밸브는 후지킨 모델 제 FPR-UDDFAT-21-6.35-PI-ASN호 또는 후지킨 모델 제 FPR-NHDT-21-6.35-PA-AYT호이다. 프로그램가능한 로직 제어기(1748a, 1748b)는 밸브(1742a, 1742b)의 밸브 시트 조립체(1744a, 1744b, 1746a, 1746b)의 다이어프램의 작동을 제어하도록 밸브(1742a, 1742b)에 결합될 수 있다. 공기압으로 작동되는 밸브는 약 0.020 초 만큼 작은 시간에 공기의 펄스를 제공할 수 있다. 전기적으로 작동되는 밸브는 약 0.005초 만큼 작은 시간에 가스의 펄스를 제공할 수 있다. 전기적으로 작동되는 밸브는 통상적으로 밸브와 프로그램가능한 로직 제어기 사이에 결합되는 드라이버의 이용을 요구한다.
Each valve seat assembly 1744a, 1744b, 1746a, 1746b may include a diaphragm (not shown) and a valve seat (not shown). The diaphragm may be deflected open or closed, or may each be actuated to close or open. The diaphragm may be actuated pneumatically or electrically. The air-operated valves are FUJIKIN, IAN. And a pneumatically operated valve available from VeriFlo Division, Parker Hannifin Corporation. Electrically operated valves include electrically actuated valves available from Fuzikin, IN. For example, ALD valves that can be used are the Fujitsu Model FPR-UDDFAT-21-6.35-PI-ASN or Fujiquin Model FPR-NHDT-21-6.35-PA-AYT. Programmable logic controllers 1748a and 1748b may be coupled to valves 1742a and 1742b to control the operation of the diaphragm of valve seat assemblies 1744a, 1744b, 1746a and 1746b of valves 1742a and 1742b. A pneumatically operated valve can provide pulses of air in as little as 0.020 seconds. Electrically operated valves can provide pulses of gas in as little as about 0.005 seconds. Electrically operated valves typically require the use of a driver coupled between the valve and the programmable logic controller.

각각의 밸브(1742a, 1742b)는 밸브 시트 조립체(1744a, 1744b)가 폐쇄될 때 전달 라인(1743a, 1743b)으로부터 반응물 가스의 플러싱을 가능하게 하기 위한 제로 데드 용적 밸브일 수 있다. 예를 들면, 퍼지 라인(1745a, 1745b)은 전달 라인(1743a, 1743b)의 밸브 시트 조립체(1744a, 1744b)에 인접하여 위치될 수 있다. 밸브 시트 조립체(1744a, 1744b)가 폐쇄될 때, 퍼지 라인(1745a, 1745b)은 전달 라인(1743a, 1743b)을 플러싱하기 위해 퍼지 가스가 제공될 수 있다. 일 실시예에서, 퍼지 라인(1745a, 1745b)은 전달 라인(1743a, 1743b)의 밸브 시트 조립체(1744a, 1744b)로부터 약간 이격되어 위치하여 퍼지 가스가 밸브 시트 조립체가 개방될 때 밸브 시트 조립체(1744a, 1744b)에 바로 전달되지 않도록 한다. 이용되는 제로 데드 용적 밸브는 무시가능한 데드 용적(즉, 반드시 제로 데드 용적이 아님)을 가지는 밸브로서 형성된다.
Each valve 1742a and 1742b may be a zero dead volume valve to enable flushing of the reactant gas from the delivery lines 1743a and 1743b when the valve seat assemblies 1744a and 1744b are closed. For example, purge lines 1745a, 1745b may be positioned adjacent valve seat assemblies 1744a, 1744b of transfer lines 1743a, 1743b. When valve seat assemblies 1744a and 1744b are closed, purge lines 1745a and 1745b may be provided with purge gas to flush transfer lines 1743a and 1743b. In one embodiment, the purge lines 1745a and 1745b are positioned slightly away from the valve seat assemblies 1744a and 1744b of the transfer lines 1743a and 1743b so that when the valve seat assembly is opened, , 1744b. The zero dead volume valve used is formed as a valve having a negligible dead volume (i.e., not necessarily zero dead volume).

각각의 밸브 쌍(1742a/1752a, 1742b/1752b)은 반응물 가스 및 퍼지 가스의 조합된 가스 유동 및/또는 개별적인 가스 유동을 제공하도록 적용될 수 있다. 밸브 쌍(1742a/1752a)을 참조하면, 반응물 가스 및 퍼지 가스의 조합된 가스 유동의 일 예는 퍼지 라인(1745a)을 통한 퍼지 가스 소스(1740)로부터의 퍼지 가스의 연속 유동 및 전달 라인(1743a)을 통한 반응물 가스 소스(1783)로부터의 반응물 가스의 펄스를 포함한다. 퍼지 가스의 연속 유동은 퍼지 라인(1745a)의 밸브 시트 조립체(1746a)의 다이어프램을 개방함으로써 제공될 수 있다. 반응물 가스 소스(1783)로부터의 반응물 가스의 펄스는 전달 라인(1743a)의 밸브 시트 조립체(1744a)의 다이어프램을 개방 및 폐쇄함으로써 제공될 수 있다. 밸브 쌍(1742a/1752a)을 참조하면, 반응물 가스 및 퍼지 가스의 개별 가스 유동의 일 예는 퍼지 라인(1745a)을 통한 퍼지 가스 소스(1740)로부터의 퍼지 가스의 펄스 및 전달 라인(1743a)을 통한 반응물 가스 소스(1738)로부터의 반응물 가스의 펄스를 포함한다. 퍼지 가스의 펄스는 퍼지 라인(1745a)의 밸브 시트 조립체(1746a)의 다이어프램을 개방 및 폐쇄함으로써 제공될 수 있다. 반응물 가스 소스(1738)로부터의 반응물 가스의 펄스는 전달 라인(1743a)의 밸브 시트 조립체(1744a)의 다이어프램을 개방 및 폐쇄함으로써 제공될 수 있다.
Each valve pair 1742a / 1752a, 1742b / 1752b may be adapted to provide a combined gas flow of reactant gas and purge gas and / or a separate gas flow. One example of a combined gas flow of reactant gas and purge gas, referring to valve pair 1742a / 1752a, is a continuous flow of purge gas from purge gas source 1740 through purge line 1745a, Lt; RTI ID = 0.0 &gt; 1783 &lt; / RTI &gt; Continuous flow of purge gas may be provided by opening the diaphragm of valve seat assembly 1746a of purge line 1745a. A pulse of reagent gas from the reagent gas source 1783 may be provided by opening and closing the diaphragm of the valve seat assembly 1744a of the transfer line 1743a. Referring to valve pair 1742a / 1752a, an example of a separate gas flow of reactant gas and purge gas is shown as a pulse of purge gas from purge gas source 1740 through purge line 1745a and a transfer line 1743a Lt; RTI ID = 0.0 &gt; 1738 &lt; / RTI &gt; A pulse of purge gas may be provided by opening and closing the diaphragm of valve seat assembly 1746a of purge line 1745a. A pulse of reagent gas from the reagent gas source 1738 may be provided by opening and closing the diaphragm of the valve seat assembly 1744a of the transfer line 1743a.

밸브(1742a, 1742b)의 전달 라인(1743a, 1743b)은 가스 도관(1750a, 1750b)을 통하여 가스 인렛(1736a, 1736b)에 결합될 수 있다. 가스 도관(1750a, 1750b)은 밸브(1742a, 1742b)에 통합될 수 있거나 밸브로부터 분리될 수 있다. 하나의 양태에서, 밸브(1742a, 1742b)는 밸브(1742a, 1742b)와 가스 인렛(1736a, 1736b) 사이의 가스 도관(1750a, 1750b) 및 전달 라인(1743a, 1743b)의 소정의 불필요한 용적을 감소시키기 위해 가스 분산 채널(1743)에 매우 근접되게 결합된다.
Delivery lines 1743a and 1743b of valves 1742a and 1742b may be coupled to gas inlet 1736a and 1736b through gas conduits 1750a and 1750b. The gas conduits 1750a, 1750b may be integrated into or separate from the valves 1742a, 1742b. In one aspect, valves 1742a and 1742b reduce certain undesired volumes of gas conduits 1750a and 1750b and transfer lines 1743a and 1743b between valves 1742a and 1742b and gas inlets 1736a and 1736b Lt; RTI ID = 0.0 &gt; 1743 &lt; / RTI &gt;

이론에 구속되지 않고, 가스 분산 채널(1734)의 상부(1737)로부터 중심 축선(1733)을 따라 소정의 지점으로 일정하고 이 지점으로부터 가스 분산 채널(1734)의 하부(1735)로 증가하는 가스 분산 채널(1734)의 직경은 원형 유동 가스(1774)에 포함되는 공정 가스의 온도를 제어하도록 하는 가스 분산 채널(1734)을 통한 가스의 적은 단열 팽창을 허용한다. 예를 들면, 가스 분산 채널(1734) 내로 가스 인렛(1736a, 1736b)을 통하여 전달되는 가스의 갑작스런 단열 팽창은 가스의 응축 및 소적의 형성을 초래할 수 있는 가스의 온도의 강하를 초래할 수 있다. 한편, 점차적으로 테이퍼를 형성하는 가스 분산 채널(1734)은 가스의 적은 단열 팽창을 제공한다. 따라서, 더 많은 열이 가스로 또는 가스로부터 전달되어, 가스의 온도가 가스의 주변 온도를 제어(즉, 챔버 리드 조립체(1732)의 온도를 제어)함으로써 더욱 용이하게 제어될 수 있다. 가스 분산 채널(1734)은 점차적으로 테이퍼를 형성하고 테이퍼 형성 직선형 표면, 오목형 표면, 볼록형 표면, 이들의 조합형 표면과 같은 하나 또는 그 보다 많은 테이퍼 형성 내부면을 포함하며, 하나 또는 그 보다 많은 테이퍼 형성 내부면(즉, 테이퍼 형성 부분 및 테이퍼 형성하지 않은 부분)의 섹션을 포함할 수 있다.
The present invention is not limited by theory and is not limited to gas dispersion that is constant from the upper portion 1737 of the gas distribution channel 1734 along the central axis 1733 to a predetermined point and from this point to the lower portion 1735 of the gas dispersion channel 1734 The diameter of the channel 1734 allows for low adiabatic expansion of the gas through the gas distribution channel 1734 to control the temperature of the process gas contained in the circular flow gas 1774. For example, a sudden adiabatic expansion of the gas delivered through the gas inlet 1736a, 1736b into the gas distribution channel 1734 can result in a drop in temperature of the gas, which can lead to condensation of the gas and formation of droplets. On the other hand, the gas distribution channel 1734, which gradually forms the taper, provides low adiabatic expansion of the gas. Thus, more heat may be delivered to or from the gas, so that the temperature of the gas can be more easily controlled by controlling the ambient temperature of the gas (i.e., controlling the temperature of the chamber lid assembly 1732). The gas distribution channel 1734 gradually forms a taper and includes one or more tapered inner surfaces such as a tapered straight surface, a concave surface, a convex surface, a combination surface thereof, and one or more tapers Forming portion (i.e., a tapered portion and a non-tapered portion).

일 실시예에서, 가스 인렛(1736a, 1736b)은 가스 분산 채널(1734)의 상부(1737)에 인접하여 위치된다. 다른 실시예에서, 하나 또는 보다 많은 가스 인렛(1736a, 1736b)은 상부(1737)와 하부(1735) 사이의 가스 분산 채널(1734)의 길이를 따라 위치될 수 있다.
In one embodiment, the gas inlets 1736a and 1736b are positioned adjacent the top 1737 of the gas distribution channel 1734. [ In other embodiments, one or more gas inlets 1736a, 1736b may be positioned along the length of the gas distribution channel 1734 between the top 1737 and the bottom 1735. [

도 17b는 각각의 가스 도관(1750a, 1750b)은 중앙 라인(1766a 및 1766b)으로부터 가스 분산 채널(1734)의 중심 축선(1733)으로 각도(α)로 위치될 수 있다. 바람직하게는 각도(α)(즉, α>0°일 때)로 위치되는 가스 도관(1750a, 1750b)을 통한 가스의 유입은 원형 가스 유동(1774)에 의해 도시된 바와 같이 가스가 원형 방향으로 유동하도록 한다. 팽창 채널의 벽에 대해 바로 직선(즉, α=0°일 때)에 대비되는 각도(α)로 가스를 제공하는 것은 난류 유동이 아닌 가스 분산 채널(1734)을 통한 더 많은 층류 유동을 제공하도록 한다. 가스 분산 채널(1734)을 통한 층류 유동은 챔버 리드 조립체(1732)의 다른 표면 및 가스 분산 채널(1734)의 내부면의 개선된 퍼징을 초래한다. 비교하면, 난류 유동은 가스 분산 채널(1734)의 내부면 및 다른 표면에 걸쳐 균일하게 유동하지 않을 수 있으며 가스 유동이 없는 데드 스폿 또는 정체 스폿을 포함할 수 있다. 하나의 양태에서, 가스 도관(1750a, 1750b) 및 대응하는 가스 인렛(1736a, 1736b)은 서로 이격되고 동일한 원형 방향(즉, 시계 방향 또는 반 시계 방향)으로 유동을 지향시킨다.
17B illustrates that each of the gas conduits 1750a and 1750b may be positioned at an angle a from the center lines 1766a and 1766b to the central axis 1733 of the gas distribution channel 1734. [ The introduction of gas through the gas conduits 1750a, 1750b, which are preferably positioned at an angle? (I.e., when?> 0 °), causes the gas to flow in a circular direction as shown by the circular gas flow 1774 Flow. Providing the gas at an angle a relative to a straight line (i.e., when alpha = 0) with respect to the wall of the expansion channel provides for more laminar flow through the gas distribution channel 1734 rather than turbulent flow do. Laminar flow through the gas distribution channel 1734 results in improved purging of the other surfaces of the chamber lid assembly 1732 and the interior surfaces of the gas distribution channels 1734. By comparison, the turbulent flow may not flow uniformly across the inner surface and other surfaces of the gas distribution channel 1734 and may include dead spots or stagnant spots without gas flow. In one embodiment, the gas conduits 1750a, 1750b and the corresponding gas inlet 1736a, 1736b are spaced from each other and direct flow in the same circular direction (i.e., clockwise or counterclockwise).

도 17c는 각각의 가스 도관(1750a 또는 1750b) 또는 가스 인렛(1736a 또는 1736b)이 가스 분산 채널(1734)의 중심 축선(1733)에 대한 소정의 관계로 위치될 수 있다. 각각의 가스 도관(1750a 또는 1750b) 및 가스 인렛(1736a, 1736b)은 바람직하게는 중심 축선(1733)에 대해 수직(+β, -β = 90°)으로 위치되고 가스 도관(1750a 및 1750b)의 중앙 라인(1776a, 1776b)으로부터 중심 축선(1733)으로 각도 +β 또는 각도 -β로 위치된다(0°< +β <90°, 0°< -β <90°). 따라서, 가스 도관(1750a 및 1750b)은 도 17c에 도시된 바와 같이 중심 축선(1733)에 대해 수평방향으로 수직하게 위치될 수 있으며, 하방으로 각도(+β)로, 또는 상방으로 각도(-β)로 각도를 형성할 수 있어 기판(1710)의 표면 상에 흡수되는 반응물의 제거 가능성을 감소시키도록 하는 기판(1710)을 향하여 직접 하향하지 않고 가스 분산 채널(1734)의 벽을 향하여 가스 유동을 제공하도록 한다. 또한, 가스 도관(1750a, 1750b)의 직경은 밸브(1742a, 1742b)의 전달 라인(1743a, 1743b)으로부터 가스 인렛(1736a, 1736b)으로 증가할 수 있어 가스 분산 채널(1734) 내로의 유입 전에 가스 유동의 속도를 감소시키도록 한다. 예를 들면, 가스 도관(1750a, 1750b)은 점차적으로 증가하는 내경을 포함할 수 있거나 증가하는 내경을 가지는 다수의 연결 도관을 포함할 수 있다.
17C can be positioned with a predetermined relationship for each gas conduit 1750a or 1750b or the gas inlet 1736a or 1736b to the central axis 1733 of the gas distribution channel 1734. [ Each gas conduit 1750a or 1750b and the gas inlet 1736a and 1736b are preferably positioned perpendicular (+?,? = 90 °) to the central axis 1733 and the gas conduits 1750a and 1750b (0 ° <+ β <90 °, 0 ° <-β <90 °) from the center lines 1776a and 1776b to the central axis 1733 at an angle + β or an angle -β. Thus, the gas conduits 1750a and 1750b can be positioned vertically in the horizontal direction with respect to the central axis 1733 as shown in Fig. 17c and can be positioned at an angle (+) or down To direct the gas flow toward the wall of the gas distribution channel 1734 without direct downward toward the substrate 1710 to reduce the likelihood of removal of the reactants absorbed on the surface of the substrate 1710 . The diameters of the gas conduits 1750a and 1750b may also increase from the delivery lines 1743a and 1743b of the valves 1742a and 1742b to the gas inlets 1736a and 1736b, Thereby reducing the velocity of the flow. For example, the gas conduits 1750a, 1750b may include a gradually increasing inner diameter or may include a plurality of connecting conduits having an increasing inner diameter.

이론에 구속되지 않고, 도 17c는 챔버 리드 조립체(1732)의 가스 분산 채널(1734)의 단면도로서, 이를 통한 가스 유동을 간단하게 보여준다. 비록 가스 분산 채널(1734)을 통한 정확한 유동 패턴이 공개되어 있지 않지만, 원형 가스 유동(1774)(도 17c)은 보텍스 유동, 헬릭스 유동, 스파이럴 유동, 스월 유동, 트월 유동, 트위스트 유동, 코일 유동, 코크스크류 유동, 컬 유동, 월풀 유동, 이들의 유도형 유동, 또는 이들의 조합 유동과 같은 원형 유동 패턴으로 가스 분산 채널(1734)을 통하여 이동할 수 있다. 도 17c에 도시된 바와 같이, 원형 유동은 기판(1710)으로부터 분리된 구획부 내에서 대응되는 " 처리 영역 "에 제공될 수 있다. 하나의 양태에서, 원형 가스 유동(1774)은 가스 분산 채널(1734)의 내부면에 걸쳐 보텍스 유동 패턴의 스위핑 작용에 의해 가스 분산 채널(1734)의 더욱 효과적인 퍼지를 설정하도록 할 수 있다.
Without being bound by theory, FIG. 17C is a cross-sectional view of the gas distribution channel 1734 of the chamber lid assembly 1732, showing simply the gas flow therethrough. Although the exact flow pattern through the gas distribution channel 1734 is not disclosed, the circular gas flow 1774 (Fig. 17C) can be used to control vortex flow, helix flow, spiral flow, swirl flow, twist flow, twist flow, Through a gas distribution channel 1734 in a circular flow pattern, such as a cock screw flow, a curl flow, a whirlpool flow, an inductive flow thereof, or a combination flow thereof. As shown in Fig. 17C, the circular flow can be provided in a corresponding "processing zone" within the compartments separated from the substrate 1710. [ In one embodiment, the circular gas flow 1774 can cause more effective purging of the gas distribution channel 1734 by sweeping the vortex flow pattern over the interior surface of the gas distribution channel 1734.

일 실시예에서, 도 17c는 기판(1710)의 표면과 가스 도관(1750a 및 1750b)의 중앙 라인(1776a 및 1776b) 사이의 거리(1775)를 보여준다. 거리(1777)는 리드 캡(1772)의 하부면(1773)과 가스 분산 채널(1734)의 상부(1737) 사이에 도시된다. 거리(1775 및 1777)는 기판(1710)의 표면에 걸친 스파이럴 유동이 바람직하지 않을 때 원형 가스 유동(1774)이 하방 유동으로 소산되기에 충분히 길다. 원형 가스 유동(1774)이 기판(1710) 및 챔버 리드 조립체(1732)의 표면을 효율적으로 퍼징하는 층류 방식으로 처리된다. 일 실시예에서, 거리(1777)의 길이는 약 5.5 인치와 같이 약 4 인치 내지 약 8 인치, 바람직하게는 약 4.5 인치 내지 약 7인치, 및 더욱 바람직하게는 약 5 인치 내지 약 6인치의 범위 내에 있다. 또 다른 실시예에서, 중심 축선(1733)을 따라 연장하는 가스 분산 채널(1734) 또는 거리(1775)의 길이는 약 8인치와 같이 약 5 인치 내지 약 12인치, 바람직하게는 약 6인치 내지 약 10인치, 및 더욱 바람직하게는 약 7 인치 내지 약 9 인치의 범위 내에 있다.
In one embodiment, Figure 17C shows the distance 1775 between the surface of the substrate 1710 and the center lines 1776a and 1776b of the gas conduits 1750a and 1750b. The distance 1777 is shown between the lower surface 1773 of the lead cap 1772 and the upper portion 1737 of the gas distribution channel 1734. Distances 1775 and 1777 are long enough to allow circular gas flow 1774 to dissipate in a downward flow when spiral flow across the surface of substrate 1710 is undesirable. Circular gas flow 1774 is processed in a laminar flow manner to efficiently purgate the surface of substrate 1710 and chamber lid assembly 1732. In one embodiment, the length of distance 1777 ranges from about 4 inches to about 8 inches, such as about 5.5 inches, preferably from about 4.5 inches to about 7 inches, and more preferably from about 5 inches to about 6 inches . In another embodiment, the length of the gas distribution channel 1734 or distance 1775 extending along the central axis 1733 is about 5 inches to about 12 inches, such as about 8 inches, preferably about 6 inches 10 inches, and more preferably from about 7 inches to about 9 inches.

도 17a 및 도 17c는 가스 분산 채널(1734)로부터 챔버 리드 조립체(1732)의 주변 부분으로 테이퍼를 형성할 수 있어 기판(1710)의 표면에 걸친 가스 분산 채널(1734)로부터의 가스 유동의 개선된 속도 프로파일을 제공하도록 한다(즉, 기판의 중앙으로부터 기판의 에지로). 하부면(1760)은 직선형 표면, 오목형 표면, 볼록형 표면, 또는 이들의 조합형 표면과 같은 하나 또는 그 보다 많은 테이퍼 형성 표면을 포함할 수 있다. 일 실시예에서, 하부면(1760)은 깔때기 형상으로 테이퍼를 형성한다.
17A and 17C can form a taper from the gas distribution channel 1734 to the peripheral portion of the chamber lid assembly 1732 to improve the gas flow from the gas distribution channel 1734 across the surface of the substrate 1710 (I.e., from the center of the substrate to the edge of the substrate). Lower surface 1760 can include one or more tapered surfaces, such as a straight surface, a concave surface, a convex surface, or a combination thereof. In one embodiment, the lower surface 1760 forms a taper in a funnel shape.

일 실시예에서, 하부면(1760)은 하방으로 경사져서 챔버 리드 조립체(1732)의 하부면(1760)과 기판(1710) 사이로 이동하는 공정 가스의 속도에서의 변화를 감소시키며, 기판(1710)의 표면의 균일한 노출을 제공한다. 일 실시예에서, 챔버 리드 조립체(1732)의 하방 경사 하부면(1760)과 기판(1710)의 표면 사이의 유동 섹션의 최소 면적에 대한 유동 섹션의 최대 면적의 비율은 약 2 보다 작고 바람직하게는 약 1,5 보다 작고, 더욱 바람직하게는 약 약 1.3 및 그 보다 크며 더욱 바람직하게는 약 1이다.
The bottom surface 1760 is tapered downward to reduce the variation in the speed of the process gas moving between the bottom surface 1760 of the chamber lid assembly 1732 and the substrate 1710, Lt; RTI ID = 0.0 &gt; of the &lt; / RTI &gt; In one embodiment, the ratio of the maximum area of the flow section to the minimum area of the flow section between the downwardly inclined bottom surface 1760 of the chamber lid assembly 1732 and the surface of the substrate 1710 is less than about 2, Is less than about 1.5, more preferably is about 1.3 and greater, and more preferably is about 1.

이론에 구속되지 않고, 기판(1710)의 표면에 걸쳐 더욱 균일한 속도로 이동하는 가스 유동은 기판(1710) 상의 가스의 더욱 균일한 증착을 도와준다. 가스의 속도는 가스의 농도에 직접 비례하고 가스의 농도는 기판(1710) 상의 가스의 증착에 직접 비례한다. 따라서, 기판(1710)의 표면의 제 2 영역 대 기판(1710)의 표면의 제 1 영역에서 가스의 더 높은 속도가 제 1 영역 상에 가스의 더 높은 증착을 제공한다. 하방으로 경사지는 하부면(1760)을 가지는 챔버 리드 조립체(1732)는 기판(1710)의 표면에 걸쳐 가스의 더 많이 균일한 증착을 제공하며, 이는 하부면(1760)이 더 많이 균일한 속도 및 기판(1710)의 표면에 걸쳐 가스의 더욱 균일한 농도를 제공한다.
Without being bound by theory, a gas flow that moves at a more uniform rate across the surface of the substrate 1710 helps a more uniform deposition of gas on the substrate 1710. The velocity of the gas is directly proportional to the concentration of the gas and the concentration of the gas is directly proportional to the deposition of the gas on the substrate 1710. Thus, a higher rate of gas in the first region of the surface of substrate 1710 versus the second region of substrate 1710 provides a higher deposition of gas on the first region. A chamber lid assembly 1732 having a downwardly inclined bottom surface 1760 provides a more uniform deposition of gas across the surface of the substrate 1710 because the lower surface 1760 has a more uniform velocity and And provides a more uniform concentration of gas across the surface of the substrate 1710.

도 17a는 기판(1710)의 주변에 인접한 챔버 리드 조립체(1732)의 주변 부분에 위치한다. 챔버 리드 조립체(1732)가 조립되어 기판(1710) 주위에 처리 존을 형성할때, 쵸크(1762)는 기판(1710)의 주변에 인접한 영역에서 이를 통하는 가스의 유동을 제한하는 소정의 부재를 포함한다.
17A is located in the peripheral portion of the chamber lid assembly 1732 adjacent to the periphery of the substrate 1710. FIG. When chamber lid assembly 1732 is assembled to form a process zone around substrate 1710, choke 1762 includes a predetermined member that restricts the flow of gas therethrough in a region adjacent the periphery of substrate 1710 do.

하나의 특정 실시예에서, 쵸크(17652)와 기판 지지부(1712) 사이의 간격은 약 0.04 인치 내지 약 2.0 인치, 더욱 바람직하게는 0.04 인치 내지 약 0.2 인치이다. 간격은 증착 동안 공정 상태 및 전달되는 가스에 따라 변할 수 있다. 쵸크(1762)는 펌핑 존(1766)의 비 균일 압력 분포로부터 반응 존(1764)을 격리시킴으로써 챔버 리드 조립체(1732)와 기판(1760) 사이에 형성된 용적 또는 반응 영역 내에 더욱 균일한 압력 분포를 제공하도록 한다.
In one particular embodiment, the spacing between the choke 17652 and the substrate support 1712 is from about 0.04 inches to about 2.0 inches, more preferably from 0.04 inches to about 0.2 inches. The spacing may vary depending on the process conditions during deposition and the gas delivered. The choke 1762 provides a more uniform pressure distribution within the volume or reaction zone formed between the chamber lid assembly 1732 and the substrate 1760 by isolating the reaction zone 1764 from the non-uniform pressure distribution of the pumping zone 1766 .

도 17a를 참조하면, 하나의 양태에서, 반응 존(1764)이 펌핑 존(1766)으로붙어 격리되기 때문에, 반응물 가스 또는 퍼지 가스는 반응물 가스 또는 퍼지 가스로 기판(1710)의 충분한 노출을 보장하도록 반응 존(1764)을 적절히 채우는 것만이 요구된다. 종래의 화학 증착에서, 종래 기술의 챔버는 반응물의 공동 반응이 기판(1710)의 표면에 걸쳐 규일하게 발생하는 것을 보장하도록 기판의 전체 표면에 대해 동시에 그리고 균일하게 반응물의 조합된 유동을 제공하기 위해 요구된다. 원자 층 증착에서, 공정 챔버(1700)는 반응물을 기판(1710)의 표면으로 순차적으로 도입하여 기판(1710)의 표면으로 반응물의 교차하는 얇은 층의 흡수를 제공한다. 결론적으로, 원자 층 증착은 기판(1710)의 표면에 동시에 도달하는 반응물의 유동을 요구하지 않는다. 대신, 반응물의 유동은 기판(1710)의 표면 상에 반응물의 얇은 층을 흡수하기에 충분한 양으로 제공되는 것이 필요하다.
17A, in one embodiment, because the reaction zone 1764 is isolated by the pumping zone 1766, the reactant gas or purge gas may be used to ensure sufficient exposure of the substrate 1710 to the reactant gas or purge gas It is only required that the reaction zone 1764 be properly filled. In conventional chemical vapor deposition, the prior art chambers are used to simultaneously and uniformly provide a combined flow of reactants over the entire surface of the substrate to ensure that the cavitation reaction of the reactants occurs uniformly across the surface of the substrate &lt; RTI ID = 0.0 &gt; Is required. In atomic layer deposition, process chamber 1700 sequentially introduces reactants to the surface of substrate 1710 to provide for the absorption of the crossing thin layers of reactants to the surface of substrate 1710. As a result, atomic layer deposition does not require the flow of reactants simultaneously reaching the surface of the substrate 1710. Instead, the flow of reactants needs to be provided in an amount sufficient to absorb a thin layer of reactants on the surface of the substrate 1710.

반응 존(1764)이 종래의 CVD 챔버의 내부 용적과 비교할 때 더 작은 용적을 포함하기 때문에, 더 작은 양의 가스가 원자 층 증착 시컨스에서 특별한 공정을 위해 반응 존(1764)을 채우기 위해 요구된다. 예를 들면, 일 실시예에서, 반응 존(1764)의 용적은 200 mm 직경 기판을 처리하기 위해 적용되는 챔버에 대해 약 1,000 cm3 또는 그 미만, 바람직하게는 약 500 cm3 또는 그 미만, 및 더욱 바람직하게는 약 200 cm3 또는 그 미만이다. 일 실시예에서, 반응 존(1764)의 용적은 300 mm 직경 기판을 처리하기 위해 적용되는 챔버에 대해 약 3,000 cm3 또는 그 미만, 바람직하게는 약 1,500 cm3 또는 그 미만, 및 더욱 바람직하게는 약 600 cm3 또는 그 미만이다. 일 실시예에서, 기판 지지부(1712)는 증착을 위해 반응 존(1764)의 용적을 조정하기 위하여 상승되거나 하강될 수 있다. 더 작은 용적의 반응 존(1764) 때문에, 증착 가스 또는 퍼지 가스든지 적은 가스가 공정 챔버(1700) 내로 유동하는 것이 필요하다. 따라서, 가스의 더 작은 양이 사용되기 때문에 공정 챔버(1700)의 처리량은 더 크고 폐기물은 최소화되어 작업 비용이 감소된다.
A smaller amount of gas is required to fill the reaction zone 1764 for a particular process in the atomic layer deposition sequence since the reaction zone 1764 includes a smaller volume compared to the internal volume of a conventional CVD chamber. For example, in one embodiment, the volume of the reaction zone 1764 is about 1,000 cm 3 or less, preferably about 500 cm 3 or less, for a chamber applied to process a 200 mm diameter substrate, and More preferably about 200 cm &lt; 3 &gt; or less. In one embodiment, the volume of reaction zone 1764 is about 3,000 cm 3 or less, preferably about 1,500 cm 3 or less, and more preferably about 5,000 cm 3 or less for a chamber that is applied to process a 300 mm diameter substrate About 600 cm 3 or less. In one embodiment, the substrate support 1712 may be raised or lowered to adjust the volume of the reaction zone 1764 for deposition. Due to the smaller volume of reaction zone 1764, it is necessary for the deposition gas or the purge gas to flow into the process chamber 1700 with less gas. Thus, because a smaller amount of gas is used, the throughput of the process chamber 1700 is greater and the waste is minimized, thereby reducing operating costs.

챔버 리드 조립체(1732)는 리드 캡(1772) 및 리드판(1770)을 포함하는 것으로서 도 17a 내지 도 17d에 도시되며, 여기에서 리드 캡(1772) 및 리드판(1770)은 가스 분산 채널(1734)를 형성한다. 부가판은 리드판(1770)과 리드 캡(1772)(도시안됨) 사이에 선택적으로 배치될 수 있다. 부가판은 리드 캡(1772)과 리드판(1770) 사이의 거리를 조정하기 위해 이용되어 이를 통해 형성된 가스 분산 채널(1734)의 길이를 각각 변화시킨다. 또 다른 실시예에서, 리드 판(1770)과 리드 캡(1772) 사이에 배치되는 선택적인 부가판은 스테인레스 강을 포함한다. 다른 실시예에서, 가스 분산 채널(1734)은 재료의 단일 피스로 일체로 형성될 수 있다.
The chamber lid assembly 1732 includes a lead cap 1772 and a lid plate 1770 and is shown in Figures 17A-17D wherein the lid cap 1772 and the lid plate 1770 define a gas distribution channel 1734 ). The additional plate may be selectively disposed between the lead plate 1770 and the lead cap 1772 (not shown). The additional plate is used to adjust the distance between the lead cap 1772 and the lead plate 1770 to change the length of the gas dispersion channel 1734 formed therewith. In another embodiment, the optional attachment plate disposed between the lead plate 1770 and the lead cap 1772 comprises stainless steel. In another embodiment, the gas distribution channel 1734 may be integrally formed with a single piece of material.

챔버 리드 조립체(1732)는 이를 통하여 전달되는 특별한 가스에 따라 냉각 요소 및/또는 가열 요소를 포함할 수 있다. 챔버 리드 조립체(1732)의 온도 제어는 챔버 리드 조립체(1732) 상의 가스 분해, 증착, 또는 응축을 방지하기 위해 이용될 수 있다. 예를 들면, 수 채널(도 16a에 도시된 냉매 채널(1690)과 같은)은 챔버 리드 조립체(1732)를 냉각하기 위하여 챔버 리드 조립체(1732) 내에 형성될 수 있다. 또 다른 예에서, 가열 요소(도시안됨)는 챔버 리드 조립체(1732)를 가열하기 위하여 챔버 리드 조립체(1732)의 부품에 매립되거나 챔버 리드 조립체의 부품을 둘러 쌀 수 있다. 일 실시예에서, 챔버 리드 조립체(1732)의 부품은 개별적으로 가열되거나 냉각될 수 있다. 예를 들면, 도 17a를 참조하면, 챔버 리드 조립체(1732)는 리드판(1770) 및 리드 캡(1772)을 포함할 서 있으며, 여기에서 리드 판(1770) 및 리드 캡(1772)는 가스 분산 채널(1734)을 형성한다. 리드 캡(1772)은 하나의 온도 범위를 유지할 수 있으며 리드 판(1770)은 또 다른 온도 범위를 유지할 수 있다. 예를 들면, 리드 캡은 반응물 가스의 응축을 방지하기 위하여 히터 테이프로 감겨짐으로써 또는 또 다른 가열 장치를 이용함으로써 가열될 수 있으머 리드판(1770)은 대기 온도로 유지될 수 있다. 또 다른 예에서, 리드 캡(1772)은 가열될 수 있고 리드판(1770)은 리드판(1770) 사이의 반응물 가스의 열 분해를 방지하기 위하여 이를 통해 형성되는 수 채널로 냉각될 수 있다.
The chamber lid assembly 1732 may include a cooling element and / or a heating element depending on the particular gas delivered therethrough. The temperature control of the chamber lid assembly 1732 can be used to prevent gas decomposition, deposition, or condensation on the chamber lid assembly 1732. For example, a number of channels (such as the coolant channel 1690 shown in FIG. 16A) may be formed in the chamber lid assembly 1732 to cool the chamber lid assembly 1732. In another example, a heating element (not shown) may be embedded in a component of the chamber lid assembly 1732 to surround the chamber lid assembly 1732, or may surround parts of the chamber lid assembly. In one embodiment, the components of the chamber lid assembly 1732 can be individually heated or cooled. 17A, the chamber lid assembly 1732 may include a lid plate 1770 and a lid cap 1772 wherein the lid plate 1770 and the lid cap 1772 are gas dispersed Channel 1734 is formed. The lead cap 1772 can maintain one temperature range and the lead plate 1770 can maintain another temperature range. For example, the lead cap can be heated by wrapping with a heater tape to prevent condensation of the reagent gas or by using another heating device, and the mercury plate 1770 can be kept at ambient temperature. In another example, the lead cap 1772 can be heated and the lead plate 1770 can be cooled to a number of channels formed therethrough to prevent thermal decomposition of the reactant gas between the lead plates 1770.

챔버 리드 조립체(1732)의 부품 및 부분은 스테인레스 강, 알루미늄, 니켈 도금 알루미늄, 니켈, 이들의 합금, 또는 다른 적절한 재료와 같은 재료를 포함할 수 있다. 일 실시예에서, 리드 캡(1772) 및 리드판(1770)은 독립적으로 제조, 기계가공, 단조, 또는 알루미늄, 알루미늄 합금, 강, 스테인레스 강, 이들의 합금, 또는 이들의 조합물과 같은 금속으로 제조된다.
Parts and portions of chamber lid assembly 1732 may include materials such as stainless steel, aluminum, nickel plated aluminum, nickel, alloys thereof, or other suitable materials. In one embodiment, the lead cap 1772 and the lead plate 1770 are independently fabricated, machined, forged, or formed from a metal such as aluminum, aluminum alloy, steel, stainless steel, alloys thereof, .

도 17a는 처리 상태를 제어하기 위해 공정 챔버(1700)으로 결합되는, 프로그램가능한 퍼스널 컴퓨터, 워크 스테이션 컴퓨터, 등과 같은 제어 유닛(1780)을 도시한다. 예를 들면, 제어 유닛(1780)은 기판의 상이한 시컨스의 상이한 단계 동안 가스 소스(1738, 1739, 및 1740)으로부터 밸브(1742a 및 1742b)를 통하여 다양한 공정 가스 및 퍼지 가스의 유도을 제어하도록 구성된다. 도시된 바와 같이, 제어 유닛(1780)은 중앙 처리 유닛(COU)(1782), 지지 회로계(1784), 및 관련된 제어 소프트웨어(1783)를 포함하는 메모리(1786)를 포함한다.
17A shows a control unit 1780, such as a programmable personal computer, workstation computer, or the like, coupled to the process chamber 1700 for controlling the process conditions. For example, the control unit 1780 is configured to control the induction of various process gases and purge gases from the gas sources 1738, 1739, and 1740 through the valves 1742a and 1742b during different stages of different stages of the substrate. As shown, the control unit 1780 includes a central processing unit (COU) 1782, a support circuitry 1784, and a memory 1786 including associated control software 1783.

제어 유닛(1780)은 다양한 챔버 및 서브-프로세서를 제어하기 위한 산업적 세팅에서 이용될 수 있는 일반적 목적의 컴퓨터 프로세서의 소정의 형태 중 하나일 수 있다. CPU(1782)는 램덤 액세스 메모리, 리드 온리 메모리, 플로피 디스크 드라이브, 하드 디스크, 또는 소정의 다른 형태의 디지털 스토리지, 로컬 또는 리모트와 같은 소정의 적절한 메모리(1786)를 이용할 수 있다. 다양한 지지 회로는 공정 챔버(1700)를 지지하기 위해 CPU(1782)에 결합될 수 있다. 제어 유닛(1780)은 밸브(1742a, 1742b)의 프로그램가능한 로직 제어기(1748a, 1748b)와 같은 개별 챔버 부품에 인접하여 위치되는 또 다른 제어기에 결합될 수 있다. 제어 유닛(1780) 및 공정 챔버(1700)의 다른 부품 사이의 양 방향 소통은 도 17a에서 일부가 도시되는 신호 버스(1788)로서 전체적으로 지칭되는 다양한 신호 케이블을 통하여 취급된다. 밸브 (1742a, 1742b)의 프로그램가능한 로직 제어기(1748a, 1748b)로부터 그리고 가스 소스(1738, 1739)로부터 공정 가스 및 퍼지 가스의 제어에 부가하여, 제어 유닛(1780)이 일부가 본 명세서에서 설명되는 다른 작동 중에서 웨이퍼 운반, 온도 제어, 챔버 배기와 같은 웨이퍼 처리에서 이용되는 다른 작동의 자동화된 제어를 책임지도록 구성될 수 있다.
Control unit 1780 may be any of a number of general purpose computer processors that may be utilized in an industrial setting for controlling various chambers and sub-processors. The CPU 1782 may utilize any suitable memory 1786, such as random access memory, read only memory, floppy disk drive, hard disk, or any other form of digital storage, local or remote. A variety of support circuits may be coupled to the CPU 1782 to support the process chamber 1700. Control unit 1780 may be coupled to another controller located adjacent to an individual chamber component such as programmable logic controller 1748a, 1748b of valves 1742a, 1742b. Bidirectional communication between control unit 1780 and other components of process chamber 1700 is handled through various signal cables, generally referred to as signal bus 1788, which is partially shown in FIG. 17a. In addition to the control of process gases and purge gases from the programmable logic controllers 1748a and 1748b of the valves 1742a and 1742b and from the gas sources 1738 and 1739, Be responsible for automated control of other operations used in wafer processing, such as wafer transport, temperature control, chamber evacuation, among other operations.

도 17a 내지 도 17c를 참조하면, 작동 중, 기판(1710)은 로봇(도시안됨)에 의해 슬릿 밸브(1708)를 통하여 공정 챔버(1700)로 전달된다. 기판(1710)은 리프트 핀(1720) 및 로봇의 협동을 통하여 기판 지지부(1712) 상에 위치된다. 기판 지지부(1712)는 챔버 리드 조립체(1732)의 하부면(1760)에 대해 근접되게 기판(1710)을 상승시킨다. 제 1 가스 유동은 밸브(1742b)에 의해 공정 챔버(1700) 내로 주입되는 제 2 가스 유동으로 함께 또는 개별적으로(즉, 펄스) 밸브(1742a)에 의해 공정 챔버(1700)의 가스 분산 채널(1734) 내로 주입될 수 있다. 제 1 가스 유동은 퍼지 가스 소스(1740)로부터 퍼지 가스의 연속 유동 및 반응물 가스 소스(1738)로부터 반응물 가스의 펄스를 포함할 수 있거나 반응물 가스 소스(1738)로부터 반응물 가스의 펄스 및 퍼지 가스 소스(1740)로부터 퍼지 가스의 펄스를 포함할 수 있다. 제 2 가스 유동은 퍼지 가스 소스(1740)로부터 퍼지 가스의 연속 유동 및 반응물 가스 소스(1739)로부터 반응물 가스의 펄스를 포함할 수 있거나 반응물 가스 소스(1739)로부터 반응물 가스의 펄스 및 퍼지 가스 소스(1740)로부터 퍼지 가스의 펄스를 포함할 수 있다. 원형 가스 유동(1774)은 가스 분산 채널(1734)의 내부면에 걸친 스위핑 작용을 제공하는 보텍스 유동으로서 가스 분산 채널(1734)을 통하여 이동한다. 원형 가스 유동(1774)은 기판(1710)의 표면을 향한 하방 유동으로 소산된다. 가스 유동의 속도는 가스 유동이 가스 분산 채널(1734)을 통하여 이동할 때 감소된다. 이어서 가스 유동은 기판(1710)의 표면을 가로질러 그리고 챔버 리드 조립체(1732)의 하부면(1760)을 가로질러 이동한다. 하방으로 경사지는 챔버 리드 조립체(1732)의 하부면(1760)은 기판(1710)의 표면에 걸친 가스 유동의 속도의 변화를 감소시킨다. 이어서 가스 유동은 쵸크(1762)에 의해 공정 챔버(1700)의 펌핑 존(1766) 내로 이동한다. 과잉 가스, 부산물, 등은 펌핑 채널(1779) 내로 유동하고 이어서 진공 시스템(1778)에 의해 공정 챔버(1700)로부터 배기된다. 하나의 양태에서, 가스 유동은 층류 방식으로 가스 분산 채널(1734)을 통하여 그리고 챔버 리드 조립체(1732)의 하부면(1760) 및 기판(1710)의 표면 사이로 진행되어 기판(1710)의 표면으로 반응물 가스의 균일한 노츨 및 챔버 리드 조립체(1732)의 내부면의 효과적인 퍼징에 도움이 된다.
17A-17C, during operation, the substrate 1710 is transferred to the process chamber 1700 via a slit valve 1708 by a robot (not shown). The substrate 1710 is positioned on the substrate support 1712 through the cooperation of the lift pins 1720 and the robot. The substrate support 1712 raises the substrate 1710 in close proximity to the lower surface 1760 of the chamber lid assembly 1732. The first gas flow is directed to the gas distribution channel 1734 of the process chamber 1700 by a valve 1742a together with or separately (i.e., pulse) into a second gas flow injected into the process chamber 1700 by a valve 1742b. Lt; / RTI &gt; The first gas flow may comprise a continuous flow of purge gas from a purge gas source 1740 and a pulse of a reactant gas from a reactant gas source 1738 or may be a pulse of a reactant gas from a reactant gas source 1738 and a source of purge gas 1740. &lt; / RTI &gt; The second gas flow may comprise a continuous flow of purge gas from the purge gas source 1740 and a pulse of the reactant gas from the reactant gas source 1739 or may include pulses of the reactant gas from the reactant gas source 1739 and a source of purge gas 1740. &lt; / RTI &gt; The circular gas flow 1774 moves through the gas dispersion channel 1734 as a vortex flow that provides a sweeping action across the interior surface of the gas distribution channel 1734. The circular gas flow 1774 is dissipated in a downward flow towards the surface of the substrate 1710. The velocity of the gas flow is reduced as the gas flow moves through the gas dispersion channel 1734. The gas flow then moves across the surface of the substrate 1710 and across the lower surface 1760 of the chamber lid assembly 1732. The lower surface 1760 of the downwardly inclined chamber lid assembly 1732 reduces the rate of change of the velocity of the gas flow over the surface of the substrate 1710. The gas flow then moves into the pumping zone 1766 of the process chamber 1700 by the choke 1762. Excess gases, byproducts, etc., flow into the pumping channel 1779 and then exhausted from the process chamber 1700 by a vacuum system 1778. The gas flow is conducted in a laminar flow manner through the gas distribution channel 1734 and between the lower surface 1760 of the chamber lid assembly 1732 and the surface of the substrate 1710 to form a reactant Helping to uniformly localize the gas and effective purging of the interior surface of the chamber lid assembly 1732.

도 17a 내지 도 17d에 도시된 바와 같이, 공정 챔버(1700)는 피쳐의 조합을 가지는 것으로서 본 명세서에서 설명된다. 하나의 양태에서, 공정 챔버(1700)는 종래의 CVD 챔버에 비해 작은 용적을 포함하는 반응 존(1764)을 제공한다. 공정 챔버(1700)는 특별한 공정을 위해 반응 존(1764)을 채우기 위해 반응물 가스 또는 퍼지 가스와 같은 더 작은 양의 가스를 요구한다. 또 다른 양태에서, 공정 챔버(1700)는 하방으로 경사지는 또는 깔때기 형상의 하부면(1760)을 가지는 챔버 리드 조립체(1732)를 제공하여 기판(1710)과 챔버 리드 조립체(1732)의 바닥면 사이로 이동하는 가스 유동의 속도 프로파일에서의 변화를 감소시키도록 한다. 또 다른 양태에서, 공정 챔버(1700)는 가스 분산 채널(1734)을 제공하여 이를 통해 도입되는 가스 유동의 속도를 감소시키도록 한다. 또 다른 양태에서, 공정 챔버(1700)는 가스 분산 채널(1734)의 중앙으로부터 각도(α)로 가스 도관을 제공한다. 공정 챔버(1700)는 설명되는 다른 피쳐를 제공한다. 원자 층 증착을 위해 적용되는 챔버의 다른 실시예가 하나 또는 그 보다 많은 이러한 피쳐와 결합된다.
As shown in FIGS. 17A-17D, process chamber 1700 is described herein as having a combination of features. In one embodiment, process chamber 1700 provides a reaction zone 1764 that includes a smaller volume compared to a conventional CVD chamber. The process chamber 1700 requires a smaller amount of gas, such as a reactant gas or a purge gas, to fill the reaction zone 1764 for a particular process. In another aspect, the process chamber 1700 provides a chamber lid assembly 1732 having a downwardly inclined or funnel-shaped lower surface 1760 to provide a chamber lid assembly 1732 between the substrate 1710 and the bottom surface of the chamber lid assembly 1732 Thereby reducing the change in the velocity profile of the moving gas flow. In another aspect, process chamber 1700 provides a gas distribution channel 1734 to reduce the rate of gas flow introduced therethrough. In another aspect, the process chamber 1700 provides a gas conduit at an angle a from the center of the gas distribution channel 1734. The process chamber 1700 provides other features to be described. Other embodiments of the chamber applied for atomic layer deposition are combined with one or more of these features.

일부 실시예에서, 공정 챔버(1700) 내의 가스 분산 채널(1734)은 표면에 걸쳐 더 많은 표면적을 형성하도록 거칠기 가공되거나 기계가공된 표면을 가질 수 있다. 거칠기 가공된 표면은 리드판(1770)의 하부면(1760) 및 리드 캡(1772)의 내부면(1790) 상에 원하지 않는 축적된 재료의 향상된 부착을 제공한다. 원하지 않는 필름은 보통 증착 공정을 수행하는 결과로서 형성되고 기판(1710)을 오염시키기 위해 하부면(1760) 및 내부면(1760)으로부터 필링하거나 플레이킹할 수 있다.
In some embodiments, the gas distribution channel 1734 in the process chamber 1700 may have a roughened or machined surface to create more surface area across the surface. The roughened surface provides improved adhesion of the undesired accumulated material on the lower surface 1760 of the lead plate 1770 and the inner surface 1790 of the lead cap 1772. Unwanted films are typically formed as a result of performing the deposition process and may be peeled or flaked from the bottom surface 1760 and the inner surface 1760 to contaminate the substrate 1710. [

또 다른 실시예에서, 다중 표면은 도 17d에 도시된 바와 같이, 리드판(1770)의 하부면(1760) 및 리드 캡(1772)의 내부면(1790 및 1792) 상의 영역(R1 내지 R10)에 걸친 거칠기 가공된 표면의 구배를 형성한다. 예를 들면, 리드 캡(1772)의 좁은 부분(1754)은 내부면(1790)을 포함하고 영역(R1 내지 R2)에 도시된다. 리드 캡(1772)의 팽창 부분(1756)은 내부면(1792)을 포함하고 영역(R3 내지 R8)에 도시된다. 또한, 리드판(1770)의 하부(1758)는 하부면(1760)을 포함하고 영역(R9 내지 R10)에 도시된다.
In another embodiment, the multiple surfaces may be divided into regions (R 1 to R 10 ) on the inner surfaces 1790 and 1792 of the lead cap 1772 and the lower surface 1760 of the lead plate 1770, 0.0 &gt; a &lt; / RTI &gt; roughened surface. For example, a narrow portion 1754 of lead cap 1772 includes an interior surface 1790 and is shown in regions R 1 to R 2 . The expanded portion 1756 of the lead cap 1772 includes an inner surface 1792 and is shown in the regions R 3 to R 8 . In addition, the lower part (1758) of the lead plate 1770 includes a lower surface 1760 is shown in a region (R 9 to R 10).

일부 실시예에서, 가스 분산 채널(1734)의 평균 표면 거칠기는 중심 축선(1733)을 따라 예를 들면, R1 내지 R10으로 증가될 수 있다. 또 다른 예에서, 가스 분산 채널(1734)의 평균 표면 거칠기는 기판 수용면(1711)을 향하여 중심 축선(1733)을 따라 연장하는 가스 인렛(1736a 및 1736b)으로부터 증가될 수 있다. 또 다른 예에서, 가스 분산 채널(1734)의 평균 표면 거칠기는 내부면(1790)으로부터 내부면(1792)로 그리고 추가로 하부면(1760)으로 증가될 수 있다. 또 다른 예에서, 가스 분산 채널(1734)의 평균 표면 거칠기는 상부(1737)로부터 하부(1735)로 증가될 수 있다.
In some embodiments, the average surface roughness of the gas distribution channel 1734 can be increased along the central axis 1733, for example, from R 1 to R 10 . In another example, the average surface roughness of the gas distribution channel 1734 may be increased from the gas inlets 1736a and 1736b extending along the central axis 1733 towards the substrate receiving surface 1711. [ In another example, the average surface roughness of the gas distribution channel 1734 can be increased from the inner surface 1790 to the inner surface 1792 and further to the lower surface 1760. In another example, the average surface roughness of the gas distribution channel 1734 may be increased from the upper portion 1737 to the lower portion 1735.

일 실시예에서, 리드 캡(1772)의 좁은 부분(1754)은 약 10 μin(약 0.254μm)내지 약 50 μin(약 1.27μm), 바람직하게는 약 20μin(약 0.508μm) 내지 약 45 μin(약 1.143μm), 및 더욱 바람직하게는 약 30μin(약 0.762μm) 내지 약 40μin(약 1.016μm)의 범위 내에서와 같은 적어도 약 10 μin(약 0.254μm)의 평균 거칠기(Ra)를 가지는 내부면(1790)을 포함한다. 리드 캡(1772)의 팽창 부분(1756)은 약 35 μin(약 0.89 μm)내지 약 70 μin(약 1.78μm), 바람직하게는 약 40μin(약 1.016μm) 내지 약 65 μin(약 1.65μm), 및 더욱 바람직하게는 약 45μin(약 1.143μm) 내지 약 60μin(약 1.52μm)의 범위 내에서와 같은 적어도 약 35 μin(약 0.89μm)의 평균 거칠기(Ra)를 가지는 내부면(1792)을 포함한다. 리드판(1770)의 하부(1758)은 약 35 μin(약 0.89μm)내지 약 70 μin(약 1.78μm), 바람직하게는 약 40μin(약 1.016μm) 내지 약 65 μin(약 1.65μm), 및 더욱 바람직하게는 약 45μin(약 1.143μm) 내지 약 60μin(약 1.52μm)의 범위 내에서와 같은 적어도 약 35 μin(약 0.89μm)의 평균 거칠기(Ra)를 가지는 하부면(1760)을 포함한다.
In one embodiment, the narrow portion 1754 of the lead cap 1772 is between about 10 μin (about 0.254 μm) and about 50 μin (about 1.27 μm), preferably about 20 μin (about 0.508 μm) (R a ) of at least about 10 μin (about 0.254 μm), such as within a range of about 10 μin (about 1.143 μm), and more preferably within a range of about 30 μin (about 0.762 μm) to about 40 μin And a surface 1790. The expanded portion 1756 of the lead cap 1772 may be about 35 μin (about 0.89 μm) to about 70 μin (about 1.78 μm), preferably about 40 μin (about 1.016 μm) to about 65 μin (about 1.65 μm) And more preferably an inner surface 1792 having an average roughness R a of at least about 35 mu in (about 0.89 mu m) such as in the range of about 45 mu in (about 1.143 mu m) to about 60 mu in (about 1.52 mu m) . The lower portion 1758 of the lead plate 1770 may have a width of about 35 μin (about 0.89 μm) to about 70 μin (about 1.78 μm), preferably about 40 μin (about 1.016 μm) to about 65 μin (R a ) of at least about 35 microns (about 0.89 microns), such as in the range of about 45 microns (about 1.143 microns) to about 60 microns (about 1.52 microns) do.

일 예에서, 리드 캡(1772)의 좁은 부분(1754)은 약 34μin와 같은 약 32μin 내지 약 36μin의 범위 내의 내부면(1790)의 Ra를 가지는 영역(R1), 및 약 38μin와 같은 약 34μin 내지 약 42μin의 범위 내의 내부면(1790)의 Ra를 가지는 영역(R2)를 포함한다. 리드 캡(1772)의 팽창 부분(1756)은 약 45μin와 같은 약 40μin 내지 약 50μin의 범위 내의 내부면(1792)의 Ra를 가지는 영역(R3), 약 51μin와 같은 약 44μin 내지 약 60μin의 범위 내의 내부면(1792)의 Ra를 가지는 영역(R4), 약 58μin와 같은 약 48μin 내지 약 68μin의 범위 내의 내부면(1792)의 Ra를 가지는 영역(R5), 약 55μin와 같은 약 46μin 내지 약 64μin의 범위 내의 내부면(1792)의 Ra를 가지는 영역(R6), 약 57μin와 같은 약 48μin 내지 약 68μin의 범위 내의 내부면(1792)의 Ra를 가지는 영역(R7), 및 약 57μin와 같은 약 48μin 내지 약 68μin의 범위 내의 내부면(1792)의 Ra를 가지는 영역(R8)를 포함한다. 또한, 리드판(1770)의 하부(1758)는 약 55μin와 같은 약 46μin 내지 약 64μin의 범위 내의 내부면(1792)의 Ra를 가지는 영역(R9), 및 약 55μin와 같은 약 46μin 내지 약 64μin의 범위 내의 내부면(1792)의 Ra를 가지는 영역(R10)을 포함한다.
In one example, the narrow portion 1754 of the lead cap 1772 includes a region R 1 having an R a of the inner surface 1790 in the range of about 32 μin to about 36 μin, such as about 34 μin, (R &lt; 2 &gt;) with an R a of the inner surface 1790 in the range of 34 [mu] in to about 42 [mu] in. The inflation portion 1756 of the lead cap 1772 may include a region R 3 having an R a of the inner surface 1792 in the range of about 40 μin to about 50 μin such as about 45 μin, about 44 μin to about 60 μin such as a region (R 4), having an R a of the inner surface in the range of about 48μin to about 68μin such as about 58μin (1792) area (R 5), about 55μin having an R a of the inner surface (1792) in the range about 46μin to having an R a of the inner surface (1792) in the range of about 64μin region (R 6), having an R a of the inner surface (1792) in the range of about 48μin to about 68μin such as about 57μin region (R 7 ), And a region R 8 having an R a of the inner surface 1792 in the range of about 48 μin to about 68 μin, such as about 57 μin. The lower portion 1758 of the lead plate 1770 also includes a region R 9 having an R a of the inner surface 1792 in the range of about 46 μin to about 64 μin such as about 55 μin and about 46 μin to about 55 μin, And a region R 10 having an R a of the inner surface 1792 in the range of 64 μin.

도 18a 내지 도 18h는 선택적인 실시예로서 설명되는 ALD 공정에 대해 적용되는 챔버 리드 캡의 개략도이다. 가스 전달 조립체(1800a, 1800c, 1800e, alc 1800g)는 ALD 공정을 실시하기 위해 유용하게 이용될 수 있으며 도 1 내지 도 8에 도시된 바와 같은 가스 전달 시스템(230, 830, 및 930)을 구비한 공정 챔버(200, 800, 및 900), 도 10a 내지 도 17d에 도시된 바와 같이 챔버 리드 조립체(1032, 1232, 및 1632) 및 공정 챔버(1100, 1500 및 1700)과 같이 본 명세서에서 설명되는 다른 실시예와 결합될 수 있다.
18A-18H are schematic diagrams of a chamber lead cap as applied to the ALD process described as an alternative embodiment. The gas delivery assemblies 1800a, 1800c, 1800e, alc 1800g may be usefully employed to perform the ALD process and include gas delivery systems 230, 830, and 930 as shown in FIGS. 1-8 The process chambers 200, 800, and 900 may be fabricated using any of the techniques described herein, such as chamber lid assemblies 1032, 1232, and 1632 and process chambers 1100, 1500, and 1700, as shown in FIGS. Can be combined with the embodiment.

도 18a 내지 도 18b는 일 실시예에서 설명되는 바와 같이, 가스 인렛(1862)과 결합되어 유체 소통되는 메인 가스 도관(1864)를 포함하는 가스 전달 조립체(1800a)가 도시된다. 가스 인렛(1862)은 가스 분산 채널(1828) 위에 축방향으로 위치되어, 증착 챔버의 공정 영역을 향하여 팽창한다. 메인 가스 도관(1864)은 90°각도(도 18a 내지 도 18b에 도시된 바와 같이) 또는 90°보다 크거나 작은 각도(도시안됨)로 가스 인렛과 연결될 수 있다. 가스 도관(1866a, 1866b, 및 1866c)은 메인 가스 도관(1864)에 결합되어 유체 소통된다. 가스 도관(1866a, 1866b, 및 1866c) 각각은 전구체 가스 소스, 공정 가스 소스, 캐리어 가스 소스, 또는 퍼지 가스 소스와 같은 하나 이상의 가스 소스로 연결될 수 있다. 가스 소스로부터 나오는 가스는 메인 가스 도관(1864)로 유입되기 전에 가스 도관(1866a, 1866b, 및 1866c)을 통하여 유동한다. 가스는 가스 도관(1866a, 1866b, 및 1866c)로부터 동시에 유동하는 경우, 지점(1830a)에서 합쳐질 수 있다. 후속적으로, 가스는 가스 인렛(1862)에 의해 가스 분산 채널(1828) 내로 유동한다.
18A-B illustrate a gas delivery assembly 1800a including a main gas conduit 1864 in fluid communication with a gas inlet 1862, as described in one embodiment. The gas inlet 1862 is axially positioned above the gas distribution channel 1828 and expands toward the process region of the deposition chamber. The main gas conduit 1864 can be connected to the gas inlet at a 90 ° angle (as shown in FIGS. 18A-18B) or as an angle (not shown) greater than or less than 90 °. The gas conduits 1866a, 1866b, and 1866c are coupled to the main gas conduit 1864 for fluid communication. Each of the gas conduits 1866a, 1866b, and 1866c may be connected to one or more gas sources, such as a precursor gas source, a process gas source, a carrier gas source, or a purge gas source. The gas from the gas source flows through the gas conduits 1866a, 1866b, and 1866c before entering the main gas conduit 1864. [ The gases may combine at point 1830a when flowing from gas conduits 1866a, 1866b, and 1866c at the same time. Subsequently, the gas flows into the gas distribution channel 1828 by the gas inlet 1862.

도 18c 내지 도 18d는 가스 전달 조립체(1800a)의 구성과 유사하게, 가스 전달 조립체(1800c)를 도시하지만, 또 다른 실시예에서 도시된 바와 같이 메인 가스 도관(1864)이 없다. 가스 전달 조립체(1800c)는 가스 분산 채널(1828) 위에 축방향으로 위치되는 가스 인렛(1862)을 포함하며, 증착 챔버의 공정 영역을 향하여 팽창한다. 가스 도관(1868a, 1868b, 및 1868c)은 가스 인렛(1862)에 결합되어 직접 유체 소통된다. 가스 인렛(1862)은 90°각도로(도 18b 내지 도 18c에 도시된 바와 같이) 또는 90°보다 크거나 작은 각도(도시안됨)로 가스 도관(1868a 및 1868b)과 연결될 수 있다. 각각의 가스 도관(1868a, 1868b, 및 1868c)은 전구체 가스 소스, 공정 가스 소스, 캐리어 가스 소스, 또는 퍼지 가스 소스와 같은, 하나 이상의 가스 소스로 연결될 수 있다. 가스는 가스 도관(1868a, 1868b, 및 1868c)으로부터 동시에 유동하는 경우, 가스 인렛(1862) 바로 위에서, 지점(1830c)에서 합쳐질 수 있다. 그 후, 가스는 가스 인렛(1862)에 의해 가스 분산 채널(1828) 내로 유동한다.
18c-18d illustrate a gas delivery assembly 1800c, similar to the configuration of the gas delivery assembly 1800a, but without the main gas conduit 1864 as shown in yet another embodiment. The gas delivery assembly 1800c includes a gas inlet 1862 positioned axially over the gas distribution channel 1828 and expands toward the process region of the deposition chamber. The gas conduits 1868a, 1868b, and 1868c are coupled to the gas inlet 1862 for direct fluid communication. The gas inlet 1862 may be connected to the gas conduits 1868a and 1868b at an angle of 90 ° (as shown in Figures 18b-18c) or as an angle of not more than 90 ° (not shown). Each gas conduit 1868a, 1868b, and 1868c may be connected to one or more gas sources, such as a precursor gas source, a process gas source, a carrier gas source, or a purge gas source. The gas may be combined at point 1830c just above the gas inlet 1862 when flowing from the gas conduits 1868a, 1868b, and 1868c at the same time. The gas then flows into the gas distribution channel 1828 by the gas inlet 1862.

도 18e 내지 도 18f는 가스 전달 조립체(1800c)의 구성과 유사하지만 또 다른 실시예에서 설명된 바와 같이, 가스 도관이 없는 가스 전달 조립체(1800e)가 도시된다. 가스 전달 조립체(1800e)는 가스 분산 채널(1828) 위에 축방향으로 위치하는 가스 인렛(1862)을 포함하며, 증착 챔저의 공정 영역을 향하여 팽창한다. 가스 도관(1870a 및 1870b)은 가스 인렛(1862)에 결합되어 직접 유체 소통된다. 일 실시예에서, 가스 인렛(1862)은 약 10°내지 약 85°, 바람직하게는 약 20° 내지 약 75°, 및 더욱 바람직하게는 약 30°내지 약 60°의 범위 내, 예를 들면 약 45°와 같은, 가스 분산 채널(1828)의 중심 축선으로부터 측정된, 90°보다 작은 각도로 가스 도관(1870a 및 1870b)로 연결된다. 가스 도관(1870a 및 1870b) 각각은 전구체 가스 소스, 공정 가스 소스, 캐리어 가스 소스, 또는 퍼지 가스 소스와 같은 하나 이상의 가스 소스로 연결될 수 있다. 가스는, 가스 도관(1870a 및 1870b)으로부터 동시에 유동하는 경우, 가스 인렛(1862) 바로 위, 지점(1830e)에서 합쳐 질 수 있으며, 이어서 가스 분산 채널(1828) 내로 유동한다.
18E-F are similar to the configuration of the gas delivery assembly 1800c, but as illustrated in yet another embodiment, a gas conduit-free gas delivery assembly 1800e is shown. Gas delivery assembly 1800e includes gas inlet 1862 axially positioned above gas distribution channel 1828 and expands toward the process region of the deposition chamber. The gas conduits 1870a and 1870b are coupled to the gas inlet 1862 for direct fluid communication. In one embodiment, the gas inlet 1862 is positioned within the range of about 10 ° to about 85 °, preferably about 20 ° to about 75 °, and more preferably about 30 ° to about 60 °, Is connected to the gas conduits 1870a and 1870b at an angle less than 90 degrees, measured from the center axis of the gas distribution channel 1828, such as 45 占. Each of the gas conduits 1870a and 1870b may be connected to one or more gas sources, such as a precursor gas source, a process gas source, a carrier gas source, or a purge gas source. The gas can merge at the point 1830e just above the gas inlet 1862 and then into the gas distribution channel 1828 when flowing from the gas conduits 1870a and 1870b simultaneously.

도 18g 내지 도 18h는 또 다른 실시예에서 설명되는 바와 같이, 가스 전달 조립체(1800g)를 도시한다. 가스 전달 조립체(1800g)는 가스 분산 채널(1828) 위에 축방향으로 위치되는 가스 인렛(1862)을 포함하며, 증착 챔버의 공정 영역을 향하여 팽창한다. 가스 도관(1872a 및 1872b)은 가스 인렛(1862)에 결합되어 직접 유체 소통된다. 일 실시예에서, 가스 인렛(1862)은 가스 분산 채널(1828)의 중심 축선으로부터 측정된, 약 90°의 각도로 가스 도관(1872a 및 1872b)으로 연결된다(도 18g 내지 도 18h에 도시된 바와 같이). 이와 달리, 도관(1872a 및 1872b)은 90°보다 크거나 작은 각도로 가스 인렛(1862)과 연결될 수 있다(도시안됨). 배플(1880a 및 1880b)은 도관(1872a 및 1872b)의 기상 유동 경로 내에 위치설정될 수 있고 서로를 향하여 및/또는 상방으로 가스가 지향될 수 있다. 각각의 가스 도관(1872a 및 1872b)은 전구체 가스 소스, 공정 가스 소스, 캐리어 가스 소스, 또는 퍼지 가스 소스와 같은, 하나 이상의 가스 소스로 연결될 수 있다. 가스는, 가스 도관(1872a 및 1872b)으로부터 동시에 유동하는 경우 가스 인렛(1862) 및 배플(1880a 및 1880b) 바로 위, 지점(1830g)에서 합쳐질 수 있다. 후속적으로, 공정 가스는 가스 분산 채널(1828) 내로 유동한다.
18g-18h illustrate a gas delivery assembly 1800g, as described in yet another embodiment. The gas delivery assembly 1800g includes a gas inlet 1862 that is axially positioned above the gas distribution channel 1828 and expands toward the process region of the deposition chamber. The gas conduits 1872a and 1872b are coupled to the gas inlet 1862 for direct fluid communication. In one embodiment, the gas inlet 1862 is connected to the gas conduits 1872a and 1872b at an angle of about 90 degrees, measured from the central axis of the gas distribution channel 1828 (as shown in Figures 18g to 18h) together). Conversely, conduits 1872a and 1872b may be connected with gas inlet 1862 at an angle greater than or less than 90 degrees (not shown). Baffles 1880a and 1880b may be positioned within the gas flow path of conduits 1872a and 1872b and the gas may be directed towards and / or upward with respect to each other. Each gas conduit 1872a and 1872b may be connected to one or more gas sources, such as a precursor gas source, a process gas source, a carrier gas source, or a purge gas source. Gas may be combined at point 1830g just above gas inlet 1862 and baffles 1880a and 1880b when flowing from gas conduits 1872a and 1872b simultaneously. Subsequently, the process gas flows into the gas distribution channel 1828.

본 명세서에서 이용된 바와 같은 " 원자 층 증착(ALD) ", " 주기적 증착 ", 또는 " 주기적 층 증착 "은 기판 표면 상의 재료의 층을 증착하기 위하여 두 개 또는 그 보다 많은 반응성 화합물의 순차적인 도입을 지칭한다. 두 개, 세 개 또는 그 보다 많은 반응성 화합물은 선택적으로 공정 챔버의 반응 존 또는 공정 영역 내로 도입될 수 있다. 반응성 화합물은 가스, 플라즈마, 증기, 유체 또는 증착 공정에 유용한 다른 상태의 물질일 수 있다. 통상적으로, 각각의 반응성 화합물은 각각의 화합물이 기판 표면 상에 부착 및/또는 반응하도록 시간 지연에 의해 분리된다. 하나의 양태에서, 제 1 전구체 또는 화합물(A)은 반응 존 내로 펄싱되고 제 1 시간 지연이 후속된다. 다음으로, 제 2 전구체 또는 화합물(B)은 반응 존 내로 펄싱되고 제 2 지연이 후속된다. 화합물(A) 및 화합물(B)은 증착된 재료를 형성하도록 반응한다. 각각의 시간 지연 동안 퍼지 가스는 공정 챔버 내로 도입되어 반응 존을 퍼징하거나 그렇지 않으면 반응 존으로부터 잔류 반응성 화합물 또는 부산물을 제거한다. 이와 달리, 퍼지 가스는 증착 공정을 통하여 연속적으로 유동할 수 있어 단지 퍼지 가스가 반응 화합물의 펄스들 사이의 지연 시간 동안 유동하도록 한다. 증착된 재료는 기판 표면 상에 형성된다. 또한, 화합물(A) 펄싱, 퍼지 가스, 화합물(B) 펄싱, 및 퍼지 가스의 ALD 공정은 사이클이다. 사이클은 화합물(A) 또는 화합물(B) 어느 하나로 시작될 수 있고 필름이 원하는 두께가 될 때까지 사이클의 각각의 순서를 계속한다. 선택적인 일 실시예에서, 화합물(A)을 포함하는 제 1 전구체, 화합물(B)을 포함하는 제 2 전구체 및 화합물(C)을 포함하는 제 3 전구체는 각각 공정 챔버 내로 개별적으로 펄싱된다. 이와 달리, 제 1 전구체의 펄스는 제 2 전구체의 펄스와 시간이 중복될 수 있으며 제 3 전구체의 펄스는 제 1 및 제 2 저구체의 어느 펄스와 시간이 중복되지 않는다. 본 명세서에서 이용되는 " 공정 가스 "는 단일 가스, 다중 가스, 플라즈마를 포함하는 가스, 가스 및/또는 플라즈마의 조합물을 지칭한다. 공정 가스는 증착 공정을 위해 하나 이상의 반응성 화합물을 포함할 수 있다. 반응성 화합물은 가스, 플라즈마, 증기, 유체의 상태 또는 증착 공정에 유용한 다른 물질 상태일 수 있다. 또한, 공정은 퍼지 가스 또는 캐리어 가스를 포함할 수 있고, 반응성 화합물을 포함하지 않을 수 있다.
As used herein, "atomic layer deposition (ALD)", "periodic deposition", or "periodic layer deposition" refers to sequential introduction of two or more reactive compounds to deposit a layer of material on a substrate surface Quot; Two, three, or more reactive compounds may optionally be introduced into the reaction zone or process zone of the process chamber. The reactive compound may be a gas, plasma, vapor, fluid, or other state of the material useful for the deposition process. Typically, each reactive compound is separated by a time delay so that each compound adheres and / or reacts on the substrate surface. In one embodiment, the first precursor or compound (A) is pulsed into the reaction zone and followed by a first time delay. Next, the second precursor or compound (B) is pulsed into the reaction zone and followed by a second delay. Compound (A) and compound (B) react to form a deposited material. During each time delay, purge gas is introduced into the process chamber to purge the reaction zone or otherwise remove residual reactive compounds or by-products from the reaction zone. Alternatively, the purge gas can flow continuously through the deposition process, allowing only the purge gas to flow during the delay time between the pulses of the reactive compound. The deposited material is formed on the substrate surface. Also, ALD processes of compound (A) pulsing, purge gas, compound (B) pulsing, and purge gas are cycles. The cycle can begin with either compound (A) or compound (B) and continues in each order of the cycle until the film is the desired thickness. In an alternate embodiment, a first precursor comprising compound (A), a second precursor comprising compound (B), and a third precursor comprising compound (C) are individually pulsed into the process chamber. Alternatively, the pulse of the first precursor may overlap with the pulse of the second precursor and the pulse of the third precursor does not overlap the time of either of the pulses of the first and second lower spheres. As used herein, "process gas" refers to a combination of a single gas, multiple gases, gases, gases, and / or plasmas. The process gas may comprise one or more reactive compounds for the deposition process. The reactive compound may be in the form of a gas, plasma, vapor, fluid, or other material useful for the deposition process. Further, the process may include purge gas or carrier gas, and may not contain a reactive compound.

본 명세서에서 이용된 " 기판 " 또는 " 기판 표면 "은 필름 처리가 수행되는 기판에 형성되는 재료 표면 또는 소정의 기판을 지칭한다. 예를 들면, 처리가 수행될 수 있는 기판 표면은 분야에 따라, 실리콘, 실리콘 산화물, 집적된 실리콘(strained silicon), 실리콘 온 인슐레이터(silicon on insulator; SOI), 탄소 도핑 실리콘 산화물, 실리콘 질화물, 도핑 실리콘, 게르마늄, 비화 갈륨, 유리, 사파이어, 석영, 및 금속, 금속 질화물, 금속 합금과 같은 소정의 다른 재료, 및 다른 전도성 재료와 같은 재료를 포함할 수 있다. 기판 표면 상의 배리어 층, 금속 또는 금속 질화물은 티타늄, 티타늄 질화물, 티타늄 실리사이드 질화물, 텅스텐, 텅스텐 질화물, 텅스텐 실리사이드 질화물, 탄탈, 탄탈 질화물, 또는 탄탈 실리사이드 질화물을 포함할 수 있다. 기판은 200 mm 또는 300 mm 직경 웨이퍼, 뿐만 아니라 직사각형 또는 정사각형 페인(pane)과 같은 다양한 치수를 가질 수 있다. 기판은 반도체 기판, 디스플레이 기판(예를 들면, LCD), 솔라 패널 기판, 및 다른 타입의 기판을 포함한다. 다르게 표시되지 않으면, 본 명세서의 실시예들 및 예들은 바람직하게는 200 mm 직경 또는 300 mm 직경을 가진 기판 상에 실행되며, 더욱 바람직하게는 300 mm 직경을 가진 기판 상에 실행된다. 본 발명에 유용할 수 있는 실시예 상의 기판은 크리스탈 실리콘(예를 들면, Si <100> 또는 Si<111>), 실리콘 산화물, 유리, 석영, 접적된 실리콘, 실리콘 게르마늄, 도핑 또는 언도핑 폴리 실리콘, 도핑 또는 언도핑 실리콘 웨이퍼 및 패턴형 또는 언패턴형 웨이퍼를 포함하지만, 이에 제한되는 것은 아니다. 기판은 기판 표면을 연마, 에칭, 감소화, 산화, 수산화, 어닐링 및/또는 베이킹하기 위해 예비 처리 공정을 받을 수 있다.
As used herein, the term " substrate "or" substrate surface "refers to a material surface or substrate formed on a substrate upon which film processing is performed. For example, the surface of the substrate on which processing may be performed may be fabricated from silicon, silicon oxide, strained silicon, silicon on insulator (SOI), carbon doped silicon oxide, silicon nitride, Such as silicon, germanium, gallium arsenide, glass, sapphire, quartz, and any other materials such as metals, metal nitrides, metal alloys, and other conductive materials. The barrier layer, metal or metal nitride on the substrate surface may comprise titanium, titanium nitride, titanium silicide nitride, tungsten, tungsten nitride, tungsten silicide nitride, tantalum, tantalum nitride, or tantalum silicide nitride. The substrate may have various dimensions such as 200 mm or 300 mm diameter wafers, as well as rectangular or square panes. The substrate includes a semiconductor substrate, a display substrate (e.g., LCD), a solar panel substrate, and other types of substrates. Unless otherwise indicated, the examples and examples herein are preferably run on a substrate having a diameter of 200 mm or 300 mm, more preferably a substrate having a diameter of 300 mm. Substrates for embodiments that may be useful in the present invention include, but are not limited to, crystalline silicon (e.g., Si <100> or Si <111>), silicon oxide, glass, quartz, bonded silicon, silicon germanium, doped or undoped polysilicon , Doped or undoped silicon wafers, and patterned or un-patterned wafers. The substrate may be subjected to a pretreatment process to polish, etch, reduce, oxidize, hydroxyl, anneal and / or bake the substrate surface.

전술된 것은 본 발명의 바람직한 실시예에 관한 것이지만, 본 발명의 다른 및 추가의 실시예는 본 발명의 기본 범위로부터 이탈하지 않고 발명될 수 있으며, 본 발명의 범위는 후술되는 청구범위에 의해 결정된다.While the foregoing is directed to preferred embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow .

Claims (24)

반도체 처리 챔버용 인젝터로서,
중앙 가스 분산 채널의 일 부분을 한정하는 베이스;
상기 베이스 내에 형성되고 상기 중앙 가스 분산 채널 주위에 위치되는 복수의 슬롯;
상기 베이스 내에 형성되고 상기 복수의 슬롯에 인접한 제 1 가스 고리형상부(annulet)에 결합되는 제 1 가스 통로;
상기 베이스 내에 형성되고 상기 복수의 슬롯에 인접한 상기 제 1 가스 고리형상부 아래 위치되는 제 2 가스 고리형상부에 결합되는 제 2 가스 통로를 포함하는,
반도체 처리 챔버용 인젝터.
1. An injector for a semiconductor processing chamber,
A base defining a portion of the central gas distribution channel;
A plurality of slots formed in the base and positioned about the central gas distribution channel;
A first gas passage formed in the base and coupled to a first gas annulet adjacent the plurality of slots;
And a second gas passage formed in the base and coupled to a second gas annulus located beneath the first gas annulus adjacent the plurality of slots.
Injector for semiconductor processing chamber.
제 1 항에 있어서,
상기 복수의 슬롯은 상기 중앙 가스 분산 채널의 중심 축선에 대해 일정한 각도로 위치되는,
반도체 처리 챔버용 인젝터.
The method according to claim 1,
Wherein the plurality of slots are positioned at an angle to the center axis of the central gas distribution channel,
Injector for semiconductor processing chamber.
제 2 항에 있어서,
상기 복수의 슬롯은 상기 중앙 가스 분산 채널에 대해 접하는 각도로 위치되는,
반도체 처리 챔버용 인젝터.
3. The method of claim 2,
Said plurality of slots being located at an angle tangent to said central gas distribution channel,
Injector for semiconductor processing chamber.
제 3 항에 있어서,
상기 각도는 0도 내지 90도인,
반도체 처리 챔버용 인젝터.
The method of claim 3,
Wherein the angle is between 0 and 90 degrees,
Injector for semiconductor processing chamber.
제 3 항에 있어서,
상기 각도는 0도 내지 45도인,
반도체 처리 챔버용 인젝터.
The method of claim 3,
Wherein said angle is between 0 and 45 degrees,
Injector for semiconductor processing chamber.
제 3 항에 있어서,
상기 각도는 0도 내지 20도인,
반도체 처리 챔버용 인젝터.
The method of claim 3,
Wherein the angle is between 0 and 20 degrees,
Injector for semiconductor processing chamber.
제 1 항에 있어서,
상기 슬롯은 상기 중앙 가스 분산 채널 내에 원형 유동 경로를 형성하도록 상기 제 1 가스 고리형상부 및 제 2 가스 고리형상부 각각으로부터의 가스 유동을 재배향시키는(redirect),
반도체 처리 챔버용 인젝터.
The method according to claim 1,
The slot redirecting the gas flow from each of the first gas annulus and the second gas annulus to form a circular flow path in the central gas dispersion channel,
Injector for semiconductor processing chamber.
제 7 항에 있어서,
상기 원형 유동 경로는 보텍스(vortex), 헬릭스(helix), 스파이럴(spiral), 트월(twirl), 트위스트(twist), 코일(coil), 월풀(whirlpool), 및 이들의 유도형으로 이루어지는 그룹으로부터 선택된 유동 패턴을 포함하는,
반도체 처리 챔버용 인젝터.
8. The method of claim 7,
Wherein the circular flow path is selected from the group consisting of vortex, helix, spiral, twirl, twist, coil, whirlpool, Comprising a flow pattern,
Injector for semiconductor processing chamber.
반도체 처리 챔버용 인젝터로서,
중앙 가스 분산 채널의 일 부분을 한정하는 베이스;
상기 베이스 내에 형성되고 상기 중앙 가스 분산 채널을 둘러싸는 제 1 가스 고리형상부;
상기 베이스 내에서 상기 제 1 가스 고리형상부 아래 형성되고 상기 중앙 가스 분산 채널을 둘러싸는 제 2 가스 고리형상부;
상기 베이스 내에 형성되고 상기 제 1 가스 고리형상부와 상기 제 2 가스 고리형상부 사이에 위치되고, 상기 중앙 가스 분산 채널을 둘러싸는, 복수의 슬롯;
상기 베이스 내에 형성되고 상기 제 1 가스 고리형상부에 결합되는 제 1 가스 통로; 및
상기 베이스 내에 형성되고 상기 제 2 가스 고리형상부에 결합되는 제 2 가스 통로로서, 상기 제 1 가스 고리형상부 및 상기 제 2 가스 고리형상부 둘다로부터의 가스가 상기 중앙 가스 분산 채널 내에 원형 유동 경로를 형성하도록 상기 복수의 슬롯을 통하여 유동하는, 제 2 가스 통로를 포함하는,
반도체 처리 챔버용 인젝터.
1. An injector for a semiconductor processing chamber,
A base defining a portion of the central gas distribution channel;
A first gas annulus formed in the base and surrounding the central gas dispersion channel;
A second gas annulus formed in the base below the first gas annulus and surrounding the central gas dispersion channel;
A plurality of slots formed in the base and positioned between the first gas annulus and the second gas annulus and surrounding the central gas dispersion channel;
A first gas passage formed in the base and coupled to the first gas annulus; And
A second gas passage formed in the base and coupled to the second gas annulus, wherein gas from both the first gas annulus and the second gas annulus forms a circular flow path Said second gas passageway flowing through said plurality of slots to form said first gas passageway.
Injector for semiconductor processing chamber.
제 9 항에 있어서,
상기 원형 유동 경로는 보텍스, 헬릭스, 스파이럴, 트월, 트위스트, 코일, 월풀, 및 이들의 유도형으로 이루어지는 그룹으로부터 선택된 유동 패턴을 포함하는,
반도체 처리 챔버용 인젝터.
10. The method of claim 9,
Wherein the circular flow path comprises a flow pattern selected from the group consisting of vortex, helix, spiral, twirl, twist, coil, whirlpool,
Injector for semiconductor processing chamber.
제 9 항에 있어서,
상기 복수의 슬롯은 상기 중앙 가스 분산 채널의 중심 축선에 대해 일정한 각도로 위치되는,
반도체 처리 챔버용 인젝터.
10. The method of claim 9,
Wherein the plurality of slots are positioned at an angle to the center axis of the central gas distribution channel,
Injector for semiconductor processing chamber.
제 11 항에 있어서,
상기 복수의 슬롯은 상기 중앙 가스 분산 채널에 대해 접하는 각도로 위치되는,
반도체 처리 챔버용 인젝터.
12. The method of claim 11,
Said plurality of slots being located at an angle tangent to said central gas distribution channel,
Injector for semiconductor processing chamber.
제 12 항에 있어서,
상기 각도는 0도 내지 90도인,
반도체 처리 챔버용 인젝터.
13. The method of claim 12,
Wherein the angle is between 0 and 90 degrees,
Injector for semiconductor processing chamber.
제 12 항에 있어서,
상기 각도는 0도 내지 45도인,
반도체 처리 챔버용 인젝터.
13. The method of claim 12,
Wherein said angle is between 0 and 45 degrees,
Injector for semiconductor processing chamber.
제 12 항에 있어서,
상기 각도는 0도 내지 20도인,
반도체 처리 챔버용 인젝터.
13. The method of claim 12,
Wherein the angle is between 0 and 20 degrees,
Injector for semiconductor processing chamber.
삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete
KR1020127006822A 2006-10-24 2007-10-24 Vortex chamber lids for atomic layer deposition KR101432257B1 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US86276406P 2006-10-24 2006-10-24
US60/862,764 2006-10-24
PCT/US2007/082369 WO2008052047A2 (en) 2006-10-24 2007-10-24 Vortex chamber lids for atomic layer deposition

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020097010664A Division KR20090083404A (en) 2006-10-24 2007-10-24 Vortex chamber lids for atomic layer deposition

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020137035044A Division KR101448447B1 (en) 2006-10-24 2007-10-24 Vortex chamber lids for atomic layer deposition

Publications (2)

Publication Number Publication Date
KR20120048685A KR20120048685A (en) 2012-05-15
KR101432257B1 true KR101432257B1 (en) 2014-08-21

Family

ID=39325378

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020137035044A KR101448447B1 (en) 2006-10-24 2007-10-24 Vortex chamber lids for atomic layer deposition
KR1020097010664A KR20090083404A (en) 2006-10-24 2007-10-24 Vortex chamber lids for atomic layer deposition
KR1020127006822A KR101432257B1 (en) 2006-10-24 2007-10-24 Vortex chamber lids for atomic layer deposition

Family Applications Before (2)

Application Number Title Priority Date Filing Date
KR1020137035044A KR101448447B1 (en) 2006-10-24 2007-10-24 Vortex chamber lids for atomic layer deposition
KR1020097010664A KR20090083404A (en) 2006-10-24 2007-10-24 Vortex chamber lids for atomic layer deposition

Country Status (4)

Country Link
KR (3) KR101448447B1 (en)
CN (4) CN102586760B (en)
TW (2) TWI476297B (en)
WO (1) WO2008052047A2 (en)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SG10201501824XA (en) * 2010-03-12 2015-05-28 Applied Materials Inc Atomic layer deposition chamber with multi inject
US9322097B2 (en) * 2013-03-13 2016-04-26 Applied Materials, Inc. EPI base ring
US9252392B2 (en) 2013-03-14 2016-02-02 Applied Materials, Inc. Thin film encapsulation-thin ultra high barrier layer for OLED application
US9890456B2 (en) * 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9951421B2 (en) * 2014-12-10 2018-04-24 Lam Research Corporation Inlet for effective mixing and purging
JP6487747B2 (en) * 2015-03-26 2019-03-20 株式会社Screenホールディングス Substrate processing apparatus and processing gas supply nozzle
FI128855B (en) * 2019-09-24 2021-01-29 Picosun Oy Fluid distributing device for a thin-film deposition apparatus, related apparatus and methods

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010039711A (en) * 1999-07-09 2001-05-15 조셉 제이. 스위니 Apparatus for distributing gases in a chemical vapor deposition system
US6306216B1 (en) * 1999-07-15 2001-10-23 Moohan Co., Ltd. Apparatus for deposition of thin films on wafers through atomic layer epitaxial process
KR20050033573A (en) * 2000-01-20 2005-04-12 어플라이드 머티어리얼스, 인코포레이티드 Apparatus and method for dispensing gas

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AUPO129096A0 (en) * 1996-07-26 1996-08-22 Boc Gases Australia Limited Oxygen dissolver for pipelines or pipe outlets
US5951771A (en) * 1996-09-30 1999-09-14 Celestech, Inc. Plasma jet system
US6213049B1 (en) * 1997-06-26 2001-04-10 General Electric Company Nozzle-injector for arc plasma deposition apparatus
NO309625B1 (en) * 1997-10-10 2001-02-26 V Telemark Bedriftsraa Waskaas Method for reducing current resistance in pipe and duct current
US6818250B2 (en) * 2000-06-29 2004-11-16 The Regents Of The University Of Colorado Method for forming SIO2 by chemical vapor deposition at room temperature
US6734020B2 (en) * 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6632325B2 (en) * 2002-02-07 2003-10-14 Applied Materials, Inc. Article for use in a semiconductor processing chamber and method of fabricating same
CN102154628B (en) * 2004-08-02 2014-05-07 维高仪器股份有限公司 Multi-gas distribution injector for chemical vapor deposition reactors
US7722719B2 (en) * 2005-03-07 2010-05-25 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010039711A (en) * 1999-07-09 2001-05-15 조셉 제이. 스위니 Apparatus for distributing gases in a chemical vapor deposition system
US6306216B1 (en) * 1999-07-15 2001-10-23 Moohan Co., Ltd. Apparatus for deposition of thin films on wafers through atomic layer epitaxial process
KR20050033573A (en) * 2000-01-20 2005-04-12 어플라이드 머티어리얼스, 인코포레이티드 Apparatus and method for dispensing gas

Also Published As

Publication number Publication date
CN102586760B (en) 2016-07-06
TWI476297B (en) 2015-03-11
WO2008052047A2 (en) 2008-05-02
CN101528973B (en) 2012-04-25
WO2008052047A3 (en) 2008-12-11
CN102586761A (en) 2012-07-18
TW201241228A (en) 2012-10-16
CN102586760A (en) 2012-07-18
KR20120048685A (en) 2012-05-15
KR101448447B1 (en) 2014-10-13
CN104073778A (en) 2014-10-01
CN104073778B (en) 2017-08-25
CN102586761B (en) 2014-10-15
TWI410518B (en) 2013-10-01
KR20090083404A (en) 2009-08-03
KR20140009593A (en) 2014-01-22
CN101528973A (en) 2009-09-09
TW200833867A (en) 2008-08-16

Similar Documents

Publication Publication Date Title
US7780789B2 (en) Vortex chamber lids for atomic layer deposition
US20080102208A1 (en) Vortex chamber lids for atomic layer deposition
US20080102203A1 (en) Vortex chamber lids for atomic layer deposition
JP4925558B2 (en) Hybrid chemical processing equipment
US8668776B2 (en) Gas delivery apparatus and method for atomic layer deposition
EP1444380B1 (en) Gas delivery apparatus for atomic layer deposition
US7699023B2 (en) Gas delivery apparatus for atomic layer deposition
US9175394B2 (en) Atomic layer deposition chamber with multi inject
JP5965955B2 (en) Atomic layer deposition equipment
KR101019293B1 (en) Apparatus and process for plasma-enhanced atomic layer deposition
KR101432257B1 (en) Vortex chamber lids for atomic layer deposition
KR100956189B1 (en) Gas delivery apparatus for atomic layer deposition

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
A107 Divisional application of patent
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20170629

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20180801

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20190801

Year of fee payment: 6