KR101426054B1 - 플라즈마 발생 장치 - Google Patents

플라즈마 발생 장치 Download PDF

Info

Publication number
KR101426054B1
KR101426054B1 KR1020097012747A KR20097012747A KR101426054B1 KR 101426054 B1 KR101426054 B1 KR 101426054B1 KR 1020097012747 A KR1020097012747 A KR 1020097012747A KR 20097012747 A KR20097012747 A KR 20097012747A KR 101426054 B1 KR101426054 B1 KR 101426054B1
Authority
KR
South Korea
Prior art keywords
vessel
gas
flow
sidewalls
dome
Prior art date
Application number
KR1020097012747A
Other languages
English (en)
Other versions
KR20090094290A (ko
Inventor
제임스 에이. 페어
빈센트 디콕스
아니르반 구하
데이빗 청
죤 켈러
피터 야구치
Original Assignee
노벨러스 시스템즈, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 노벨러스 시스템즈, 인코포레이티드 filed Critical 노벨러스 시스템즈, 인코포레이티드
Publication of KR20090094290A publication Critical patent/KR20090094290A/ko
Application granted granted Critical
Publication of KR101426054B1 publication Critical patent/KR101426054B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32467Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32633Baffles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32651Shields, e.g. dark space shields, Faraday shields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/335Cleaning

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Plasma Technology (AREA)

Abstract

작업편(200)을 에싱하기 위한 플라즈마 발생 장치(100)의 실시예가 제공된다. 상기 장치는 용기를 통해 가스(300) 유동을 입구 단부(101)로부터 출구 단부(102)로 연속 유동시키도록 구성된 용기(125)를 포함한다. 상기 용기(125)는 유전 물질을 포함하며 또한 상기 용기를 통해 유동하는 가스의 적어도 한 성분의 일부를 이온화시키도록 구성된다. 상기 용기(125) 내의 영역(130)으로 가스를 직접 유동시키도록 구성된 유동 가스 분배기(114)와, 상기 용기(125)의 측벽들(124)의 적어도 일부를 둘러싸는 코일(140)로서, 상기 측벽들의 일부는 상기 유동 가스 분배기(114)가 가스를 직접 유동시키는 상기 용기(125)의 영역(130)에 인접하는 코일이 제공된다. 고주파 발생기(162)가 상기 코일(140)에 결속된다.
작업편, 에싱, 플라즈마, 용기, 유동 가스 분배기, 코일, 고주파 발생기

Description

플라즈마 발생 장치{Plasma generator apparatus}
본 발명은 일반적으로 반도체 디바이스의 제조에 사용되는 장치에 관한 것이며, 특히 본 기술은 에싱(ashing) 및 표면 처리 절차에서 사용되는 플라즈마를 발생시키기 위한 플라즈마 발생 장치에 관한 것이다.
반도체 제조에 있어서, 플라즈마 에싱은 에칭된 웨이퍼로부터 포토레지스트를 제거하기 위한 공정이다. 이와 같은 환경에서 플라즈마는 이온화된 가스 형태를 갖는다. 또한 플라즈마 발생기로 불리우는 가스 이온화 장치는 에싱 공정에서 요구되는 산소 또는 다른 가스의 1가 반응 활성종(monatomic reactive species)을 생성한다. O2보다는 0와 같은 1가 또는 단일 원자 형태의 산소는 가장 일반적인 반응 활성종이다. 상기 반응 활성종은 작업편으로부터 진공 펌프로 제거되는 에시를 형성하기 위해 포토레지스트와 결합한다.
일반적으로, 1가 산소 플라즈마는 RF 방출과 같은 에너지원에 산소 가스(O2)를 노출시킴으로써 생성된다. 동시에, 상기 웨이퍼를 잠재적으로 손상시킬 수 있는 많은 충전된 종들(species), 즉 이온 및 전자들이 형성된다. 보다 신종의 작은 회로 소자는 충전된 입자들에 의한 손상에 크게 영향을 받는다. 원래, 플라즈마는 처리 챔버에서 발생되나, 충전된 입자들을 회피할 필요성이 증가함에 따라, 현재 일부 기계들은 하류 플라즈마 구성을 사용하며, 이 경우 플라즈마는 원위 형성되고 또한 웨이퍼로 전달된다. 이렇게 함으로써, 웨이퍼 표면으로의 손상이 감소된다.
1가 산소는 전기적으로 중성이며, 비록 채널링(channeling) 동안 재결합될지라도, 이는 서로 끌어당기는 양전하 입자들 또는 음전하 입자들보다 낮은 속도에서 수행된다. 효과적으로, 이는, 실제로 모든 충전된 입자들이 중성화될 때, 반응 활성 중성종이 잔류하며 또한 에싱 공정에 이용될 수 있다는 사실을 의미한다.
현재 플라즈마 발생 장치들은 에싱 정치 동안 다양한 도전에 직면한다. 일반적으로, 플라즈마는 석영 또는 알루미늄/사파이어 튜브와 같은 유전체 튜브 둘레를 감싸는 코일, 종종 구리를 사용하여 발생된다. 상기 코일은 적당한 RF 발생기로부터 고주파(RF) 전압으로 에너지화된다. 플라즈마 형성은 전계를 석영을 통해 상기 석영 튜브 내부의 희박 가스에 용량적으로 결합함으로써 개시된다. 코일을 통한 전류와 동력 레벨이 증가함에 따라, 상기 플라즈마는 용량적으로 결합된 모드로부터 유도적으로 결합된 모드로 절환된다. 중요한 전압은 상기 코일상에 존재한다. 성분들이 차단되는 것을 방지하고 또한 다른 성분들에 손상을 발생시키는 아크를 방지하기 위해, 높은 전압 성분들을 절연시키기 위한 노력에 있어서 어려움이 발생한다. 또한, 높은 전압은 상기 석영을 가로지르는 높은 전계를 발생시키고, 또한 상기 석영 튜브 내부상의 스퍼터링 및 중요한 이온 충격을 발생시킬 수 있으며, 따라서 그의 수명을 감소시키고 또한 그의 유지보수에 대한 필요성을 증가시킨다. 상기 이온 충격 에너지의 감소는 도움이 될 수 있다.
또한, 도 1의 개략 단면도에서 설명하고 있는 바와 같이, 에싱을 위한 종래 기술의 플라즈마 소스(10)는 석영 원통형 용기(15)에서 처리될 작업편들(20)보다 작은 직경의 플라즈마 발생 영역(12)을 갖는다. 따라서, 플라즈마는 RF 유도 코일(14)에 의해 둘러싸이는 약 76 mm 직경의 석영 실린더(15)의 소직경 플라즈마 발생 영역(12)으로부터 약 300 mm 직경의 작업편 직경에 근접하는 직경의 대직경 분배 영역(16)으로 유동한다. 상기 분배 영역(16)에서, 플라즈마 발생기 폐기물에 있는 소정의 제품인 산소 원자(O)는 작업편(20)의 표면에 O 원자들의 플럭스를 제어하기 위한 시도에서 상기 발생 영역(12)보다 큰 횡단면 영역 위로 전계 또는 분산된다. 또한, 상기 분배 영역(16)은 상기 작업편(20)의 표면 위로 소정의 플라즈마 분배를 훨씬 용이하게 하기 위한 종류의 확산기를 포함한다. 이 공정에서 많은 수의 O 원자가 소실된다.
상기 석영 실린더(15)의 이온 충격은 다른 중요한 도전에 직면한다. 소직경의 플라즈마 소스(10)가 사용될 때, 플라즈마 밀도는 수용 가능한 속도로 에싱을 수행하도록 충분한 O 원자를 발생시키기 위해 매우 높게 된다. 이와 같이 상기 코일(14)에 존재하는 높은 에너지 전계(E-필드)와 결속되는 높은 플라즈마 밀도는 석영 용기(15)의 심각한 이온 충돌 및 용기 수명의 감소를 야기시킨다. 이와 같은 효과를 개선하기 위한 한가지 방법으로는 도 2에서의 개략 단면도에서 설명하는 바와 같이 석영 용기(15)와 코일(14) 사이에 패러데이 실드(Faraday shield; 22)를 위치시키는 방법이 있다. 이는 상기 E-필드가 상기 석영 용기(15)로 관통하는 것으로부터 효과적으로 방지하고, 따라서 상기 석영 용기(15)의 스퍼터링을 감소시킨다. 상기 패러데이 실드(22)를 추가함으로써 형성되는 추가의 문제점들을 대가로 한가지 문제점을 감소시킨다. 상기 패러데이 실드(22)는 복잡하고, 비용을 증가시키고, 물의 냉각을 요구하며, 또한 플라즈마로 전달되어야 할 동력을 소모한다.
또한, 현재의 플라즈마 발생 장치는 비균일한 플라즈마 생산을 초래한다. 일반적으로, 산소 함유 가스가 용기를 통해 유동할 때, 플라즈마 발생은 코일에 인접한 튜브에서 개시된다. 그러나, 상기 E-필드가 상기 용기 내에 한정된 관통을 가지므로, 에너지 소산을 위한 피크 영역은 상기 용기의 내벽 근방이 된다. 이와 같은 상기 E-필드의 한정된 관통으로 인해, 상기 플라즈마는 상술된 도 3에서 개략적으로 도시된 바와 같이 석영 용기(15) 내부에 링(25)을 형성하며, 피크 동력 소산 영역은 상기 석영 용기(15)의 내벽 근방이 된다. 여기장(excitation field)으로부터의 어떠한 에너지 소산이 전혀 없거나 또는 거의 없는 거의 필드-프리 영역에 대응하는 구멍(26)이 제공된다. 예를 들어, 노벨루스 시스템즈 인코포레이티드[캘리포니아 산 요세]의 감마 2130TM상의 76.2 mm 직경의 튜브에서, 비록 특정 상태에서 아주 가시적일지라도, 상기 링(25)에 형성된 중앙 구멍(26)의 크기는 작다. 가스가 상기 석영 용기(15)의 전체 단면을 통해 유동하는 동안, 상기 가스 유동 중의 산소는 O 원자를 생성하기 위해 주로 상기 링(25)에서 소산된다. 상기 가스 유동 중의 잔류부에 있는 매우 작은 양의 산소가 O 원자로 소산된다. 따라서, 대부분의 유입 유동 가스, 즉 용기(15)에서 원통형 유동 가스의 중심 근방에서의 가스는 이온화를 위한 충분한 에너지에 예속되지 않는다.
또한, 현재의 플라즈마 발생기는 큰 웨이퍼퍼를 에싱하도록 적응시키기가 어렵다. 만약, 상기 석영 용기(15)의 직경이 증가할 경우, 상기 피크 플라즈마 영역은 거의 작은 크기로 남게 되며, 여전히 상기 벽 근방에 위치한다. 상기 링(25)에 형성된 구멍(26)은 상기 석영 용기(15)의 직경이 증가됨에 따라 급격히 증가한다. 대부분의 가스는 상기 석영 용기(15)의 중심 아래로 유동하며, 결코 직접 이온화되지 않는다. 상기 석영 용기(15)의 중심 영역에는 적은 O 원자가 생성된다. 따라서, 큰 직경의 석영 용기들에 생성된 O 원자의 효율은 낮아질 것으로 기대된다.
따라서, 반도체 제조상의 에싱 절차에서 사용하기에 적합한 개량된 플라즈마 발생 장치를 제공할 것이 요망된다. 또한, 300 mm 또는 그 이상의 웨이퍼와 같은, 큰 직경의 작업편에 걸쳐 O 원자들의 더욱 균일한 분배를 제공할 수 있는 장치를 제공할 것이 요망된다. 또한, 패러데이 실드를 필요로 하지 않으나, 양호한 석영 용기 수명을 제공하는 플라즈마 발생기를 제공할 것이 요망된다. 또한, 산소를 더욱 효율적으로 O 원자들로 전환시키는 플라즈마 발생 장치 및/또는 공정 을 제공할 것이 요망된다. 본 기술의 다른 바람직한 구성 및 특징들은, 첨부된 도면들 및 상술된 기술 분야와 배경과 관련하여 취한, 이어지는 상세한 설명, 첨부된 청구항들로부터 명백해질 것이다.
예시적 실시예는 작업편을 에싱하기 위한 플라즈마 발생 장치를 제공한다. 상기 장치는 가스를 용기를 통해 입구 단부로부터 출구 단부로 연속 유동시키도록 구성된 용기를 포함한다. 상기 용기는 유전 물질을 포함하며, 가스가 상기 용기를 통해 유동할 때 가스의 적어도 한 성분의 일부를 이온화시키도록 구성된다. 상기 장치는 또한 상기 용기 내의 영역으로 가스를 직접 유동시키도록 구성된 유동 가스 분배기를 갖는다. 상기 유동 가스 분배기가 가스를 직접 유동시키는 상기 용기의 인접 영역인 상기 용기의 측벽들의 적어도 일부를 코일이 둘러싼다. 상기 코일에는 고주파 발생기가 결속된다.
상기 예시적 실시예는 선택적으로, 예를 들어, 상기 용기의 출구에 가스 확산기를 포함할 수 있다. 다른 선택으로서, 예시적 실시예의 상기 용기는 원통형으로 될 수 있으며, 상기 유동 가스 분배기는 상기 용기의 측벽을 향해 가스 유동을 지시하도록 원통형 용기에 축상으로 센터링되는 원형 배플 플레이트를 포함할 수 있다. 또 다른 선택으로서, 상기 용기는 돔형 또는 원뿔형 상부로 씌워진 원통형 하부를 포함할 수 있다. 선택적으로, 상기 장치는, 상기 코일에 의해 둘러싸인 상기 측벽들의 적어도 일부로 가스 유동을 지시하는 복수의 관통 구멍들을 갖는 반구체로서 성형된, 노즐을 포함하는 유동 가스 분배기를 포함할 수 있다. 다른 선택으로서, 상기 가스 분배기는 상기 코일에 의해 둘러싸인 상기 용기의 상기 측벽들의 일부로 가스 유동을 지시하도록 상기 용기 내로 축상 연장하는 측벽들에 복수의 관통 구멍을 갖는 튜브를 포함할 수 있다. 또 다른 선택으로서, 사용 중일 때 상기 용기에서의 하향 유동 가스와 횡으로 형성되는 상기 용기의 가장 큰 치수는 상기 장치에 의해 처리될 작업편의 표면의 치수에 거의 접근할 수 있다.
다른 예시적 실시예는 상기 용기를 통해 입구 단부로부터 출구 단부로 가스 유동하도록 구성된 용기를 포함하는 작업편을 에싱하기 위한 장치를 제공한다. 상기 용기는 상기 용기를 통해 유동하는 가스의 적어도 하나의 성분의 일부를 이온화시키도록 구성된 측벽들을 포함한다. 상기 장치는 상기 용기 내의 영역으로 가스 유동을 지시하도록 구성된 유동 가스 분배기를 갖는다. 상기 장치는 또한 상기 유동 가스 분배기가 가스 유동을 지시하는 영역에 인접한 상기 용기의 측벽들의 적어도 일부를 둘러싸는 분절된 코일을 갖는다. 상기 장치는 상기 측벽들의 일부와 상기 분절된 코일 사이에 어떠한 패러데이 실드도 개입시키지 않는다. 상기 분절된 코일에는 고주파 발생기가 결속된다. 상기 용기의 출구 단부는 에싱될 작업편의 표면 위로 가스 유동을 지시하기 위한 확산 플레이트를 갖는다.
선택적으로, 다른 예시적 실시예는 원통형 용기를 포함할 수 있으며, 상기 유동 가스 분배기는 상기 용기의 측벽들을 향해 가스 유동을 지시하도록 상기 원통형 용기에 축상 센터링된 원형 배플 플레이트일 수 있다. 또한, 상기 용기는 선택적으로 돔형 또는 원뿔형 상부로 씌워진 원통형 하부를 가질 수 있다. 상기 유동 가스 분배기는 상기 돔형 또는 원뿔형 상부의 정점에 근접 위치된 노즐을 가질 수 있으며, 상기 유동 가스 분배기는 상기 돔형 또는 원뿔형 상부의 내벽들을 따라 분절된 코일에 의해 둘러싸인 상기 용기의 측벽들의 일부로 가스 유동을 지시할 수 있다. 상기 돔형 또는 원뿔형 상부는 석영으로 형성될 수 있다. 다른 경우, 상기 유동 가스 분배기는 복수의 관통 구멍을 갖는 반구형 노즐을 포함할 수 있다. 상기 유동 가스 분배기는 선택적으로 그의 측벽들에 있는 복수의 관통 구멍들이 제공되는 튜브를 포함할 수 있다. 상기 튜브는 상기 관통 구멍들이 상기 돔형 또는 원뿔형 상부의 내부벽들을 따라 상기 분절된 코일에 의해 둘러싸인 상기 용기의 측벽들의 일부로 가스 유동을 지시하도록 상기 용기 내로 축상 연장한다.
추가의 예시적 실시예는, 이하에 규정된 큰 직경을 가지며, 또한 가스를 상기 용기를 통해 입구 단부로부터 출구 단부로 연속 유동시키도록 구성된 용기를 포함하는, 작업편을 에싱하기 위한 플라즈마 발생 장치를 제공한다. 상기 용기는, 상기 용기가 사용 중일 때, 가스 안으로 방출되는 고주파에 의해 생성되는 충전 입자들을 포함하도록 구성된 유전 물질로 이루어진 측벽들을 갖는다. 상기 용기는 돔형 또는 원뿔형 상부를 포함한다. 상기 장치는 상기 용기 내의 영역으로 가스 유동을 지시하도록 구성된 유동 가스 분배기를 추가로 포함하며, 또한 상기 용기의 상기 돔형 또는 원뿔형 상부 근방에 위치된다. 상기 용기 내부로부터 작업편의 표면 위로 가스 유동을 지시하기 위해 상기 용기의 출구 단부 근방에는 가스 확산 플레이트가 위치된다. 상기 유동 가스 분배기에 의해 가스 유동이 지시되는 상기 용기 측벽들의 일부는 적어도 2개의 세그먼트를 포함하는 대칭 코일에 의해 둘러싸인다. 상기 대칭 코일에는 고주파 발생기가 결속된다.
추가의 예시적 실시예는 작업편 에싱 공정 동안 충전된 입자들을 포함하도록 구성된 유전체 용기를 제공한다. 상기 용기는 유전 물질로 구성되는 원통형 몸체를 갖는다. 상기 원통형 몸체는 가스를 수용하도록 구성된 제 1 단부와 상기 원통형 몸체로부터 충전된 입자들을 배출하도록 구성된 제 2 단부를 갖는다. 상기 원통형 몸체는, 상기 충전된 입자들이 작업편의 표면을 충분히 에싱할 수 있는 에너지를 갖도록, 가스가 상기 원통형 몸체를 통해 유동할 때, 고주파 에너지가 상기 입자들을 형성하기에 충분한 동력과 주파수에서 상기 측벽들을 통해 유동하도록 구성된 측벽들을 갖는다. 선택적으로, 상기 용기는 상기 원통형 몸체의 제 1 단부에서 돔형 또는 원뿔형 상부를 포함할 수 있다.
추가의 예시적 실시예는 작업편 에싱 공정 동안 충전된 입자들을 포함하도록 구성된 용기를 제공한다. 상기 용기는 유전 물질로 구성되는 원통형 몸체를 가지며, 또한 측벽들, 가스를 수용하도록 구성된 제 1 단부 및 상기 원통형 몸체로부터 충전된 입자들을 배출하도록 구성된 제 2 단부를 갖는다. 상기 용기는 또한 상기 원통형 몸체의 상기 제 1 단부로부터 연장하는 유전 물질로 구성되는 돔형 또는 원뿔형 부분을 포함한다. 상기 돔형 또는 원뿔형 부분은 유전체 용기 내의 영역으로 가스를 지시하는 유동 가스 분배기를 수용하도록 구성된 정점을 갖는다. 상기 영역은 상기 돔형 또는 원뿔형 부분의 벽들 및 상기 원통형 몸체의 측벽들에 의해 적어도 부분적으로 둘러싸인다. 상기 원통형 몸체의 측벽들과 상기 돔형 또는 원뿔형 부분의 벽들은 상기 용기를 통해 유동하는 가스에서 충전된 입자들을 충분히 형성할 수 있는 동력과 주파수의 고주파 에너지의 유동을 허용하도록 구성된다. 선택적으로, 상기 원통형 몸체와 상기 돔형 또는 원뿔형 부분은 일체로 형성된다.
본 기술에 대한 더욱 완전한 이해는 다음의 도면들과 관련하여 고려될 때 상세한 설명 및 청구항들과 연관하여 얻을 수 있으며, 여기서 동일한 도면부호는 도면들 전체에 걸쳐 동일한 요소를 지칭한다.
도 1은 반도체 제조의 에싱에서 사용하기 위한 종래 기술의 플라즈마 발생기 의 간이 단면도.
도 2는 반도체 제조의 에싱에서 사용하기 위한 패러데이 실드를 갖는 종래 기술의 플라즈마 발생기의 간이 단면도.
도 3은 도 2의 3-3 라인을 따라 절취한 단면도.
도 4는 종래 기술의 플라즈마 발생기의 대표적인 유도 코일의 간략도.
도 5a는 가스 소산 노즐, 및 원뿔형 상부를 갖는 석영 용기의 실시예를 사용하는 예시적 실시예에 따른 대 직경의 플라즈마 발생기의 횡단면을 나타내는 간이 측면도.
도 5b는 가스 소산 노즐, 및 돔형 석영 용기의 실시예를 사용하는 예시적 실시예에 따른 대 직경의 플라즈마 발생기의 횡단면을 나타내는 간이 측면도.
도 6은 가스 소산 노즐, 및 RF 코일과 석영 용기 사이에 삽입된 패러데이 실드를 갖는 돔형 석영 용기의 실시예를 사용하는 예시적 실시예에 따른 대 직경의 플라즈마 발생기의 횡단면을 나타내는 간이 측면도.
도 7a는 예시적 실시예들에 따른 플라즈마 발생기와 연관하여 사용되는 예시적 노즐의 표면에 대한 간이 평면도.
도 7b는 예시적 실시예들에 따른 플라즈마 발생기와 연관하여 사용되는 반구체 출구 단부를 갖는 노즐의 횡단면을 나타내는 간이 측면도.
도 8은 가스 소산 노즐, 및 원뿔형 상부를 갖는 석영 용기의 다른 실시예를 사용하는 예시적 실시예에 따른 대 직경의 플라즈마 발생기의 횡단면을 나타내는 간이 측면도.
도 9는 유동 지시 배플을 사용하는 다른 예시적 실시예에 다른 플라즈마 발생기의 횡단면을 나타내는 간이 측면도.
도 10a 및 도 10b는 예시적 실시예에 따른 플라즈마 발생기를 사용하기 위한 대칭적 다중 분절된 유도 코일들의 실시예들의 간이 개략도.
다음의 상세한 설명은 단순히 예시적 성격을 가지며, 설명된 실시예 또는 용도 및 설명된 실시예들의 사용을 제한하기 위한 의도로 사용되지 않는다. 또한, 상술된 기술 분야, 배경 기술, 또는 다음의 요약서 및 상세한 설명에서 제안된 어떠한 이론에 의하여도 한정되지 아니한다.
예시적 실시예에 있어서, 플라즈마 발생 장치는 흡입 유동 가스의 일부를 상기 장치의 다른 영역보다 높은 플라즈마 밀도를 갖는 영역 안으로 전환시키기 위한 수단을 포함한다. 가장 높은 가스 밀도의 영역은, 석영 용기와 같은, 적합한 유전 물질로 구성되는 용기에 위치되며, 특히, 용기가 종속되는 플라즈마 발생 에너지 전계의 가장 강한 영역 내에 또는 그 영역과 근접하여 위치한다. 따라서, 상기 유동 가스에서 가장 높은 크기의 흡입 이온화 가능 성분들이 충분히 적당한 여자 에너지가 제공될 때 이온화(또는 "플라즈마로 전환)된다.
다른 실시예는 플라즈마 발생기에 위치한 가스 성분의 이온화를 발생시키게될 주파수의 전계의 발생을 위한 대칭 분절된 코일들을 제공한다. 이하에서 설명하게 될, 그와 같은 코일의 사용은 패러데이 실드에 대한 필요성을 감소시키거나 제거한다. 이는 중요한 원가 절감 효과를 초래한다. 또한, 고압에서 생성되는 유 리기(free radical)의 생성을 감소시킴으로써, 종종 상기 플라즈마 발생기에서 사용되는 석영 튜브들의 에칭이 감소된다. 따라서, 상기 대칭 분절된 코일은 석영 튜브들의 유용한 수명을 증가시킨다.
원뿔형 상부를 갖는 플라즈마 발생 장치(100)의 예시적 실시예가 도 5a에 도시되어 있으며, 돔형 상부를 갖는 다른 실시예는 도 5b에 도시되어 있다. 다음의 설명은, 상기 용기의 상부 형태와 관련된 차이점에 관한 것을 제외하고는, 양쪽 도면에 적용된다. 상기 플라즈마 발생기는 작업편들을 에싱하기 위한 반도체 제조에서 사용하도록 제한되지 아니하며, 또한 다른 용도에서 사용될 수도 있다. 본원에서 설명된 장치는, 오직 반도체 산업에서 뿐만 아닌 어떠한 표면으로부터 유기 재료를 세정하는 것과 같은, 일반적인 표면 처리를 위해 사용될 수 있다. 질소, 산소, 수소 및 탄소와 플루오르를 함유할 수 있는 화합물들을 포함하는 다른 화학 성질과 결합하여, 상기 장치는 예를 들면 다음과 같은 다양한 작업편들의 표면 처리 및 세정을 위해 사용될 수 있다: 부품으로부터 유기 재료를 세정, 생물학적 오염물 제거, 다른 층의 적층 전의 응착력 강화, 금속 산화물의 감축, 또는 재료 범위의 에칭.
상기 플라즈마 발생기(100)는 원뿔형(도 5a) 또는 돔형(도 5b)이며 또한 유동 가스 튜브(125)를 씌운 상부(110)를 포함한다. 상기 원뿔형 상부(110)의 정점(112) 또는 상기 돔형 상부(110)의 최고점(112)은 플라즈마 발생기 입구(101)에서 가스 흐름부(300; 도면에서 화살표로 표시)로 유입되는 가스를 수용하기 위해 가장 원위 위치된다. 상기 플라즈마 발생기(100)의 튜브(125) 및 상기 원뿔형 또는 돔형 상부(110)는 종래와 같이 석영이나 또는 다른 재료로 제조될 수 있다. 상기 가스 흐름부(300)에서의 이온화 가능 가스 성분은 예를 들면 산소, 아르곤, 헬륨, 하이드로겐, 질소, 및 플루오르-포함 합성물일 수 있다. 상기 튜브(125)의 직경(120)은 그 크기에 근접하는 작업편을 위해 약 200 mm 내지 약 500 mm 및/또는 대표적으로 약 300 mm가 될 수 있다. 상기 가스 튜브(125)는 플라즈마가 발생되는 대 직경의 용기(100)의 전체 단면을 가로질러 발생되는 플라즈마의 분산을 허용하는 특징을 갖는 대 직경(120)을 갖는다. 상세한 설명 및 청구항들에 기재된, 플라즈마가 발생되는 용기와 관련된 상기 "대 직경"은 에싱에 종속될 작업편의 직경에 근접하는, 그러나 정확하게 일치하지 않을 수 있는, 용기 직경을 의미한다. 따라서, 특정 실시예들에 있어서, 상기 대 직경은 약 200 mm를 초과하는, 또는 약 300 mm 내지 약 500 mm의 범위 또는 그 이상의 직경(120)을 갖는 실린더(125)를 포함할 수 있다. 대 직경은 일반적으로 크기가 적어도 약 300 mm의 작업편이거나 또는 그 이상의 작업편일 수 있다. 도시된 실시예에서, 상기 원뿔형 상부(110)의 정점(112) 또는 돔형 상부(110)의 최고점(112)은 도 7a의 평면도에서 더욱 분명하게 알 수 있는 바와 같이 노즐(114)에 배치된 복수의 관통 구멍들(116)을 갖는 가스 분배 노즐(114)을 갖는다. 상기 관통 구멍(116)은 유입 가스를 상기 원뿔형 또는 돔형 상부(110)의 구배 내부 측벽들(118)을 따라 높은 플라즈마 밀도 영역(130)을 향하도록 지시한다.
도 7a에 평면도로 도시된 노즐(114)은 구체, 반구체 또는 각추형, 또는 어떠한 적합한 형태로 구성될 수 있다. 반구체-팁(172)을 갖는 노즐의 다른 예시적 실시예가 도 7b에 도시되어 있다. 상기 노즐 팁(172)은 상기 원뿔형 상부(110) (또는 돔형 용기일 경우의 돔형 상부)의 벽들의 내부 표면을 따라 상기 영역에서의 플라즈마를 위한 높은 가스 밀도 영역(130)으로 가스를 지시하기 위한 복수의 관통 구멍들(116)을 갖는다.
도 5a, 도 5b 및 도 7a에 있어서, 상기 노즐(114)은 관통 구멍들(116; 도 7a)을 통해 가스를 유동시킨다. 상기 관통 구멍들(116)을 통해 배출되는 가스(도면에서 하향 화살표 300으로 도시된 가스)는 우선적으로 원뿔 또는 돔형 상부(100)의 내부 측벽들(118)을 따라 유동하도록 지시된다. 다음에 유동 가스는 상기 유동 가스 튜브(125)의 내벽들(126)과 충돌한다. 상기 충돌 영역은 내부 튜브벽들(126)과 내부 측벽들(118)의 교점을 포함하는 높은 플라즈마 영역(130)이다. 상기 지시된 유동 가스는, 상기 유동 가스가 상기 돔형 상부(110)의 내부 측벽들(118)과 평행하게 유동하는 것으로부터 그의 내벽들(126)과 평행한 튜브(125) 하향으로 유동하도록 방향 변경됨에 따라, 높은 가스 압력(높은 가스 밀도)의 영역(130)을 형성한다. 가스 성분들을 이온화하기 위해 상기 영역(130) 안으로 적당한 주파수하에서 에너지를 공급하도록, 에너지 코일(140)이 상기 원뿔 또는 돔형 상부(110)의 외부면(113)과 상기 튜브(125)의 외부면(124)을 둘러싼다.
유동 가스의 큰 비율, 또는 주요 부분이 상기 노즐(114)과 상기 용기 내부벽들(118)에 의해 영역(130) 안으로 지시되므로, 상기 영역(130)은 가장 높은 플라즈마 밀도 영역(130)이 된다. 여자 에너지가 상기 관형 용기(125)의 외부로부터 상기 영역(130) 안으로 제공된다. 이는, 상기 에너지가 상기 용기 안으로 더욱 관통 함에 따라 에너지 레벨 점감 (및 이온화 감소) 효과를 개선하므로, 더욱 효율적인 가스 성분 이온화를 허용한다. 물론, 가장 높은 동력 소산 영역을 통해 더욱 많은 양의 가스가 유동함으로써, 라디칼(radical)과 또한 원자, 본원의 경우 O 원자의 생산이 증가된다.
가스 분배 플레이트(150)는 상기 발생기(100)의 출구측 단부(102)에 배치된다. 이와 같은 가스 분배 플레이트(150)는 복수의 관통 구멍들 또는 기공 구조를 갖는다. 이는 처리될 작업편과 충돌하는 O 원자 플럭스를 제어한다는 사실을 의미한다. 상기 가스가 상기 가스 분배 플레이트(150)와 충돌하고 또한 그를 통해 이동함에 따라, 일부 충전된 종들은 중성화되며, 따라서 상기 작업편(200)에 대한 충전 입자 손상 가능성을 감소시킨다.
다른 예시적인 실시예에 따라, 상기 튜브(125)의 직경(120)과 작업편(200)의 직경(210)은 거의 동일하다. 직경의 균일성은 필요하지 않으나, 실시예들은 튜브(125)와 작업편(200)의 동일한 직경이나 또는 거의 동일한 크기의 직경을 가질 수 있다. 이와 같은 특징은 유동 가스의 분배를 용이하게 하기 위해 작업편 직경을 근접시키도록 상기 튜브(125)를 그의 출구측 단부(102) 근방으로 팽창시킬 필요성을 크게 또는 완전히 감소시킨다. 일반적으로, 석영 실린더의 예에서 튜브 직경과 같은, 장치의 특성 치수는, 가스 유동 방향과 횡단하는 것으로 표시되는 원형 작업편 표면의 직경과 같은, 작업편의 특성 치수에 근접시키는 것이 적합하다. 이에 대해, 상기 플라즈마 발생 영역은 크기가 증가됨으로써, 유동 가스에서 발생된 O 원자 생성을 증가시키는 한편 전체 플라즈마 농도를 감소시킨다. 상기 플라즈마 의 용량을 증가시킴으로써, 상기 용기 벽 근방의 영역에서의 플라즈마 농도는 감소한다. 이는 차례로 이온 충격을 감소시키고 또한 용기 벽의 가열을 감소시킨다.
상기 플라즈마 발생기(100)는 도 6에 도시된 패러데이 실드(144)와 결합하여 사용될 수 있거나, 또는 이하에서 설명하게 될 저감된 피크 전압을 갖는 대칭 코일(140)을 구비하는 유도 코일 회로(160)과 결합하여 사용될 수 있다. 적합하게도, 그러나 반드시 그런 것은 아니지만, 석영 성분(돔형(110) 및 튜브(125))에 대한 손상을 감소시키기 위해, 용기를 조기 노화로부터 방지할 필요성이 있는 경우, 상기 피크 전압(Vp) 약 2의 인자만큼, 또는 약 4의 인자만큼 감소될 수 있다.
예비적 문제로서, 종래 기술로서 유도 회로(160)의 구동에 대하여는 도 4에 도시되어 있다. 상기 코일(140)의 한 단부는 접지되고, 다른 단부는 매칭된 네트워크와 커패시터를 통해 고주파 교류 전류 발생기(162)에 의해 동력화된다. 상기 피크 전압(Vp)은 상기 코일의 한 단부에서 가시화되며, 다른 단부는 접지된다. 본 기술의 예시적 실시예에 따라서, 상기 유도 회로(160)는 도 10a에 도시된 바와 같은 구성을 가지며, 따라서, 상기 코일(140)의 각 단부의 외부에 2개의 커패시터(164)가 존재한다. 이와 같은 형태 및 상기 예시적 형태는 "대칭 코일" 형태로서 언급된다. 상기 커패시터들(164)은 각각의 커패시터의 전체 임피던스가 도 4에 도시된 본래 커패시터의 임피던스의 1/2이 되도록 선택된다. 이는 상기 커패시터 코일 유도 회로(160)의 임피던스를 불변 상태로 지속시킨다. 따라서, 전체 코일(140)을 거친 전압 강하(Vp)는 상기 코일(140)을 통해 유동하는 동일한 전류와 동등하게 되며, 따라서 그에 따른 플라즈마 발생 용량은 동일하게 된다. 따라서, 도 4에서와 같이 상기 코일(140)의 단부에만 위치되는 실제 접지(예를 들면, 제로 전압) 대신에, 또한 상기 코일(140)의 중심(166)에 위치하는 가상 접지(pseudo ground; 또는 본 예에서 상기 실제 접지와 같은 제로 전압)가 존재한다. 이는 접점(168)에서 접지와 관련된 코일상에서 보여지는 가장 높은 전압이 Vp/2가 되는 것을 의미한다. 이는 피크 전압의 인자를 2만큼 감소시키며, 따라서 모든 전계를 2의 인자만큼 감소시킨다. 또한, 이는 (이온 충격 에너지를 감소시키는) 상기 플라즈마 발생기의 석영 벽들을 가로지르는 전계를 2의 인자만큼 감소시킨다. 그것은 또한 모든 전기 스탠드오프 전압 요구조건을 2의 인자만큼 감소시킨다.
상기 효과는 도 10b에 도시된 바와 같이 코일을 복수의 대칭 세그먼트들로 분할함으로써 더욱 강화된다. 도 10b에 도시된 바와 같이, 상기 코일(140)을 2개의 대칭 세그먼트로 분절함으로써, 피크 전압(Vp)을 대칭 코일에 대한 피크의 1/4(Vp/4)로 감소시킨다. 따라서, 상기 코일을 N 세그먼트들로 분할함으로써, 피크 전압은 비교를 위해 도 4에 도시된 바와 같은 대칭 코일의 피크 전압의 1/(2N)으로 감소된다. 상기 피크 전압(Vp)이 상기 플라즈마 발생기(100)의 석영 성분들에 대한 어떠한 전압 유도 효과들이 수용 가능한 레벨에 있도록 감소되었을 때, 패러데이 실드에 대한 어떠한 요구도 더이상 존재하지 않는다.
도 8에 개략적으로 도시된, 본 발명의 예시적 실시예에 따라, 가스 입구(10)는 도 5의 노즐(114)과는 다른 디자인을 갖는다. 상기 입구(101)는 밀폐된 단부(181)를 갖는 튜브(180)와, 상기 돔형 또는 원뿔형 상부(110)와 상기 튜브(125) 사이의 교점에 인접한, 가스를 영역(130)으로 지시하는 상기 밀폐된 단부(181) 근방에 위치한 일련의 출구측 구멍들(182)을 포함한다. 상기 영역(130)은 상기 영역(130)에 있는 가스에 여자 에너지를 제공하기 위해 상기 튜브(125)의 외부면(124)과 상기 돔형 상부(110)의 외부면(113) 둘레에 감겨진 유도 코일(140)에 인접한다. 상기 영역(130)에서, 상기 가스(300)는 이온화되고, 원통형 용기(125)에서 출구(102)로 하향 유동한다. 상기 출구(102)에서, 가스는 가스 이온화 동안 형성되는 일부 충전 가스 종들을 중화시키는 가스 분배 플레이트(150)와 마주친다. 상기 유동 가스(300)는 가스 분배기(150)에 있는 구멍들 또는 세공들(184)을 관통하며, 표면 에싱과 같은 소정의 기능을 수행하기 위해 상기 작업편(200)의 상부면상에 충돌하도록 유동 가스(302)와 같이 배출한다.
도 9는 플라즈마 발생 장치(100)의 다른 예시적 실시예를 설명한다. 이는 돔형 또는 원뿔형 상부를 갖지 않는다. 오히려, 흡입 가스는 튜브(125)를 포함하는 장치(100) 안으로 유동하며, 축상으로 센터링되는 원형 배플 플레이트(190) 둘레를 유동하도록 상기 튜브(125) 내에서 전환된다. 이와 같은 전환은 유동 가스(300)가 내벽(126)의 축면을 향해 유동되도록 한다. 유도 코일(140)은 상기 전환된 유동 가스(300)가 상기 용기(125)의 내벽(126)과 충돌하는 인접 영역(130)에 위치한 용기(125)의 외부면(124)을 둘러싼다. 상기 유도 코일(140)은 가스 성분들을 이온화하기 위해 상기 영역(130)에 에너지를 제공한다. 상기 튜브의 중앙 영역(135) 밖으로의 가스 전환과 상기 튜브의 내부벽들(126)을 향한 가스의 강제로 인해, 유동 가스는 상기 영역(130) 안으로 강제되고, 가스는 상기 영역(130)에서 밀집된다. 상기 밀집된 가스에 에너지를 적용함으로써 가스의 이온화가 더욱 촉진된다. 상기 배플 플레이트(190)의 직경, 또는 원형 배플이 아닌 경우의 다른 특징적 배플 치수는, 가스가 상기 코일(140)에 가장 근접한 영역(130)의 압력과 최적의 가스 유속을 결정하기 위해, 상기 튜브(125)의 내경(120)과 가스 유속을 고려하여 선택될 수 있다. 이온화 후에, 상기 가스(300)는 상기 원통형 용기(125)에서 출구(102)로 하향 유동한다. 상기 출구(102)에서, 가스는 가스 이온화 동안 형성된 충전된 가스 종들의 일부를 중화시키는 가스 분배 플레이트(150)와 마주친다. 상기 유동 가스(300)는 가스 분배기(150)에 있는 구멍들 또는 세공들(184)을 관통하며, 표면 에싱과 같은 소정의 기능을 수행하기 위해 상기 작업편(200)의 상부면상에 충돌하도록 유동 가스(302)와 같이 배출한다.
상술된 상세한 설명에 나타난 적어도 하나의 예시적 실시예와는 달리, 다수의 변형이 존재할 수 있다는 사실을 인식해야 한다. 또한, 명백하게도 상술된 예시적 실시예 또는 실시예들은 어떠한 방법으로든 본 발명의 범위, 용도 또는 구성을 제한하고자 하는 의도를 갖지 않는다. 오히려, 상술된 상세한 설명은 당업자들에게 상술된 실시예 또는 실시예들을 수행하기 위한 종래의 로드맵으로 제공될 것이다. 첨부된 청구항들 및 그에 대한 법적 등가를 나타내는 바와 같은 본 발명의 범위로부터 벗어나지 않는 한도 내에서 성분들의 기능과 배치에서의 다양한 변화가 제공될 수 있음을 밝혀둔다.

Claims (17)

  1. 작업편(200)을 에싱하기 위한 플라즈마 발생 장치(100)로서,
    (a) 용기를 통해 유동 가스(300)를 입구 단부(101)로부터 출구 단부(102)로 연속 유동시키도록 구성된 용기(125)로서, 상기 용기(125)는 돔형 또는 원뿔형 상부(110)로 씌워진 원통형 하부(125)를 포함하고, 상기 용기(125)는 유전 물질을 포함하며 또한 상기 용기를 통해 유동하는 가스의 적어도 한 성분의 일부를 이온화시키도록 구성되는, 상기 용기;
    (b) 상기 원통형 하부(125) 및 상기 돔형 또는 원뿔형 상부(110)의 교차 지점에서 상기 용기 내의 영역(130)으로 가스를 직접 유동시키도록 구성된 유동 가스 분배기(114);
    (c) 돔형 또는 원뿔형 측벽들(118)의 적어도 일부 및 원통형 측벽들(126)의 적어도 일부를 둘러싸는 코일(140)로서, 상기 돔형 또는 원뿔형 측벽들(118)의 상기 일부 및 상기 원통형 측벽들(126)의 상기 일부는 상기 유동 가스 분배기(114)가 가스를 직접 유동시키는 상기 용기(125)의 영역(130)에 인접하는, 상기 코일;
    (d) 상기 코일(140)에 결속되는 고주파 발생기(162); 및
    (e) 상기 용기의 출구 단부에서 에싱될 작업편의 표면 위로 가스 유동을 지시하기 위한 확산 플레이트(150)로서, 상기 확산 플레이트는 상기 용기의 상기 출구 단부의 전체에 걸쳐서 스팬되는, 상기 확산 플레이트를 포함하는 플라즈마 발생 장치.
  2. 제 1 항에 있어서, 상기 용기(125)의 출구(102)에 확산 플레이트(150)를 추가로 포함하는 플라즈마 발생 장치.
  3. 제 1 항에 있어서, 상기 용기(125)는 원통형이며, 상기 유동 가스 분배기(114)는 상기 용기(125)의 상기 원통형 측벽들(126)을 향해 가스(300) 유동을 지시하도록 원통형 용기(125)에 축상으로 센터링되는 원형 배플 플레이트(190)를 포함하는 플라즈마 발생 장치.
  4. 제 1 항에 있어서, 상기 용기(125)는 돔형 또는 원뿔형 상부(110)로 씌워진 원통형 하부를 포함하는 플라즈마 발생 장치.
  5. 제 1 항에 있어서, 상기 유동 가스 분배기(114)는 상기 코일(140)에 의해 둘러싸인 상기 원통형 측벽들(126)의 상기 적어도 일부로 가스 유동을 지시하는 복수의 관통 구멍들(116)을 갖는 반구체를 구비하는 노즐(170)을 포함하는 플라즈마 발생 장치.
  6. 제 1 항에 있어서, 상기 가스 분배기(114)는, 상기 코일(140)에 의해 둘러싸인 상기 용기(125)의 상기 원통형 측벽들(126)의 상기 일부로 가스 유동을 지시하는 복수의 관통 구멍들(182)을 측벽에 구비하며 또한 상기 용기(125) 내로 축상 연장하는, 튜브(180)를 포함하는 플라즈마 발생 장치.
  7. 제 1 항에 있어서, 상기 용기(125)에서의 하향 유동 가스(300)와 횡으로 형성되는 상기 용기(125)의 가장 큰 치수는 상기 장치(100)에 의해 처리될 작업편(200) 표면의 치수에 접근하는 플라즈마 발생 장치.
  8. 작업편(200)을 에싱하기 위한 장치(100)로서,
    (a) 입구 단부(101)로부터 출구 단부(102)로 가스를 유동시키도록 구성되며, 또한 용기를 통해 유동하는 가스의 적어도 하나의 성분의 일부를 이온화시키도록 구성된 측벽들(126)을 포함하는, 용기(125)와;
    (b) 상기 용기(125) 내의 영역(130)으로 가스(300) 유동을 지시하도록 구성된 유동 가스 분배기(114)와;
    (c) 상기 용기(125)의 측벽들(124)의 적어도 일부를 둘러싸는 분절된 코일(140)로서, 상기 일부는 상기 유동 가스 분배기(114)가 가스(300) 유동을 지시하도록 구성된 상기 용기(125)의 영역(130)에 인접하고, 상기 장치(100)에는 상기 측벽들(124)의 일부와 상기 분절된 코일(140) 사이에 개입되는 어떠한 패러데이 실드(144)도 존재하지 않는, 상기 분절된 코일과;
    (d) 상기 분절된 코일(140)에 결속된 고주파 발생기(162); 및
    (e) 상기 용기(125)의 출구 단부(102)에서 에싱될 작업편(200)의 표면 위로 가스(300) 유동을 지시하기 위한 확산 플레이트(150)를 포함하는 작업편을 에싱하기 위한 장치.
  9. 제 8 항에 있어서, 상기 용기(125)는 원통형이며, 상기 유동 가스 분배기(114)는 상기 용기(125)의 측벽들(126)을 향해 가스 유동을 지시하도록 원통형 용기(125)에 축상 센터링된 원형 배플 플레이트(190)를 포함하는 작업편을 에싱하기 위한 장치.
  10. 제 8 항에 있어서, 상기 용기(125)는 돔형 또는 원뿔형 상부(110)로 씌워진 원통형 하부를 포함하는 작업편을 에싱하기 위한 장치.
  11. 제 10 항에 있어서, 상기 유동 가스 분배기(114)는 상기 돔형 또는 원뿔형 상부(110)의 정점에 근접 위치된 노즐(170)을 포함하며, 상기 유동 가스 분배기(114)는 상기 돔형 또는 원뿔형 상부(110)의 내벽들(118)을 따라 상기 분절된 코일(140)에 의해 둘러싸인 상기 용기(125)의 측벽들(126)의 일부로 가스(300) 유동을 지시하는 작업편을 에싱하기 위한 장치.
  12. 제 10 항에 있어서, 상기 용기(125)와 상기 돔형 또는 원뿔형 상부(110)는 석영을 포함하는 작업편을 에싱하기 위한 장치.
  13. 제 12 항에 있어서, 상기 가스 분배기(114)는 복수의 관통 구멍(116)을 갖는 반구형 노즐(170)을 포함하는 작업편을 에싱하기 위한 장치.
  14. 제 10 항에 있어서, 상기 유동 가스 분배기(114)는 상기 용기(125) 안으로 축상 연장하며 또한 측벽들에 형성된 복수의 관통 구멍들(182)을 구비하는 튜브(180)를 포함하며, 상기 관통 구멍들(116)은 상기 돔형 또는 원뿔형 상부(110)의 내벽들(126)을 따라 상기 분절된 코일(140)에 의해 둘러싸인 상기 용기(125)의 측벽들(126)의 일부로 가스(300) 유동을 지시하는 작업편을 에싱하기 위한 장치.
  15. 작업편을 에싱하기 위한 플라즈마 발생 장치(100)로서,
    (a) 입구 단부(101)로부터 출구 단부(102)로 가스(300)를 연속 유동시키도록 구성된 큰 직경의 용기(125)로서, 상기 용기(125)는 가스 안으로 방출되는 고주파에 의해 생성되는 충전 입자들을 포함하도록 구성된 유전 물질로 이루어진 측벽들(126)을 포함하며, 또한 상기 용기(125)는 돔형 또는 원뿔형 상부(110)를 구비하는, 상기 용기와;
    (b) 상기 용기(125)의 상기 돔형 또는 원뿔형 상부(110)에 근접 위치되며 또한 상기 용기(125) 내의 영역(130)으로 가스(300) 유동을 지시하도록 구성되는 유동 가스 분배기(114)와;
    (c) 상기 용기(125) 내부로부터 처리될 작업편(200)의 표면 위로 가스(300, 302) 유동을 지시하기 위해 상기 용기(125)의 상기 출구 단부(102)에 근접 위치되는 확산 플레이트(150)와;
    (d) 적어도 2개의 세그먼트를 포함하는 대칭 코일(140)로서, 상기 대칭 코일(140)은 상기 용기(125)의 측벽들(124)의 적어도 일부를 둘러싸며, 상기 측벽들의 일부는 상기 유동 가스 분배기(114)에 의해 가스(300) 유동이 지시되는 상기 용기(125) 내의 상기 영역(130)에 인접하는, 상기 대칭 코일; 및
    (e) 상기 대칭 코일(140)에 결속된 고주파 발생기(162)를 포함하는 작업편을 에싱하기 위한 플라즈마 발생 장치.
  16. 작업편 에싱 공정 동안 충전된 입자들을 포함하도록 구성된 용기로서,
    (a) 유전 물질을 포함하는 원통형 몸체(125)로서, 상기 원통형 몸체(125)는 측벽들(124), 가스를 수용하도록 구성된 제 1 단부(101), 및 상기 원통형 몸체로부터 충전된 입자들을 배출하도록 구성된 제 2 단부(102)를 갖는, 상기 원통형 몸체;
    (b) 유전 물질을 포함하고 또한 상기 원통형 몸체의 상기 제 1 단부(101)로부터 연장하는 돔형 또는 원뿔형 부분(110)으로서, 상기 돔형 또는 원뿔형 부분(110)은 정점(112)을 가지며, 상기 돔형 또는 원뿔형 부분(110)의 벽들(118) 및 상기 원통형 몸체의 측벽들(124)에 의해 적어도 부분적으로 영역(130)이 둘러싸이며, 상기 원통형 몸체의 측벽들(124)과 상기 돔형 또는 원뿔형 부분(110)의 벽들(118)은 상기 용기를 통해 유동하는 가스(300)에서 충전된 입자들을 충분히 형성할 수 있는 동력과 주파수의 고주파 에너지의 유동을 허용하도록 구성되는, 상기 돔형 또는 원뿔형 부분;
    (c) 상기 돔형 또는 상기 원뿔형 부분(110)의 정점(112)에 위치된 가스 유동 분배기(114)로서, 상기 가스 유동 분배기(114)는 상기 원통형 몸체(125) 및 돔형 또는 원뿔형 부분(110)의 교차 지점에 인접한 상기 용기 내의 영역을 향하여 가스 유동을 지시하도록 구성된, 상기 가스 유동 분배기; 및
    (d) 상기 용기의 제 2 단부(102)에서 애싱될 작업편의 표면 위로 가스 유동을 지시하기 위한 확산 플레이트(150)로서, 상기 확산 플레이트는 상기 제 2 단부의 전체에 걸쳐 스팬되는, 상기 확산 플레이트를 포함하는 용기.
  17. 제 16 항에 있어서, 상기 원통형 몸체와 상기 돔형 또는 원뿔형 부분(110)은 일체로 형성된 용기를 포함하는 용기.
KR1020097012747A 2006-12-27 2007-03-21 플라즈마 발생 장치 KR101426054B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/616,324 US20080156264A1 (en) 2006-12-27 2006-12-27 Plasma Generator Apparatus
US11/616,324 2006-12-27
PCT/US2007/064554 WO2008143659A2 (en) 2006-12-27 2007-03-21 Plasma generator apparatus

Publications (2)

Publication Number Publication Date
KR20090094290A KR20090094290A (ko) 2009-09-04
KR101426054B1 true KR101426054B1 (ko) 2014-08-01

Family

ID=39582147

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020097012747A KR101426054B1 (ko) 2006-12-27 2007-03-21 플라즈마 발생 장치

Country Status (3)

Country Link
US (3) US20080156264A1 (ko)
KR (1) KR101426054B1 (ko)
WO (1) WO2008143659A2 (ko)

Families Citing this family (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060226003A1 (en) * 2003-01-22 2006-10-12 John Mize Apparatus and methods for ionized deposition of a film or thin layer
US9659758B2 (en) * 2005-03-22 2017-05-23 Honeywell International Inc. Coils utilized in vapor deposition applications and methods of production
US20060278520A1 (en) * 2005-06-13 2006-12-14 Lee Eal H Use of DC magnetron sputtering systems
US20080156264A1 (en) * 2006-12-27 2008-07-03 Novellus Systems, Inc. Plasma Generator Apparatus
US8110068B2 (en) * 2008-03-20 2012-02-07 Novellus Systems, Inc. Gas flow distribution receptacles, plasma generator systems, and methods for performing plasma stripping processes
US9591738B2 (en) * 2008-04-03 2017-03-07 Novellus Systems, Inc. Plasma generator systems and methods of forming plasma
US8916022B1 (en) 2008-09-12 2014-12-23 Novellus Systems, Inc. Plasma generator systems and methods of forming plasma
KR101450015B1 (ko) 2009-09-25 2014-10-13 어플라이드 머티어리얼스, 인코포레이티드 유도 결합 플라즈마 반응기에서의 고효율 가스 해리 방법 및 장치
US8642974B2 (en) * 2009-12-30 2014-02-04 Fei Company Encapsulation of electrodes in solid media for use in conjunction with fluid high voltage isolation
US20120088356A1 (en) * 2010-09-14 2012-04-12 Applied Materials, Inc. Integrated platform for in-situ doping and activation of substrates
JP5916044B2 (ja) * 2010-09-28 2016-05-11 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP5989119B2 (ja) * 2011-08-19 2016-09-07 マットソン テクノロジー インコーポレイテッドMattson Technology, Inc. プラズマリアクタ及びプラズマを生成する方法
CN102843851B (zh) * 2012-05-04 2015-01-28 上海华力微电子有限公司 一种等离子发生装置及方法
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US9677176B2 (en) * 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US9275869B2 (en) * 2013-08-02 2016-03-01 Lam Research Corporation Fast-gas switching for etching
US20150167160A1 (en) * 2013-12-16 2015-06-18 Applied Materials, Inc. Enabling radical-based deposition of dielectric films
US9378928B2 (en) * 2014-05-29 2016-06-28 Applied Materials, Inc. Apparatus for treating a gas in a conduit
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
RU2585340C1 (ru) * 2015-06-03 2016-05-27 Федеральное государственное бюджетное образовательное учреждение высшего профессионального образования "Московский авиационный институт (национальный исследовательский университет)" Газоразрядный узел высокочастотного ионного двигателя
CN105758199B (zh) * 2016-03-03 2018-11-02 中冶长天国际工程有限责任公司 一种烟气分配器及烧结烟气循环装置
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US10508351B2 (en) 2017-03-16 2019-12-17 Lam Research Corporation Layer-by-layer deposition using hydrogen
US11183373B2 (en) 2017-10-11 2021-11-23 Honeywell International Inc. Multi-patterned sputter traps and methods of making
US11015247B2 (en) 2017-12-08 2021-05-25 Lam Research Corporation Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US10840087B2 (en) 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
JP7487189B2 (ja) 2018-10-19 2024-05-20 ラム リサーチ コーポレーション 間隙充填のためのドープまたは非ドープシリコン炭化物および遠隔水素プラズマ曝露
RU2709231C1 (ru) * 2018-12-01 2019-12-17 федеральное государственное автономное образовательное учреждение высшего образования "Санкт-Петербургский политехнический университет Петра Великого" (ФГАОУ ВО "СПбПУ") Мембранный ионно-плазменный ракетный двигатель космического аппарата
WO2020141806A2 (ko) 2018-12-31 2020-07-09 인투코어테크놀로지 주식회사 플라즈마 발생 장치 및 그 동작 방법

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020033233A1 (en) * 1999-06-08 2002-03-21 Stephen E. Savas Icp reactor having a conically-shaped plasma-generating section
JP3691528B2 (ja) * 1993-08-27 2005-09-07 アプライド マテリアルズ インコーポレイテッド 高密度プラズマcvd及びエッチングリアクタ

Family Cites Families (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2518709A (en) * 1947-12-08 1950-08-15 Jr Fink E Mosby Mixing and dispensing device
US2874001A (en) * 1956-06-21 1959-02-17 Ernest C Webb Shower head
US4421592A (en) * 1981-05-22 1983-12-20 United Technologies Corporation Plasma enhanced deposition of semiconductors
US4718976A (en) * 1982-03-31 1988-01-12 Fujitsu Limited Process and apparatus for plasma treatment
JPH0773104B2 (ja) * 1986-02-14 1995-08-02 富士通株式会社 レジスト剥離方法
US5556501A (en) * 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US5411624A (en) * 1991-07-23 1995-05-02 Tokyo Electron Limited Magnetron plasma processing apparatus
US5231334A (en) * 1992-04-15 1993-07-27 Texas Instruments Incorporated Plasma source and method of manufacturing
US5439524A (en) * 1993-04-05 1995-08-08 Vlsi Technology, Inc. Plasma processing apparatus
US5777289A (en) * 1995-02-15 1998-07-07 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US5919382A (en) * 1994-10-31 1999-07-06 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor
US5811022A (en) * 1994-11-15 1998-09-22 Mattson Technology, Inc. Inductive plasma reactor
JP3595608B2 (ja) * 1995-05-30 2004-12-02 アネルバ株式会社 真空処理装置、真空処理装置における真空容器内面堆積膜除去方法及び真空処理装置における真空容器内面膜堆積均一化方法
TW279240B (en) * 1995-08-30 1996-06-21 Applied Materials Inc Parallel-plate icp source/rf bias electrode head
US6054013A (en) * 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US6036878A (en) * 1996-02-02 2000-03-14 Applied Materials, Inc. Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
US6013155A (en) * 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
US5846883A (en) * 1996-07-10 1998-12-08 Cvc, Inc. Method for multi-zone high-density inductively-coupled plasma generation
US7404863B2 (en) * 1997-05-09 2008-07-29 Semitool, Inc. Methods of thinning a silicon wafer using HF and ozone
US6086679A (en) * 1997-10-24 2000-07-11 Quester Technology, Inc. Deposition systems and processes for transport polymerization and chemical vapor deposition
WO1999026277A1 (en) * 1997-11-17 1999-05-27 Mattson Technology, Inc. Systems and methods for plasma enhanced processing of semiconductor wafers
US6085688A (en) * 1998-03-27 2000-07-11 Applied Materials, Inc. Method and apparatus for improving processing and reducing charge damage in an inductively coupled plasma reactor
US6203657B1 (en) * 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
US6164241A (en) * 1998-06-30 2000-12-26 Lam Research Corporation Multiple coil antenna for inductively-coupled plasma generation systems
GB2344930B (en) * 1998-12-17 2003-10-01 Trikon Holdings Ltd Inductive coil assembly
US6229264B1 (en) * 1999-03-31 2001-05-08 Lam Research Corporation Plasma processor with coil having variable rf coupling
US6331754B1 (en) * 1999-05-13 2001-12-18 Tokyo Electron Limited Inductively-coupled-plasma-processing apparatus
US6565661B1 (en) * 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
JP2001023797A (ja) * 1999-07-02 2001-01-26 Tokyo Electron Ltd 誘導結合プラズマ処理装置
US6287643B1 (en) * 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
DE10060002B4 (de) * 1999-12-07 2016-01-28 Komatsu Ltd. Vorrichtung zur Oberflächenbehandlung
US6478924B1 (en) * 2000-03-07 2002-11-12 Applied Materials, Inc. Plasma chamber support having dual electrodes
US6450117B1 (en) * 2000-08-07 2002-09-17 Applied Materials, Inc. Directing a flow of gas in a substrate processing chamber
US6716302B2 (en) * 2000-11-01 2004-04-06 Applied Materials Inc. Dielectric etch chamber with expanded process window
KR100413145B1 (ko) * 2001-01-11 2003-12-31 삼성전자주식회사 가스 인젝터 및 이를 갖는 식각 장치
JP3886424B2 (ja) * 2001-08-28 2007-02-28 鹿児島日本電気株式会社 基板処理装置及び方法
US20030070620A1 (en) * 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
KR100974848B1 (ko) * 2001-12-03 2010-08-11 가부시키가이샤 알박 혼합기, 박막 제조 장치 및 박막 제조 방법
US20030160024A1 (en) * 2002-02-27 2003-08-28 Tadayashi Kawaguchi Plasma processing method and apparatus
US20030192645A1 (en) * 2002-04-16 2003-10-16 Applied Materials, Inc. Method and apparatus for creating circumferential process gas flow in a semiconductor wafer plasma reactor chamber
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
JP2004281230A (ja) * 2003-03-14 2004-10-07 Ebara Corp ビーム源及びビーム処理装置
US20050011447A1 (en) * 2003-07-14 2005-01-20 Tokyo Electron Limited Method and apparatus for delivering process gas to a process chamber
KR100550342B1 (ko) * 2004-02-24 2006-02-08 삼성전자주식회사 가스 산포 방법, 및 샤워 헤드, 및 샤워 헤드를 구비하는반도체 기판 가공 장치
US20060000802A1 (en) * 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
JP5519105B2 (ja) * 2004-08-02 2014-06-11 ビーコ・インストゥルメンツ・インコーポレイテッド 化学気相成長の方法及び化学気相成長リアクタ用のガス供給システム
US7510624B2 (en) * 2004-12-17 2009-03-31 Applied Materials, Inc. Self-cooling gas delivery apparatus under high vacuum for high density plasma applications
US7482247B1 (en) * 2004-12-30 2009-01-27 Novellus Systems, Inc. Conformal nanolaminate dielectric deposition and etch bag gap fill process
US20070138134A1 (en) * 2005-12-19 2007-06-21 Chuan-Han Hsieh Etching apparatus and etching method
US7619179B2 (en) * 2006-01-20 2009-11-17 Tokyo Electron Limited Electrode for generating plasma and plasma processing apparatus using same
US20080156631A1 (en) * 2006-12-27 2008-07-03 Novellus Systems, Inc. Methods of Producing Plasma in a Container
US20080156264A1 (en) 2006-12-27 2008-07-03 Novellus Systems, Inc. Plasma Generator Apparatus
US8110068B2 (en) * 2008-03-20 2012-02-07 Novellus Systems, Inc. Gas flow distribution receptacles, plasma generator systems, and methods for performing plasma stripping processes
US9591738B2 (en) * 2008-04-03 2017-03-07 Novellus Systems, Inc. Plasma generator systems and methods of forming plasma
US20100294860A1 (en) * 2009-05-22 2010-11-25 Mei-Wei Hsieh Flexible Shower Head with Temperature Sensors

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3691528B2 (ja) * 1993-08-27 2005-09-07 アプライド マテリアルズ インコーポレイテッド 高密度プラズマcvd及びエッチングリアクタ
US20020033233A1 (en) * 1999-06-08 2002-03-21 Stephen E. Savas Icp reactor having a conically-shaped plasma-generating section

Also Published As

Publication number Publication date
US8864935B2 (en) 2014-10-21
WO2008143659A2 (en) 2008-11-27
WO2008143659A3 (en) 2009-01-22
KR20090094290A (ko) 2009-09-04
US20080156264A1 (en) 2008-07-03
US20120247674A1 (en) 2012-10-04
US20150075718A1 (en) 2015-03-19

Similar Documents

Publication Publication Date Title
KR101426054B1 (ko) 플라즈마 발생 장치
US20080156631A1 (en) Methods of Producing Plasma in a Container
JP3653524B2 (ja) プラズマ発生方法、および誘導結合されたプラズマ発生源を含むプラズマ発生装置
KR101759018B1 (ko) 플라즈마 발생기 시스템 및 플라즈마 형성 방법
JP3912993B2 (ja) 中性粒子ビーム処理装置
US20020187280A1 (en) Method and system for reducing damage to substrates during plasma processing with a resonator source
JP4070152B2 (ja) トロイダル低電場反応性ガスソース
JP2002237489A (ja) 低周波誘導型高周波プラズマ反応装置
JP2001500322A (ja) 均一でかつ与える損傷が少なくかつ異方的な処理のための装置と方法
TWI676212B (zh) 處理裝置以及氣體簇產生裝置及產生方法
JPH07211490A (ja) 低圧誘導結合プラズマ点火装置
JPH08279495A (ja) プラズマ処理装置及びその方法
JP2008544499A (ja) 電極面積比を調整可能な閉じ込めプラズマ
JP2004281230A (ja) ビーム源及びビーム処理装置
TWI815822B (zh) 電漿處理裝置及電漿處理方法
JP2018037281A (ja) プラズマ処理装置
JP3621900B2 (ja) プラズマ処理装置および方法
KR20070101067A (ko) 복합 플라즈마 소스 및 이를 이용한 가스 분리 방법
KR101195859B1 (ko) 펄스 직류 전원을 이용한 플라즈마 처리장치
KR100743842B1 (ko) 자속 채널에 결합된 플라즈마 챔버를 구비한 플라즈마반응기
JP4032625B2 (ja) プラズマ処理装置及びプラズマ点灯方法
JP4160823B2 (ja) ラジカル支援ドライエッチング装置
JP4527833B2 (ja) プラズマ処理装置および方法
CN219759522U (zh) 中空阴极放电辅助之变压器耦合电浆源
JP2011187507A (ja) プラズマ処理装置およびプラズマ処理方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20170713

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20180713

Year of fee payment: 5