KR101423350B1 - Controlled ambient system for interface engineering - Google Patents

Controlled ambient system for interface engineering Download PDF

Info

Publication number
KR101423350B1
KR101423350B1 KR1020097006393A KR20097006393A KR101423350B1 KR 101423350 B1 KR101423350 B1 KR 101423350B1 KR 1020097006393 A KR1020097006393 A KR 1020097006393A KR 20097006393 A KR20097006393 A KR 20097006393A KR 101423350 B1 KR101423350 B1 KR 101423350B1
Authority
KR
South Korea
Prior art keywords
atmosphere
transfer module
substrate
environment
module
Prior art date
Application number
KR1020097006393A
Other languages
Korean (ko)
Other versions
KR20090069278A (en
Inventor
존 보이드
예즈디 도르디
티루히라팔리 아루나기리
벤자민 더블유 무링
존 파크스
윌리엄 티
프리츠 씨 레데커
아서 엠 하월드
앨런 쇠프
데이비드 헴커
칼 우즈
형석 알렉산더 윤
알렉산더 오크자르츠
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/513,634 external-priority patent/US8771804B2/en
Priority claimed from US11/611,758 external-priority patent/US20080057182A1/en
Priority claimed from US11/639,752 external-priority patent/US9117860B2/en
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20090069278A publication Critical patent/KR20090069278A/en
Application granted granted Critical
Publication of KR101423350B1 publication Critical patent/KR101423350B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices

Abstract

기판을 프로세싱하기 위한 클러스터 아키텍쳐 및 방법이 개시된다. 클러스터 아키텍쳐는 하나 이상의 습식 기판 프로세싱 모듈에 연결되는 랩-분위기 제어 이송 모듈을 포함한다. 랩-분위기 제어 이송 모듈 및 하나 이상의 습식 기판 프로세싱 모듈은 제 1 분위기 환경을 관리하도록 구성된다. 랩-분위기 제어 이송 모듈 및 하나 이상의 플라즈마 프로세싱 모듈에 연결되는 진공 이송 모듈이 또한 제공된다. 진공 이송 모듈 및 하나 이상의 플라즈마 프로세싱 모듈은 제 2 분위기 환경을 관리하도록 구성된다. 또한, 진공 이송 모듈 및 하나 이상의 분위기 프로세싱 모듈에 연결되는 제어 분위기 이송 모듈이 또한 포함된다. 제어 분위기 이송 모듈 및 하나 이상의 분위기 프로세싱 모듈은 제 3 분위기 환경을 관리하도록 구성된다. 따라서, 클러스터 아키텍쳐는 연관된 천이 동안 뿐아니라 제 1, 제 2 또는 제 3 분위기 환경 중 어느 것에서 기판의 제어된 프로세싱을 가능하게 한다. 실시형태들은 또한 기판의 트렌치를 충전하는 효율적인 방법을 제공한다.

Figure R1020097006393

클러스터 아키텍쳐, 습식 기판 프로세싱 모듈, 랩-분위기 제어 이송 모듈, 분위기 환경, 플라즈마 프로세싱 모듈, 진공 이송 모듈

A cluster architecture and method for processing a substrate is disclosed. The cluster architecture includes a wrap-mood control transfer module coupled to one or more wet substrate processing modules. The wrap-atmosphere control transfer module and the at least one wet substrate processing module are configured to manage the first atmosphere environment. A vacuum transfer module coupled to the lab-atmosphere control transfer module and to one or more plasma processing modules is also provided. The vacuum transfer module and the at least one plasma processing module are configured to manage a second atmospheric environment. Also included is a controlled atmosphere transfer module coupled to the vacuum transfer module and one or more atmosphere processing modules. The controlled atmosphere transfer module and the at least one atmosphere processing module are configured to manage the third atmosphere environment. Thus, the cluster architecture enables controlled processing of the substrate in either the first, second, or third atmosphere environment as well as during the associated transition. Embodiments also provide an efficient method of filling a trench in a substrate.

Figure R1020097006393

Cluster architecture, wet substrate processing module, lab-atmosphere control transfer module, atmosphere environment, plasma processing module, vacuum transfer module

Description

인터페이스 가공을 위한 제어 분위기 시스템{CONTROLLED AMBIENT SYSTEM FOR INTERFACE ENGINEERING}  [0001] CONTROLLED AMBIENT SYSTEM FOR INTERFACE ENGINEERING [0002]

배경background

반도체 프로세싱은 일반적으로 환경 및 툴 동작에 대한 엄격한 제어와 함께, 고도로 제어된 방식으로 수행된다. 이들 툴을 하우징하는 클린 룸은, 예를 들어 동작시 발생될 수 있는 입자의 양을 제한하는 엄격한 요구조건 및 다른 제어된 파라미터를 충족시켜야 한다. 프로세스 시에, 기판은 다수의 시스템들 사이에서 이동될 필요가 있을 수도 있으며, 자주 그 시스템들 사이의 이동은 집적회로 디바이스를 제조하기 위해 프로세싱될 필요가 있는 원하는 디바이스, 층 및 구조에 따라 여러 번 반복된다. Semiconductor processing is generally performed in a highly controlled manner, with tight control over environmental and tool operations. A clean room housing these tools must meet stringent requirements and other controlled parameters that limit the amount of particles that can be generated, for example, during operation. During processing, the substrate may need to be moved between multiple systems, and movement between the systems frequently occurs several times depending on the desired device, layer, and structure that need to be processed to fabricate the integrated circuit device Is repeated.

비록 반도체 장비는 반도체 웨이퍼의 생산을 위한 자격을 얻기 위한 엄격한 규정을 충족해야 하지만, 이들 규정은 대부분 통상 개개의 툴에 연결된다. 동작 시, 웨이퍼가 습식 툴에서 프로세싱될 필요가 있는 경우, 그 툴은 그것의 프로세싱을 완료하고, 그 후 기판은 건식일 수도 있는 또 다른 툴로 운반될 필요가 있다. 제조 시, 이들 기판은 클린 룸 자동화 시스템들 사이에서 이동될 수도 있다. 통상, 기판은 폐쇄된 컨테이너 내에서 운반 또는 이동되며, 그 후 다른 툴에 연결된다. 따라서, 플라즈마 프로세싱 동작이 필요하다면, 기판(들)은 하나 이상의 이송 모듈 및 건식 프로세싱 모듈에 의해 정의되는 클러스터 툴로 이동될 수도 있다. Although semiconductor equipment must meet stringent regulations to qualify for the production of semiconductor wafers, these regulations are usually connected to individual tools. In operation, when a wafer needs to be processed in a wet tool, the tool needs to be transported to another tool that completes its processing, and then the substrate may be dry. In manufacturing, these substrates may be moved between clean room automation systems. Typically, the substrate is carried or moved within a closed container and then connected to another tool. Thus, if a plasma processing operation is required, the substrate (s) may be moved to a cluster tool defined by one or more transport modules and a dry processing module.

플라즈마 프로세싱 모듈들은 일반적으로 하나의 클러스터 내에서 함께 결합되지만, 클러스터는 동일한 분위기를 갖는 수개의 타입의 플라즈마 프로세싱 또는 프로세스로 제한된다. 즉, 프로세싱이 건식이라면 (예를 들어, 플라즈마 프로세싱), 기판은 프로세스가 상이한 타입의 시스템으로의 이동을 요구할 때까지 그 클러스터 내에서 핸들링될 것이다. 모듈과 클러스터 사이에서의 기판의 운반은 매우 주의 깊은 방식으로 핸들링되지만, 기판은 산소에 노출된다. 산소는 클린 룸 (또는 폐쇄된 컨테이너) 내에 존재하는 산소일 수도 있으며, 비록 환경이 제어되고 깨끗하더라도, 이동시의 산소에의 노출은 다음의 동작이 수행될 수 있기 전에 피쳐 또는 층의 산화를 초래할 수 있다. 종종, 간단히 알려진 클린 룸 내에서의 운반 시의 산소에의 노출은 제조 시퀀스로 하여금 더욱 많은 비용과 사이클로 추가의 산화물 제거 단계를 포함하도록 한다. 그러나, 비록 산화물 제거 단계가 수행되더라도, 다음 단계 전의 큐 타임은 여전히 약간의 산화의 발생을 초래할 수도 있다. Plasma processing modules are generally coupled together within a cluster, but the clusters are limited to several types of plasma processing or processes having the same atmosphere. That is, if the processing is dry (e. G., Plasma processing), the substrate will be handled within the cluster until the process requires movement to a different type of system. The transport of the substrate between the module and the cluster is handled in a very careful manner, but the substrate is exposed to oxygen. Oxygen may be oxygen present in a clean room (or enclosed container), and even if the environment is controlled and clean, exposure to oxygen during transport may result in oxidation of the feature or layer before the next operation can be performed have. Often, exposure to oxygen during transport in a known cleanroom allows the manufacturing sequence to include additional oxide removal steps at greater cost and cycle. However, even if the oxide removal step is performed, the cue time before the next step may still cause some oxidation to occur.

상술한 것의 관점에서, 비제어된 분위기에의 불필요한 노출을 피하면서, 제조 프로세스 동안 기판을 핸들링하는 시스템, 구조 및 방법에 대한 필요가 존재한다. In view of the foregoing, there is a need for a system, structure and method for handling substrates during a manufacturing process, while avoiding unnecessary exposure to uncontrolled atmospheres.

개요summary

대체로 말하면, 실시형태들은 기판을 프로세싱하기 위한 클러스터 아키텍쳐, 및 클러스터의 모듈들 중에서의 천이를 가능하게 하는 방법을 제공함으로써 그 필요를 충족시킨다. 기판의 프로세싱은 하나 이상의 이송 모듈 간의 이송 중에 뿐아니라 프로세싱의 각각의 스테이지 중에 제어된 분위기 환경에서 수행되며, 배리어층 상으로의 직접적인 도금을 가능하게 하며 갭 충전 프로세스를 위한 시드층에 대한 필요를 회피한다. 본 발명은 솔루션으로서 방법, 프로세스, 장치, 또는 시스템을 포함하여 다수의 방법으로 수행될 수 있다는 것이 인정되어야 한다. 본 발명의 수개의 발명적 실시형태가 이하에 설명된다.Generally speaking, embodiments satisfy the need by providing a cluster architecture for processing a substrate, and a method of enabling transitions among modules of a cluster. Processing of the substrate is performed in a controlled atmospheric environment during each stage of processing as well as during transfer between one or more transfer modules, enabling direct plating onto the barrier layer and avoiding the need for a seed layer for a gap filling process do. It should be appreciated that the present invention can be carried out in numerous ways, including as a solution, a method, a process, an apparatus, or a system. Several inventive embodiments of the invention are described below.

일 실시형태에서, 기판을 프로세싱하기 위한 클러스터 아키텍쳐가 개시된다. 클러스터 아키텍쳐는 하나 이상의 습식 기판 프로세싱 모듈에 연결되는 랩-분위기 제어 이송 모듈을 포함한다. 랩-분위기 제어 이송 모듈 및 하나 이상의 습식 기판 프로세싱 모듈은 제 1 분위기 환경을 관리하도록 구성된다. 랩-분위기 제어 이송 모듈 및 하나 이상의 플라즈마 프로세싱 모듈에 연결되는 진공 이송 모듈이 또한 제공된다. 진공 이송 모듈 및 하나 이상의 플라즈마 프로세싱 모듈은 제 2 분위기 환경을 관리하도록 구성된다. 그리고, 진공 이송 모듈 및 하나 이상의 분위기 프로세싱 모듈에 연결되는 제어 분위기 이송 모듈이 또한 포함된다. 제어 분위기 이송 모듈 및 하나 이상의 분위기 프로세싱 모듈은 제 3 분위기 환경을 관리하도록 구성된다. 따라서, 클러스터 아키텍쳐는 제 1, 제 2 또는 제 3 분위기 환경 중 어느 것에서 기판의 제어된 프로세싱을 가능하게 한다. 일 예에서, 제 1, 제 2 및 제 3 분위기 환경은 슬롯 밸브 및 로드록에 의해 분리된다. 슬롯 밸브는, 건식 플라즈마 프로세싱 및 습식 프로세싱이 클러스터 아키텍쳐의 외부에 있는 산소 환경에의 기판의 노출 없이 클러스터 아키텍쳐 내에서 가능하게 되는 경우, 기판의 천이가 로드록을 통해 제공될 때 분위기 환경들 간의 분리를 정의한다. In one embodiment, a cluster architecture for processing a substrate is disclosed. The cluster architecture includes a wrap-mood control transfer module coupled to one or more wet substrate processing modules. The wrap-atmosphere control transfer module and the at least one wet substrate processing module are configured to manage the first atmosphere environment. A vacuum transfer module coupled to the lab-atmosphere control transfer module and to one or more plasma processing modules is also provided. The vacuum transfer module and the at least one plasma processing module are configured to manage a second atmospheric environment. Also included is a controlled atmosphere transfer module coupled to the vacuum transfer module and one or more atmosphere processing modules. The controlled atmosphere transfer module and the at least one atmosphere processing module are configured to manage the third atmosphere environment. Thus, the cluster architecture enables controlled processing of the substrate in either the first, second, or third atmosphere environment. In one example, the first, second and third atmospheric environments are separated by a slot valve and a load lock. The slot valve is used for the separation between atmosphere environments when the transition of the substrate is provided through a load lock when dry plasma processing and wet processing are enabled within the cluster architecture without exposing the substrate to the oxygen environment outside the cluster architecture .

또 다른 실시형태에서, 클러스터 아키텍쳐 내에서 기판을 처리하는 방법이 개시된다. 그 방법은 랩-분위기 이송 모듈을 하나 이상의 습식 프로세싱 모듈과 인터페이싱하도록 구성하는 단계를 포함하며, 여기서 랩-분위기 이송 모듈 및 하나 이상의 습식 프로세싱 모듈의 각각은 제 1 분위기 환경에서 동작한다. 방법은 또한 진공 이송 모듈을 하나 이상의 플라즈마 프로세싱 모듈과 인터페이싱하도록 구성하며, 여기서 진공 이송 모듈 및 하나 이상의 플라즈마 프로세싱 모듈의 각각은 제 2 분위기 환경에서 동작한다. 또한, 방법은 제어 분위기 이송 모듈을 하나 이상의 도금 모듈과 인터페이싱하도록 구성하는 단계를 포함하며, 여기서 제어 분위기 이송 모듈 및 하나 이상의 도금 모듈의 각각은 제 3 분위기 환경에서 동작한다. 본 방법에 따르면, 외부의 비제어된 분위기 조건에 노출됨 없이 클러스터 아키텍쳐 내의 제 1, 제 2, 및 제 3 분위기 환경 사이에서의 천이가 가능하게 된다. In yet another embodiment, a method of processing a substrate within a cluster architecture is disclosed. The method includes configuring a lab-atmospheric transfer module to interface with at least one wet processing module, wherein each of the lab-atmospheric transfer module and at least one of the at least one wet processing module operates in a first atmospheric environment. The method also configures the vacuum transfer module to interface with the at least one plasma processing module, wherein each of the vacuum transfer module and the at least one plasma processing module operates in a second atmospheric environment. The method also includes configuring the controlled atmosphere transfer module to interface with one or more plating modules, wherein each of the controlled atmosphere transfer module and one or more plating modules operates in a third atmospheric environment. According to the present method, transitions between the first, second, and third atmosphere environments within the cluster architecture are enabled without exposure to external uncontrolled atmospheric conditions.

일 실시형태에서, 제어된 환경에서 기판의 트렌치를 충전하는 방법이 제공된다. 그 방법은 클러스터 툴의 제 1 챔버 내에서 기판의 트렌치를 에칭하는 것으로 시작한다. 일렉트로마이그레이션을 방지하도록 구성된 배리어층이 클러스터 툴의 제 2 챔버 내에서 트렌치의 노출된 표면 위에 증착되고 트렌치는 클러스터 툴 내의 배리어층 상으로 직접 증착되는 갭 충전 재료로 충전된다.In one embodiment, a method of filling a trench of a substrate in a controlled environment is provided. The method begins by etching the trenches of the substrate in the first chamber of the cluster tool. A barrier layer configured to prevent electromigration is deposited on the exposed surface of the trench in a second chamber of the cluster tool and the trench is filled with a gap fill material deposited directly on the barrier layer in the cluster tool.

또 다른 실시형태에서, 기판 상에 시드층을 적용함 없이 갭 충전을 수행하는 방법이 제공된다. 그 방법은 그 안에 정의된 트렌치를 갖는 기판 표면 상에 제 1 배리어층을 증착시키는 단계를 포함한다. 제 2 배리어층은 제 1 배리어층 상에 증착되며 트렌치의 개방 영역은 제 2 배리어층의 표면 상으로 직접 증착되는 도전성 재료로 충전된다. In yet another embodiment, a method is provided for performing gap filling without applying a seed layer on a substrate. The method includes depositing a first barrier layer on a substrate surface having a trench defined therein. A second barrier layer is deposited on the first barrier layer and an open area of the trench is filled with a conductive material deposited directly on the surface of the second barrier layer.

반도체 디바이스는 클러스터 툴의 제 1 챔버 내에서 기판의 피쳐를 에칭하는 단계, 클러스터 툴의 제 2 챔버 내에서 피쳐의 노출된 표면 내로 구리의 확산을 방지하도록 구성된 배리어층을 증착하는 단계, 및 배리어층 상으로 직접 증착되는 갭 충전 재료로 피쳐를 충전하는 단계를 포함하는 프로세스에 의해 제조된다. The semiconductor device includes the steps of: etching a feature of the substrate in a first chamber of the cluster tool; depositing a barrier layer configured to prevent diffusion of copper into the exposed surface of the feature in a second chamber of the cluster tool; Filling the features with a gap fill material deposited directly onto the substrate.

본 발명의 다른 양태 및 이점은 본 발명의 원리를 예로서 설명하는, 첨부된 도면과 함께 취해진, 다음의 상세한 설명으로부터 명백하게 될 것이다.Other aspects and advantages of the present invention will become apparent from the following detailed description, taken in conjunction with the accompanying drawings, illustrating by way of example the principles of the invention.

도면의 간단한 설명Brief Description of Drawings

본 발명은 첨부된 도면과 함께 다음의 상세한 설명에 의해 용이하게 이해될 것이며, 동일한 참조부호는 동일한 구성 요소를 나타낸다.BRIEF DESCRIPTION OF THE DRAWINGS The present invention will be readily understood by the following detailed description in conjunction with the accompanying drawings, wherein like reference numerals designate like elements.

도 1은 본 발명의 일 실시형태에 따라, 예시적 시스템 다이어그램, 및 특별히 설계된 제조 공정을 위한 시스템을 관리할 수 있는 컴퓨터 제어를 나타낸다.BRIEF DESCRIPTION OF THE DRAWINGS Figure 1 shows an exemplary system diagram and computer control capable of managing a system for a specially designed manufacturing process, in accordance with an embodiment of the present invention.

도 2a 내지 도 2d6 은 본 발명의 일 실시형태에 따라, 제어 분위기 프로세싱을 수행할 수도 있는 예시적 하드웨어를 나타낸다.Figures 2a-d represent exemplary hardware that may perform control atmosphere processing, in accordance with an embodiment of the invention.

도 3 내지 도 4는 본 발명의 일 실시형태에 따라, 이송 모듈과 프로세스 모듈 중에서의 천이의 제어 분위기 실행에 의해 용이하게 될 수도 있는 예시적 프로 세스 플로우를 나타낸다.Figures 3-4 illustrate an exemplary process flow that may be facilitated by the execution of the control atmosphere of the transition between the transport module and the process module, in accordance with one embodiment of the present invention.

도 5는 본 발명의 일 실시형태에 따라, 분위기 제어 모듈의 프로세싱 영역들 중에서의 프로세스 천이에 관한 결정을 행하는 데 있어서 실행될 수도 있는 예시적 플로우 다이어그램을 나타낸다.Figure 5 illustrates an exemplary flow diagram that may be executed in making a determination regarding process transitions among the processing regions of the atmosphere control module, in accordance with an embodiment of the present invention.

도 6은 본 발명의 일 실시형태에 따른 프로세싱을 위한 기판의 층들을 나타내는 단순화된 개략도이다.6 is a simplified schematic diagram illustrating layers of a substrate for processing in accordance with an embodiment of the present invention.

도 7은 에칭된 트렌치를 갖는 층을 나타낸다.Figure 7 shows a layer with etched trenches.

도 8은 기판의 노출 표면 및 트렌치의 노출 표면 위에 증착되는 등각 배리어층 (conformal barrier layer) 을 나타내는 단순화된 개략도이다.8 is a simplified schematic diagram illustrating a conformal barrier layer deposited over an exposed surface of a substrate and an exposed surface of the trench.

도 9는 배리어층 위에 증착된 제 2 등각 층을 나타내는 단순화된 개략도이다.Figure 9 is a simplified schematic diagram showing a second conformal layer deposited over the barrier layer.

도 10은 평탄화 프로세스가 수행된 후 구리선을 산출하기 위해 트렌치 내에 구리 충전이 수행된다.FIG. 10 shows that copper filling is performed in the trenches to produce copper lines after the planarization process is performed.

도 11은 본 발명의 일 실시형태에 따라, 배리어층 상으로 직접 갭 충전을 수행하며, 이로써 PVD 시드층에 대한 필요를 제거하는 방법 동작을 나타내는 플로우 챠트 다이어그램이다.11 is a flow chart diagram illustrating a method operation that performs a direct gap fill on a barrier layer, thereby eliminating the need for a PVD seed layer, in accordance with an embodiment of the present invention.

상세한 설명details

기판을 프로세싱하기 위한 예시적 클러스터 아키텍쳐, 및 클러스터의 모듈들 중에서의 천이를 가능하게 하는 방법을 정의하는 수개의 예시적인 실시형태가 개시된다. 기판의 프로세싱은 하나 이상의 이송 모듈 간의 이송 동안 뿐아니라, 프 로세싱의 각 스테이지 동안에 제어된 분위기 환경에서 수행된다. 상이한 클러스터링된 시스템 간의 및 상이한 클러스터링된 시스템 내의 분위기 조건을 정의 및 제어하는 통합된 클러스터 아키텍쳐를 제공함으로써, 기판이 비제어된 환경에 접촉하는 것 (예를 들어, 원하는 것보다 더욱 많은 산소 또는 다른 원하지 않는 원소 및/또는 습기를 갖는 것) 을 방지하면서, 동일한 전체의 시스템에서 다른 프로세싱 후에 즉시 상이한 층, 피쳐, 또는 구조를 제조하는 것이 가능하다. 본 발명은 프로세스, 방법, 장치, 또는 시스템을 포함하여 다수의 방식으로 구현될 수 있다. 본 발명의 수개의 발명적 실시형태가 이하에 기재된다. 본 발명이 여기에 진술된 특정의 상세의 일부 또는 전부가 없이 실시될 수도 있다는 것은 당업자에게는 명백하다.Several exemplary embodiments are described that define an exemplary cluster architecture for processing a substrate, and a method for enabling transitions among modules of a cluster. The processing of the substrate is performed not only during transfer between one or more transfer modules, but also in a controlled atmosphere environment during each stage of processing. By providing an integrated cluster architecture that defines and controls atmosphere conditions between different clustered systems and in different clustered systems, it is possible for the substrate to be in contact with an uncontrolled environment (e.g., It is possible to produce different layers, features, or structures immediately after other processing in the same overall system, while avoiding the presence of elements and / or moisture. The invention may be embodied in many ways, including as a process, method, apparatus, or system. Several inventive embodiments of the invention are described below. It will be apparent to those skilled in the art that the present invention may be practiced without some or all of the specific details set forth herein.

정의된 실시형태의 제어된 분위기 조건으로부터 이익을 얻을 수 있는 하나의 애플리케이션은 기판의 표면 특성 및 조성에 매우 종속적인 금속 층의 무전해 증착이다. 예를 들어, 탄탈 (Ta) 및 루테늄 (Ru) 표면 등의 배리어 금속 상의 구리의 무전해 도금은 전해도금 이전의 시드층 형성 및 리소그래피로 정의된 패턴 내의 구리 (Cu) 선의 선택적 증착의 양자에 관심이 있다.One application that can benefit from the controlled atmosphere conditions of a defined embodiment is the electroless deposition of a metal layer that is highly dependent on the surface properties and composition of the substrate. For example, electroless plating of copper on barrier metals such as tantalum (Ta) and ruthenium (Ru) surfaces is of interest to both seed layer formation prior to electrolytic plating and selective deposition of copper (Cu) .

본 발명의 정의된 실시형태에 의해 이제 극복된 주요 문제는 산소 (O2) 의 존재하에서 형성된 극히 얇은 자연발생 금속 산화물층에 의한 무전해 증착 프로세스의 방해이다. 유사한 이슈가 다른 애플리케이션 뿐아니라 구리선 상의 선택적 캡핑 (capping) 에 존재했다. 예시적 층/재료는 CoWP (코발트 텅스텐 포스 파이드), CoWB (코발트 텅스텐 보라이드), 또는 CoWBP (코발트 텅스텐 보로-포스파이드) 를 포함할 수도 있는 코발트 합금 캡핑층이다. 캡핑층은 구리선에의 유전체 배리어층의 접착을 개선하는데 사용되며, 따라서 이들 선의 일렉트로마이그레이션을 개선한다. The main problem now overcome by the defined embodiments of the present invention is the interruption of the electroless deposition process by the extremely thin naturally occurring metal oxide layer formed in the presence of oxygen (O 2 ). Similar issues existed in selective capping on copper as well as in other applications. An exemplary layer / material is a cobalt alloy capping layer that may include CoWP (cobalt tungsten phosphide), CoWB (cobalt tungsten boride), or CoWBP (cobalt tungsten boro-phosphide). The capping layer is used to improve the adhesion of the dielectric barrier layer to the copper wire, thus improving the electromigration of these lines.

따라서, 가공된 인터페이스의 적절한 관리 (예를 들어, 증착 이전의 표면 제작 시퀀스) 가 중요하다. 가공된 인터페이스는 층, 피쳐 또는 재료에 대한 것일 수도 있다. 이리하여, 극히 순수한 표면을 제작하는 것과 순수한 인터페이스를 유지하는 것은 제어된 분위기 방식으로 적절한 표면 제작 시퀀스를 제공하는, 여기에 정의된 분위기 제어 아키텍쳐에 의해 용이하게 된다. 예를 들어, CoWBP 캡핑 프로세스에서, 전해질 화학약품 (electrolytic chemistry) 이 공식화되어 인접한 유전체 위에 노출된 Cu 상의 증착의 선택성을 제공한다. Therefore, proper management of the machined interface (e.g., surface preparation sequence prior to deposition) is important. The fabricated interface may be for a layer, a feature, or a material. Thus, making extremely pure surfaces and maintaining a pure interface is facilitated by the atmosphere control architecture defined herein, which provides a suitable surface preparation sequence in a controlled atmosphere. For example, in a CoWBP capping process, an electrolytic chemistry is formulated to provide selectivity for deposition on Cu exposed on adjacent dielectrics.

몇몇 예에서, 무전해 도금 이전의 웨이퍼 표면 및 다양한 인터페이스는 업스트림 프로세스, 통상 CMP 및 포스트-CMP 세정 시퀀스에 의해 결정된다. 양자의 경우, 갈바니 효과 및 부식이 Cu 표면을 BTA 로 패시베이팅하여 Cu-BTA 착물을 형성함으로써 제어된다. 이러한 금속-유기 하이브리드는 도금 이전에 제거되어 야 하며, 그렇지 않으면 도금이 방해된다. 또한, 유전체 표면은 Cu 및 그것의 산화물이 없어야 하고, Cu 표면은 Cu 산화물이 없어야 한다. 일 실시형태에서, 이들 조건은 원하는 제조 동작에 기대하지 않은 결과를 초래할 수도 있는 분위기 조건에의 원하지 않는 노출을 방지하는 분위기 제어된 클러스터 모듈에 의해 만족된다. In some instances, the wafer surface and the various interfaces prior to electroless plating are determined by upstream processes, typically CMP and post-CMP cleaning sequences. In both cases, the galvanic effect and corrosion are controlled by passivating the Cu surface with BTA to form a Cu-BTA complex. These metal-organic hybrids must be removed prior to plating, otherwise plating will be impeded. Also, the dielectric surface should be free of Cu and its oxides, and the Cu surface should be free of Cu oxides. In one embodiment, these conditions are satisfied by an atmosphere controlled cluster module that prevents unwanted exposure to atmospheric conditions that may result in unexpected results in desired manufacturing operations.

종래 기술의 시스템과 본 발명의 시스템 간의 일 예시적인 차이는 이전의 모듈 클러스터는 프로세스 챔버 내 및 이송 챔버 내 모두에서 항상 분위기를 제어하지는 않으므로, 인터페이스가 매 프로세스 시퀀스 마다 제어되고 안정되게 유지된다. 제어된 분위기 없이는, 준비된 인터페이스는 최소의 큐 타임으로도 거의 순간적으로 열화 또는 변화할 수 있다. One exemplary difference between a system of the prior art and the system of the present invention is that the previous module clusters do not always control the atmosphere in both the process chamber and the transfer chamber, so that the interface is controlled and stable for each process sequence. Without a controlled atmosphere, the prepared interface can degrade or change almost instantaneously with minimal queue time.

상기 개관을 마음에 두고, 이제 제어된 분위기 환경에서 기판의 프로세싱을 가능하게 하는 예시적인 구조 구성을 언급한다. 도 1은 본 발명의 일 실시형태에 따른 분위기 제어 클러스터 시스템 (100) 을 나타낸다. 분위기 제어 클러스터 시스템 (100) 은 다수의 분위기 제어 프로세싱 스테이지 (102a, 102b 및 102c) 를 포함한다. 이들 분위기 제어 프로세싱 스테이지의 각각은 상이한 스테이지 사이의 제어된 분위기 천이 뿐아니라 각각의 스테이지에서의 분위기 조건이 유지되도록 하는 방식으로 상호연결된다. 이들 분위기 제어 프로세싱 스테이지 (102a, 102b 및 102c) 의 각각은 제 1, 제 2 및 제 3 분위기 환경으로서 보여질 수도 있다. 분위기 환경 중에서의 천이가 이송 모듈 및 프로세스 모듈을 통한 횡단의 특정 선택 레시피 및 설계된 시퀀스에 의해 지령을 받기 때문에 제 1, 제 2 및 제 3 분위기 환경의 순서는 제한이 아니다.With this overview in mind, reference is now made to an exemplary structure construction that enables processing of a substrate in a controlled atmosphere environment. 1 shows an atmosphere control cluster system 100 according to an embodiment of the present invention. The atmosphere control cluster system 100 includes a plurality of atmosphere control processing stages 102a, 102b, and 102c. Each of these atmosphere control processing stages is interconnected in such a way that the atmosphere conditions at each stage are maintained as well as the controlled atmosphere transition between the different stages. Each of these atmosphere control processing stages 102a, 102b, and 102c may be viewed as a first, second, and third atmosphere environment. The order of the first, second, and third atmosphere environments is not limited because transitions in the ambient environment are commanded by a specific selection recipe and a designed sequence of traversal through the transport module and the process module.

일 실시형태에서, 분위기 제어 클러스터 시스템 (100) 은 반도체 웨이퍼 등의 반도체 기판의 층 또는 피쳐의 정밀한 프로세싱을 가능하게 하도록 구성된다. 특정의 웨이퍼 상에 제조될 층 또는 피쳐는 프로세싱의 스테이지에 의존한다. 예를 들어, 프로세싱은 라인의 전단 (FEOL: front end of line), 라인의 후단 (BEOL: back end of line), 또는 사이에 있는 임의의 프로세싱 시퀀스 또는 단계에 대한 것일 수도 있다. 분위기 제어 클러스터 시스템 (100) 이 제어된 분위기 환경에서 층 또는 피쳐(들)를 제조하는데 사용되는 예가 이제 제공된다.In one embodiment, the atmosphere control cluster system 100 is configured to enable precise processing of a layer or feature of a semiconductor substrate, such as a semiconductor wafer. The layer or feature to be fabricated on a particular wafer depends on the stage of processing. For example, the processing may be for a front end of line (FEOL), a back end of line (BEOL), or for any processing sequence or step between. An example in which the atmosphere control cluster system 100 is used to fabricate a layer or feature (s) in a controlled atmospheric environment is now provided.

동작 (110) 에서, 분위기 제어 클러스터 시스템 (100) 의 다양한 스테이지 (102) 를 통해 층이 제조될 수 있도록 제조할 층이 식별된다. 일단 층 또는 피쳐가 동작 (110) 에서 식별되면, 원하는 프로세싱을 가능하게 하도록 분위기 제어프로세싱 스테이지의 각각에서 상이한 모듈의 연결을 구성하기 위해 동작 (112) 가 수행된다. 분위기 제어 프로세싱 스테이지 (102) 의 각각은 국부적으로 연결된 프로세싱 모듈과 인터페이싱할 주요 이송 모듈을 포함할 것이다. 예를 들어, 분위기 제어 프로세싱 스테이지 (102c) 는 랩-분위기 제어 이송 모듈 (104c) 을 포함할 수도 있고, 분위기 제어 프로세싱 스테이지 (102b) 는 진공 이송 모듈 (104b) 를 포함할 수도 있으며, 분위기 제어 프로세싱 스테이지 (102a) 는 제어 분위기 이송 모듈 (104a) 을 포함할 수도 있다. In operation 110, the layers to be fabricated are identified so that the layers can be fabricated through the various stages 102 of the atmosphere control cluster system 100. Once a layer or feature is identified in operation 110, operation 112 is performed to configure the connections of different modules in each of the atmosphere control processing stages to enable the desired processing. Each of the atmosphere control processing stages 102 will include a main transport module for interfacing with locally connected processing modules. For example, the atmosphere control processing stage 102c may include a wrap-mood control transfer module 104c, the atmosphere control processing stage 102b may include a vacuum transfer module 104b, The stage 102a may include a controlled atmosphere transfer module 104a.

따라서, 각각의 이송 모듈 (104) 은 제어된 천이 (예를 들어, 로드록) 와 상호 연결될 것이며, 프로세스 내의 특정의 스테이지에서 층 또는 피쳐를 프로세싱하기 위해 요구되는 구성에 따라 그것과의 상호연결을 위한 상이한 프로세싱 모듈을 수용하도록 구성된다. 동작 (114) 에서, 상이한 분위기의 연결된 모듈들을 횡단하는 레시피가 정의되고, 사용자 인터페이스 (116) 로 입력된다.Thus, each transfer module 104 will be interconnected with a controlled transition (e. G., A load lock) and interconnected with it according to the configuration required to process the layer or feature at a particular stage in the process. Lt; RTI ID = 0.0 > a < / RTI > In operation 114, a recipe is traversed that traverses connected modules of different moods and is input to the user interface 116. [

사용자 인터페이스 (116) 는 분위기 제어 클러스터 시스템 (100) 과 통신하기 위한 화면 및 키보드를 갖는 컴퓨터일 수도 있다. 사용자 인터페이스 (116) 는 분위기 제어 클러스터 시스템 (100) 과 원격 상호작용을 위한 다른 시스템 컴퓨터에 연결되는 네트워킹된 컴퓨터일 수도 있다. 사용자 인터페이스 (116) 는 또한 상이한 이송 모듈 (104) 과 그 이송 모듈 (104) 의 각각에 연결된 프로세스 모듈 사이에서 기판을 이동시키기 위해, 사용자가 동작 (114) 에서 정의된 특정의 레시피를 입력하는 것을 가능하게 할 것이다. 특정의 실시형태에서, 분위기 제어 클러스터 시스템 (100) 은 클린 룸 환경에 상주할 것이며, 그것은 그 후 설비에 연결될 것이다. 공지된 바와 같은, 클린 룸의 설비는 각각의 분위기 제어 프로세싱 스테이지 (102) 에 요구된 유체, 가스, 압력, 냉각, 가열, 화학약품 등을 제공할 것이다. The user interface 116 may be a computer having a screen and a keyboard for communicating with the atmosphere control cluster system 100. The user interface 116 may be a networked computer connected to the atmosphere control cluster system 100 and other system computers for remote interaction. The user interface 116 also allows the user to enter a particular recipe defined in operation 114 to move the substrate between different transfer modules 104 and the process modules connected to each of the transfer modules 104 . In a particular embodiment, the atmosphere control cluster system 100 will reside in a clean room environment, which will then be connected to the facility. As is known, a clean room installation will provide the fluid, gas, pressure, cooling, heating, chemicals, etc. required for each of the atmosphere control processing stages 102.

이러한 예에서, 로드 모듈 (106) 은 분위기 제어 클러스터 시스템 (100) 으로의 기판의 이송을 제어하는, 사용자 인터페이스 (116) 에서 실행되는 코드의 지령에 따라, 분위기 제어 프로세싱 스테이지 (102c) 내로 기판 (105) 을 제공하도록 구성된다. 언로드 모듈 (108) 은 분위기 제어 프로세싱 스테이지 (102) 의 범위 내에서 프로세싱된 기판 (105) 을 수용할 수도 있다. 비록 로드 모듈 (106) 및 언로드 모듈 (108) 이 2 개의 분리된 모듈로 도시되지만, 로드 모듈 및 언로드 모듈은 동일한 형태의 모듈일 수도 있다는 것, 또는 기판이 동일한 로드 포트 모듈로부터 보내지고, 동일한 로드 포트 모듈에 의해 수용된다는 것이 이해되어야 한다. In this example, the load module 106 is coupled to a substrate (not shown) within the atmosphere control processing stage 102c, in accordance with the instructions of the code executed in the user interface 116 to control the transport of the substrate to the atmosphere control cluster system 100 105, respectively. The unload module 108 may receive the processed substrate 105 within the scope of the atmosphere control processing stage 102. Although the load module 106 and the unload module 108 are shown as two separate modules, it is to be understood that the load module and unload module may be modules of the same type, or that the substrate may be sent from the same load port module, Lt; RTI ID = 0.0 > port module. ≪ / RTI >

일 실시형태에서, 랩-분위기 제어 이송 모듈 (104c) 은 기판 (105) 을 수용하도록 구성된다. 일단 기판 (105) 이 랩-분위기 제어 이송 모듈 (104c) 내로 전달되면, 랩-분위기 제어 이송 모듈 (104c) 은 클린 룸 내에 존재하고 있을 수도 있는, 비제어된 분위기 압력의 약간 위인 압력에서 동작할 수도 있다. In one embodiment, the wrap-mood control transfer module 104c is configured to receive the substrate 105. Once the substrate 105 is transferred into the lap-atmosphere control transfer module 104c, the lap-atmosphere control transfer module 104c operates at a pressure slightly above the uncontrolled atmospheric pressure, which may be present in the clean room It is possible.

이러한 방식으로, 압력이 랩-분위기 제어 이송 모듈 (104c) 에서 약간 더 높으면, 랩-분위기 제어 이송 모듈 (104c) 내로 및 랩-분위기 제어 이송 모듈 (104c) 밖으로의 기판 (105) 의 인터페이싱은 랩-분위기 제어 이송 모듈 (104c) 밖으로 공기의 약간의 흐름을 초래할 것이다. 랩-분위기 제어 이송 모듈 (104c) 밖으로의 공기의 약간의 흐름은, 문 또는 문들이 랩-분위기 제어 이송 모듈 (104c) 내로 및 밖으로 기판 (105) 을 천이시키기 위해 열려있는 경우, 클린 룸 내에 존재하고 있을 수도 있는 미립자 또는 다른 분위기 공기가 랩-분위기 제어 이송 모듈 (104c) 내로 여과되지 않는 것을 보장한다. In this way, the interfacing of the substrate 105 into the lap-atmosphere control transfer module 104c and out of the lap-atmosphere control transfer module 104c, when the pressure is slightly higher in the lap-atmosphere control transfer module 104c, Will result in a slight flow of air out of the atmosphere controlled feed module 104c. The slight flow of air out of the wrap-atmosphere control transfer module 104c is present in the clean room when the door or doors are open to transit the substrate 105 into and out of the wrap-atmosphere control transfer module 104c Particulate or other atmospheric air that may be present in the lap-atmosphere control transfer module 104c is not filtered into the lap-atmosphere control transfer module 104c.

일 실시형태에서, 랩-분위기 제어 이송 모듈 (104c) 은 불활성 제어 분위기에서 선택적으로 동작할 수도 있다. 불활성 제어 분위기는 산소를 펌핑 아웃 (pump out)하고 산소를 불활성 가스로 대체할 수도 있는 분위기이다. 산소를 대체하기 위해 펌핑 인 (pump in) 될 수 있는 예시적 가스는 예를 들어 아르곤, 질소 및 그 프로세싱에 부정적으로 반응하지 않을 다른 가스일 수도 있다. 불활성 제어 분위기는, 랩-분위기 제어 이송 모듈 (104c) 에 선택적으로 제공된다면, 그것에 연결된 프로세싱 모듈에 또한 전달될 수도 있다. 예를 들어, 랩-분위기 제어 이송 모듈 (104c) 에 연결된 모듈에서 수행되는 임의의 습식 세정은 불활성 제어 분위기에서 또한 제어될 것이다. In one embodiment, the lap-mood control transfer module 104c may optionally operate in an inert control atmosphere. The inert control atmosphere is an atmosphere in which oxygen can be pumped out and oxygen replaced with an inert gas. An exemplary gas that may be pumped in to replace oxygen may be, for example, argon, nitrogen and other gases that will not react negatively to its processing. An inert control atmosphere may also be delivered to the processing module coupled thereto if it is selectively provided to the wrap-mood control transfer module 104c. For example, any wet cleaning performed in the module connected to the wrap-mood control transfer module 104c will also be controlled in an inert control atmosphere.

따라서, 랩-분위기 제어 이송 모듈 (104c) 은 분위기 제어 프로세싱 스테이 지 (102c) 내에서 다양한 습식 프로세싱 시스템 내로 및 밖으로 이동되는 기판 (105) 을 인터페이싱하고 분위기 제어 프로세싱 스테이지 (102c) 내에서 처리된 기판의 진공 이송 모듈 (104b) 내로의 천이를 가능하게 한다. 진공 이송 모듈 (104b) 내로의 천이는 하나 이상의 로드록을 통해 제어된 방식으로 발생할 것이다. 일단 기판이 진공 이송 모듈 (104b) 내에 상주하면, 기판 (105) 은 원하는 프로세싱을 가능하게 하도록 다양한 플라즈마 프로세싱 모듈 내로 및 밖으로 이동하는 것이 허용된다. 진공 이송 모듈 (104b) 은 또한 제어 분위기 이송 모듈 (104a) 에 연결되는 것으로 도시된다. Thus, the lap-mood control transfer module 104c interfaces the substrate 105 that is moved into and out of the various wet processing systems within the atmosphere control processing stage 102c and, in the atmosphere control processing stage 102c, To the vacuum transfer module 104b. Transitions into vacuum transfer module 104b will occur in a controlled manner through one or more load locks. Once the substrate resides within the vacuum transfer module 104b, the substrate 105 is allowed to move into and out of the various plasma processing modules to enable the desired processing. The vacuum transfer module 104b is also shown connected to the controlled atmosphere transfer module 104a.

진공 이송 모듈 (104b) 과 제어 분위기 이송 모듈 (104a) 사이의 기판 (105) 의 천이는 또한 기판 (105) 이 그러한 층 또는 피쳐를 파괴하거나 부정적으로 변경할 수도 있는 분위기에 노출되도록 이송 모듈 (104) 내에서 방금 프로세싱된 층 또는 피쳐의 부적절한 노출을 회피하도록 제어된 분위기 내로 천이하는 것을 가능하게 하면서, 진공 이송 모듈 (104b) 압력의 무결성을 유지하는 것을 보장하도록 하나 이상의 로드록을 통해 용이하게 된다. 일 예에서, 기판 (105) 이 분위기 제어 프로세싱 스테이지 (102b) 내에서 프로세싱되었고, 이리하여 분위기 제어 프로세싱 스테이지 (102a) 내로 이동되는 경우, 플라즈마 프로세싱된 피쳐 또는 층은 방금 프로세싱된 피쳐 또는 층을 손상하거나 화학적으로 변경할 수도 있는 분위기로의 임의의 비제어된 노출에 의해 손상되지 않는다. Transition of the substrate 105 between the vacuum transfer module 104b and the controlled atmosphere transfer module 104a may also be performed by the transfer module 104 to expose the substrate 105 to an atmosphere that may destroy or negatively alter such layer or feature, Through the one or more load locks to ensure that the vacuum transfer module 104b maintains the integrity of the pressure while allowing it to transition into a controlled atmosphere to avoid improper exposure of the layer or feature that has just been processed. In one example, when the substrate 105 has been processed in the atmosphere control processing stage 102b and thus is moved into the atmosphere control processing stage 102a, the plasma processed feature or layer may be damaged Lt; RTI ID = 0.0 > and / or < / RTI > chemically altered.

일 예로서, 제어 분위기 이송 모듈 (104a) 은 불활성 가스 분위기에서 동작할 것이다. 상술된 바와 같이, 불활성 분위기는 분위기 제어 프로세싱 스테이 지 (102a) 내의 대부분의 산소의 존재를 고갈 또는 감소시키는 불활성 가스로 펌핑되는 분위기이다. 일 예로서, 실질적으로 산소가 없는 것으로서 받아들여질 수 있고 보여질 수 있는 산소의 레벨은 3 ppm. (parts per million) 이하일 수도 있다. 몇몇 프로세스는 후속하는 프로세싱 이전 및 후속하는 프로세싱 동안의 표면 처리 후에 1 ppm 미만의 제어를 요구할 수도 있다. 분위기 제어 프로세싱 스테이지 (102a) 내에 불활성 환경을 구성함으로써, 분위기 제어 프로세싱 스테이지 (102b 또는 102c) 내에서 방금 제조되었을 수도 있는 피쳐 또는 층의 산화 또는 수산화를 회피하는 것이 가능하다. 제어 분위기 이송 모듈 (104a) 내에서, 다양한 프로세싱 모듈은 층 또는 피쳐의 임의의 중간 산화를 갖지 않고 기판 (105) 상에 층 또는 피쳐의 제어된 증착, 코팅, 도금 또는 프로세싱을 허용할 것이다. 그와 같이, 제어 분위기 이송 모듈 프로세싱 스테이지 내에서 형성되는 층이 제어되고, 일 실시형태에서는 프로세싱된 층 또는 피쳐(들)의 성능을 감소시킬 수도 있는 산화물의 불필요한 형성을 회피하도록 "가공된 (engineered)"다고 말해진다. As an example, the controlled atmosphere transfer module 104a will operate in an inert gas atmosphere. As noted above, the inert atmosphere is an atmosphere that is pumped with an inert gas that depletes or reduces the presence of most of the oxygen in the atmosphere control processing stage 102a. As an example, the level of oxygen that can be accepted to be substantially oxygen free and visible is 3 ppm. (parts per million) or less. Some processes may require less than 1 ppm control after subsequent processing and before surface processing during subsequent processing. By configuring the inert environment within the atmosphere control processing stage 102a it is possible to avoid oxidation or hydroxide of the features or layers that may have just been fabricated in the atmosphere control processing stage 102b or 102c. Within the controlled atmosphere transfer module 104a, various processing modules will allow controlled deposition, coating, plating, or processing of layers or features on the substrate 105 without any intermediate oxidation of the layers or features. As such, the layer formed in the controlled atmosphere transfer module processing stage is controlled and, in one embodiment, "engineered" to avoid unnecessary formation of the oxide, which may reduce the performance of the processed layer (s) or feature ).

이 시점에서, 기판 (105) 은 플라즈마 프로세싱 모듈을 사용하여 더욱 프로세싱하기 위해 진공 이송 모듈 (104b) 내로 다시 이동되거나, 그것에 연결된 모듈 내에서 추가적인 프로세싱을 하기 위해 랩-분위기 제어 이송 모듈 (104c) 로 다시 이동될 수도 있다. 분위기 제어 프로세싱 스테이지 (102a, 102b 및 102c) 중 임의의 것 사이에서 기판 (105) 을 이동시키는 특정의 프로세스는 사용자 인터페이스 (116) 에 연결된 컴퓨터 상에서 실행되는 프로그램에 의해 제어되는 동작 (114) 에서 식별된 정의된 레시피에 의존할 것이다. At this point, the substrate 105 is moved back into the vacuum transfer module 104b for further processing using a plasma processing module, or transferred to the lab-atmosphere control transfer module 104c for further processing in a module connected thereto It may be moved again. The specific process of moving the substrate 105 between any of the atmosphere control processing stages 102a, 102b and 102c may be identified in an operation 114 controlled by a program running on a computer connected to the user interface 116 Depending on the defined recipe.

도 2a 는 그것에 연결된 다수의 이송 모듈 및 프로세싱 모듈을 포함하는 클러스터 아키텍쳐 (200) 를 나타낸다. 클러스터 아키텍쳐 (200) 는 분위기 제어 프로세싱 스테이지 (102a, 102b 및 102c) 내의 다양한 이송 모듈에 연결될 수도 있는 특정의 프로세싱 모듈의 일 예이다. Figure 2a shows a cluster architecture 200 including a plurality of transport modules and processing modules coupled thereto. The cluster architecture 200 is an example of a particular processing module that may be coupled to various transport modules within the atmosphere control processing stages 102a, 102b, and 102c.

클러스터 아키텍쳐 (200) 는 좌에서 우로 설명될 것이며, 여기서 기판은 로드 모듈 (106) 및 언로드 모듈 (108) 에 로딩 및 언로딩될 수 있다. 상술된 바와 같이, 로드 모듈 (106) 및 언로드 모듈 (108) 은 하나 이상의 웨이퍼를 유지하는 카세트 (205) 를 수용하도록 구성될 수도 있는 로드-언로드 스테이션으로서 일반적으로 지칭될 수도 있다. 카세트 (205) 는 클린 룸 주위에서 웨이퍼를 운반하는데 사용되는 FOUP (Front Opening Unified Pod) 내에 포함될 수도 있다. 카세트 (205) 를 유지하는 FOUP 의 핸들링은 자동화되거나 인간 조작자에 의해 수동으로 핸들링될 수도 있다. 따라서, 기판 (105) 은 클러스터 아키텍쳐 (200) 로 전달되거나 클러스터 아키텍쳐 (200) 로부터 수용될 때 카세트 (205) 내에 포함될 것이다. 여기서 정의된 바와 같이, 클린 룸은 클러스터 아키텍쳐 (200) 가 위치하거나 설치되어 있는 비제어된 분위기이다. The cluster architecture 200 will be described from left to right, where the substrate may be loaded and unloaded to the load module 106 and the unload module 108. [ As described above, the load module 106 and the unload module 108 may be generally referred to as a load-unload station, which may be configured to receive a cassette 205 holding one or more wafers. The cassette 205 may be included in a front opening unified pod (FOUP) used to transport wafers around the clean room. The handling of the FOUP holding the cassette 205 may be automated or manually handled by a human operator. Thus, the substrate 105 will be included in the cassette 205 when delivered to or received from the cluster architecture 200. As defined herein, a clean room is a non-controlled environment in which the cluster architecture 200 is located or installed.

랩-분위기 제어 이송 모듈 (104c) 은 하나 이상의 말단 실행기 (end effector) (201b) 를 포함하는 스트레치 이송 모듈 (201) 에 의해 정의된다. 도시된 말단 실행기 (201b) 는 트랙 (201a) 을 따라 이동될 때 스트레치 이송 모듈 (201) 을 횡단할 수 있다. 일 실시형태에서, 스트레치 이송 모듈 (201) 은 표준 클린 룸 압력으로 유지된다. 대안적으로, 그 압력은 클린 룸의 분위기 압력 의 약간 위, 또는 클린 룸의 압력의 약간 아래이도록 제어될 수도 있다. The wrap-mood control transfer module 104c is defined by a stretch transfer module 201 that includes one or more end effectors 201b. The illustrated distal executor 201b may traverse the stretch transport module 201 as it is moved along the track 201a. In one embodiment, the stretch transfer module 201 is maintained at a standard clean room pressure. Alternatively, the pressure may be controlled slightly above the atmospheric pressure of the clean room, or slightly below the pressure of the clean room.

스트레치 이송 모듈 (201) 내의 압력이 클린 룸의 약간 위의 압력으로 유지되는 경우, 스트레치 이송 모듈 내로 및 밖으로의 웨이퍼의 천이는 클린 룸 내로의 이송 모듈 분위기의 약간의 가스 배출 (outgas) 을 초래할 것이다. 이리하여, 이러한 구성은 스트레치 이송 모듈 (201) 내로 흐르는 클린 룸 내의 미립자 또는 환경 공기를 방해할 수도 있다. If the pressure in the stretch transfer module 201 is maintained at a pressure slightly above the clean room, the transitions of the wafers into and out of the stretch transfer module will result in some gas outgas of the transfer module atmosphere into the clean room . This configuration may thus interfere with the particulate matter in the clean room or ambient air flowing into the stretch transfer module 201. [

다른 실시형태에서, 스트레치 이송 모듈 (201) 과 클린 룸 사이의 천이는 클린 룸과 스트레치 이송 모듈 (201) 사이의 분위기 공기의 상호작용을 방지하기 위해, 공기의 커튼 또는 인터페이스 및/또는 환경을 정의하는 공기 핸들링 유닛 및 적절한 필터에 의해 제어될 것이다. 인터페이스를 제어하는 시스템의 일 예가 본 출원의 양수인에게 2002년 4월 2일자로 특허허여되고 여기에 참조로 포함된, 그 명칭이 "Wafer Atmospheric Transport Module Having a Controlled Mini-Environment" 인 미국 특허 제 6,364,762 호에 정의된다. In another embodiment, the transition between the stretch transport module 201 and the clean room defines a curtain or interface and / or environment of the air to prevent interaction of ambient air between the clean room and the stretch transport module 201 Lt; RTI ID = 0.0 > and an appropriate filter. ≪ / RTI > One example of a system for controlling an interface is disclosed in U.S. Patent No. 6,364,762, entitled " Wafer Atmospheric Transport Module Having a Controlled Mini-Environment ", which was assigned to the assignee of the present application on April 2, .

스트레치 이송 모듈 (201) 은 습식 프로세싱 시스템 (202a) 및 습식 프로세싱 시스템 (202b) 와 인터페이싱되는 것으로 도시된다. 습식 프로세싱 시스템 (202) 의 각각은 기판 (105) 이 그 안에서 프로세싱될 수도 있는 다수의 서브-모듈을 포함할 수도 있다. 일 예에서, 캐리어 (207) 는 습식 프로세싱 시스템 (202a) 내의 트랙 (203) 을 따라 이동하도록 허용된다. 캐리어 (207) 는 기판 (105) 이 습식 프로세싱 시스템 (202) 의 서브 모듈의 각각에서 프로세싱될 때 기판 (105) 을 유지하도록 구성된다. 일 예에서, 습식 프로세싱 시스템 (202a) 은 근접 스테이션 (204), 후속하여 근접 스테이션 (206), 후속하여 브러시 스테이션 (208), 및 그 후 최종 근접 스테이션 (210) 을 포함한다. The stretch transfer module 201 is shown interfaced with the wet processing system 202a and the wet processing system 202b. Each of the wet processing systems 202 may include a plurality of sub-modules in which the substrate 105 may be processed. In one example, the carrier 207 is allowed to move along the track 203 in the wet processing system 202a. The carrier 207 is configured to hold the substrate 105 when the substrate 105 is processed in each of the submodules of the wet processing system 202. In one example, the wet processing system 202a includes a proximity station 204, followed by a proximity station 206, followed by a brush station 208, and then a final proximity station 210.

습식 프로세싱 시스템 (202a) 내의 서브-모듈의 수는 특정의 애플리케이션 및 특정의 기판 (105) 상에 수행되기 원해지는 습식 프로세싱 단계들의 수에 의존한다. 비록 4 개의 서브-모듈이 습식 프로세싱 시스템 (202a) 에서 정의되지만, 습식 프로세싱 시스템 (202b) 내에서는 2 개의 서브-모듈의 예가 제공된다. 근접 스테이션 (204) 은, 기판 (105) 의 전체 표면 위로 메니스커스가 적용될 수 있도록 기판 (105) 이 트랙 (203) 을 따라 이동하게 됨에 따라, 기판 (105) 의 표면 상으로 유체를 적용 및 제거하기 위해 메니스커스를 사용하는 근접 헤드 시스템으로 이루어진다. The number of sub-modules within the wet processing system 202a depends on the particular application and the number of wet processing steps desired to be performed on the particular substrate 105. [ Although four sub-modules are defined in the wet processing system 202a, an example of two sub-modules is provided in the wet processing system 202b. The proximity station 204 is adapted to apply and apply fluid onto the surface of the substrate 105 as the substrate 105 is moved along the track 203 so that a meniscus can be applied over the entire surface of the substrate 105 And a proximity head system using a meniscus to remove it.

특정의 실시형태에서, 근접 스테이션은 간단한 세정을 위한 탈이온화수 (DI water), HF (hydrofluoric acid), 암모니아계 세정 유체, 표준 세정 1 (SC1), 및 다른 에칭 및 세정 화학약품 및/또는 유체 혼합물을 적용하도록 구성될 수도 있다. 특정의 실시형태에서, 근접 스테이션은 기판 (105) 의 상부 및 하부 표면을 프로세싱하는 근접 헤드를 포함할 것이다. 다른 예에서는, 상부 표면만이 근접 헤드에 의해 프로세싱될 수도 있는 반면 하부 표면은 프로세싱되지 않거나, 브러시 스테이션 롤러에 의해 프로세싱될 수도 있다. 따라서, 습식 프로세싱 시스템 (202a) 내에서 수행되는 프로세싱 동작의 조합은 그것의 제조 레시피에서 특정의 기판에 요구되는 프로세싱에 의존하여 변화할 것이다.In certain embodiments, the proximity station may include deionized water (DI water), hydrofluoric acid (HF), ammonia based cleaning fluid, standard cleaning 1 (SC1), and other etching and cleaning chemicals and / or fluids The mixture may be applied. In certain embodiments, the proximity station will include a proximity head that processes the top and bottom surfaces of the substrate 105. In another example, only the top surface may be processed by the proximity head, while the bottom surface may not be processed or may be processed by the brush station roller. Thus, the combination of processing operations performed within the wet processing system 202a will vary depending on the processing required for a particular substrate in its manufacturing recipe.

스트레치 이송 모듈 (201) 은 기판 (105) 이 습식 프로세싱 시스템 (202) 내 의 어느 특정의 서브-모듈 내로 및 밖으로 또는, 습식 프로세싱 시스템 (202a) 의 단일의 프로세싱 서브-모듈 내로 이동되고, 그 후 습식 프로세싱 시스템 (202) 의 라인의 말단에서 제거되도록 구성된다. 추가적인 쓰루풋을 위해, 습식 프로세싱 시스템 (202) 은 하나의 시스템이 스트레치 이송 모듈 (201) 의 각각의 측면에 결합되도록 제공된다. 물론, 스트레치 이송 모듈 (201) 에 의해 정의된 랩-분위기 제어 이송 모듈은 요구되는 쓰루풋, 이용가능한 랩 풋프린트 또는 설비, 및/또는 요구된 프로세싱에 따라 더 적은 또는 더 많은 습식 프로세싱 시스템을 포함할 수도 있다. The stretch transfer module 201 is configured to transfer the substrate 105 into and out of any particular sub-module within the wet processing system 202 or into a single processing sub-module of the wet processing system 202a, Is removed at the end of the line of the wet processing system 202. For additional throughput, the wet processing system 202 is provided so that one system is coupled to each side of the stretch transfer module 201. Of course, the wrap-mood control transfer module defined by the stretch transfer module 201 may include fewer or more wet processing systems depending on the required throughput, available wrap footprint or equipment, and / or the required processing It is possible.

스트레치 이송 모듈 (201) 은 로드록 (218 및 219) 에 결합되는 것으로 도시된다. 로드록 (218 및 219) 은 스트레치 이송 모듈 (201) 과 진공 이송 모듈 (222) 사이에서 제어된 방식으로 하나의 압력 상태로부터 또 다른 압력 상태로의 천이를 허용하도록 구성된다. 진공 이송 모듈 (222) 은 말단 실행기 로봇 (222a) 을 포함한다. 말단 실행기 (222a) 는 슬롯 밸브 (220a 및 220b) 에 의해 액세스가 제공되는 경우 로드록 (218 및 219) 내로 및 밖으로 도달하도록 구성된다. 슬롯 밸브는 진공 이송 모듈 (222) 의 개폐를 허용하는 하나의 문 또는 다수의 문들을 하우징하여, 진공 이송 모듈 내의 압력이 차단되지 않도록 한다. 따라서, 슬롯 밸브 (220a 및 220b) 의 문들은 상이한 압력 상태일 수도 있는 스트레치 이송 모듈 (201) 과 진공 이송 모듈 (222) 사이의 천이를 제어하도록 작용하는 로드록 (218 및 219) 간의 천이를 가능하게 한다. Stretch delivery module 201 is shown coupled to load locks 218 and 219. [ The load locks 218 and 219 are configured to allow transition from one pressure state to another pressure state in a controlled manner between the stretch transfer module 201 and the vacuum transfer module 222. [ The vacuum transfer module 222 includes an end launcher robot 222a. End executor 222a is configured to reach into and out of load locks 218 and 219 when access is provided by slot valves 220a and 220b. The slot valve houses one door or a plurality of doors that allow opening and closing of the vacuum transfer module 222, so that the pressure in the vacuum transfer module is not blocked. Accordingly, the doors of the slot valves 220a and 220b are capable of transitioning between the load locks 218 and 219, which serve to control the transition between the stretch transport module 201 and the vacuum transport module 222, .

진공 이송 모듈 (222) 은 또한 슬롯 밸브 (220c 및 220d) 에 의해 플라즈마 모듈 (270) 과 인터페이싱되는 것으로 도시된다. 플라즈마 모듈 (270) 은 임의의 타입일 수도 있지만, 특정의 예는 TCP 에칭 모듈 및 다운스트림 마이크로웨이프 에칭 모듈일 수도 있다. 플라즈마 모듈의 다른 형태들이 또한 포함될 수도 있다. 몇몇 플라즈마 모듈은 플라즈마 기상 증착 (PVD), 원자층 증착 (ALD) 등과 같은 수개의 타입의 증착 모듈을 포함할 수도 있다. 따라서, 기판의 표면 또는 표면들 상으로 재료를 제거 또는 증착하는 임의의 건식 프로세싱 모듈이 진공 이송 모듈 (222) 에 포함 및 연결될 수도 있다. Vacuum transfer module 222 is also shown interfaced with plasma module 270 by slot valves 220c and 220d. The plasma module 270 may be of any type, but specific examples may be a TCP etch module and a downstream microwave etch module. Other types of plasma modules may also be included. Some plasma modules may include several types of deposition modules, such as plasma vapor deposition (PVD), atomic layer deposition (ALD), and the like. Thus, any dry processing module that removes or deposits material onto the surface or surfaces of the substrate may be included and connected to the vacuum transfer module 222.

대안적으로, 열처리 모듈이 플라즈마 프로세싱 모듈에 부가하여 또는 대신하여 사용될 수 있다. 이러한 경우, 열처리 모듈과 인터페이싱하는 것을 용이하게 하기 위해 진공 이송 모듈 (222)을 예를 들어 400 torr 까지의 더욱 높은 압력에서 동작시키는 것이 바람직할 수도 있다.Alternatively, a heat treatment module may be used in addition to or in place of the plasma processing module. In such a case, it may be desirable to operate the vacuum transfer module 222 at a higher pressure, e. G., Up to 400 torr, to facilitate interfacing with the thermal processing module.

프로세싱이 플라즈마 모듈 (270) 중 하나에서 수행되는 경우, 진공 이송 모듈은 쿨-다운 스테이션 (224) 을 포함할 수도 있다. 쿨-다운 스테이션 (224) 은 기판이 이웃하는 제어 분위기 스테이지 중 하나로의 천이 이전에 소정의 포인트로 냉각된 경우 특히 바람직하다. 일단 기판이 냉각되면, 필요하다면, 기판은 말단 실행기 (222a) 에 의해 로드록 (228) 으로 이동될 수도 있고, 그 후 제어 분위기 이송 모듈 (232) 내로 천이한다. 제어 분위기 이송 모듈 (232) 은 슬롯 밸브 (230a) 에 의해 로드록 (228) 과 상호연결된다.When the processing is performed in one of the plasma modules 270, the vacuum transfer module may include a cool-down station 224. The cool-down station 224 is particularly preferred when the substrate is cooled to a predetermined point prior to transition to one of the neighboring control atmosphere stages. Once the substrate has cooled, the substrate may be moved to the load lock 228 by the end effector 222a, if necessary, and then into the controlled atmosphere transfer module 232. The controlled atmosphere transfer module 232 is interconnected with the load lock 228 by a slot valve 230a.

제어 분위기 이송 모듈 (232) 은 관련된 슬롯 밸브 (230b, 230c, 230d 및 230e) 를 통해 다수의 프로세스 모듈 (240a, 240b, 240c 및 240d) 와 상호연결되는 것으로 도시된다. 일 실시형태에서, 프로세스 모듈 (240) 은 제어 분위기 습식 프로세싱 모듈이다. 제어 분위기 습식 프로세싱 모듈 (240) 은 제어된 불활성 분위기 환경에서 웨이퍼의 표면을 프로세싱하도록 구성된다. 상술된 바와 같이, 제어된 불활성 분위기 환경은 불활성 가스가 제어 분위기 이송 모듈 (232) 내로 펌핑되고, 산소가 제어 분위기 이송 모듈 (232) 밖으로 제거되도록 구성된다. The controlled atmosphere transfer module 232 is shown interconnected with a plurality of process modules 240a, 240b, 240c and 240d through associated slot valves 230b, 230c, 230d and 230e. In one embodiment, process module 240 is a controlled atmosphere wet processing module. The control atmosphere wet processing module 240 is configured to process the surface of the wafer in a controlled inert atmosphere environment. As discussed above, the controlled inert atmosphere environment is configured such that an inert gas is pumped into the controlled atmosphere transfer module 232 and oxygen is removed out of the controlled atmosphere transfer module 232.

제어 분위기 이송 모듈 (232) 로부터 산소의 전부 또는 대부분을 제거하고 그것을 불활성 가스로 대체함으로써, 제어 분위기 이송 모듈 (232) 은 층이 프로세스 모듈 (240) 중 하나에서 프로세싱된 표면 또는 피쳐 상으로 증착, 도금, 또는 형성되기 전에 (예를 들어, 플라즈마 모듈 (270) 내에서) 방금 프로세싱된 기판을 노출하지 않는 천이 환경을 제공할 것이다. 특정의 실시형태에서, 프로세스 모듈 (240) 은 이전의 플라즈마 모듈에서 막 프로세싱된 표면 또는 피쳐의 상부에 층의 적용, 형성, 또는 증착을 가능하게 하는 전해도금 모듈, 무전해 도금 모듈, 드라인-인/드라이-아웃 습식 프로세스 모듈, 또는 다른 타입의 모듈일 수도 있다. The controlled atmosphere transfer module 232 can be used to deposit or remove the layer from one of the process modules 240 onto the processed surface or feature by removing all or most of the oxygen from the controlled atmosphere transfer module 232 and replacing it with an inert gas, Or otherwise provide a transition environment that does not expose the substrate that has just been processed (e.g., in plasma module 270) before being formed, plated, or formed. In certain embodiments, the process module 240 may include an electroless plating module, an electroless plating module, a dry-etch process module, or the like, which enables application, formation, or deposition of a layer on the surface or feature of the film- In / dry-out wet process module, or other type of module.

부가적으로, 진공 이송 모듈과 제어 분위기 이송 모듈은 다른 프로세스 시퀀스를 용이하기 하기 위해 역방향 순서로 통합되도록 구성될 수 있다.Additionally, the vacuum transfer module and the controlled atmosphere transfer module may be configured to be integrated in reverse order to facilitate different process sequences.

그 결과는 방금 프로세싱되고, 층이 그 위에 도금되기 전에 비록 산소에의 소량의 노출이 발생할 때에도 통상 형성되는 산화물을 포함하지 않는 표면 위에 직접 형성되는 가공된 층이다. 일 특정의 예에서, 유전체 층은 플라즈마 모듈 (270) 내에서 비아 및/또는 트렌치를 정의하도록 에칭될 수도 있고, 비아 또는 트렌치가 유전체 층 내에 정의된 직후에, 진공 이송 모듈 (222) 과 제어 분위기 이송 모듈 (232) 사이에 로드록 (228) 을 통해 천이가 발생한다. 이러한 천이는 산소에의 노출이 없이 또는 실직적으로 산소에 노출 없이 발생한다. 몇몇 프로세스에서, 배리어층은 가공된 인터페이스의 표면 위에 직접 제조될 수도 있다. 배리어층은 예를 들어 Ta, TaN, Ru, 또는 이들 재료의 조합 등을 포함할 수도 있다. 배리어층은 패터닝된 기판 상에 직접 도금하기 위해 또는 시드층으로서 Cu 의 무전해 도금에 사용될 수도 있다. The result is a machined layer that is just processed and formed directly on a surface that does not contain an oxide normally formed even when a small amount of exposure to oxygen occurs before the layer is plated on it. In one particular example, the dielectric layer may be etched to define vias and / or trenches in the plasma module 270, and immediately after a via or trench is defined in the dielectric layer, a vacuum transfer module 222 and a controlled atmosphere Transition occurs through the load lock 228 between the transfer modules 232. These transitions occur without exposure to oxygen or without exposure to oxygen. In some processes, the barrier layer may be fabricated directly on the surface of the fabricated interface. The barrier layer may comprise, for example, Ta, TaN, Ru, or a combination of these materials, and the like. The barrier layer may be used for direct plating on the patterned substrate or for electroless plating of Cu as a seed layer.

도 2b 는 클러스터 아키텍쳐 (200') 내의 다양한 이송 모듈에 연결될 수도 있는 잠재적인 프로세싱 모듈의 블록 다이어그램을 나타낸다. 이러한 예에서, 로드 및 언로드 스테이션 (106/108) 은 클러스터 아키텍쳐 (200') 와 클린 룸 사이에서 기판을 도입 또는 수용하기 위해 제공된다. 기판은 습식 기판 프로세싱이 수행될 수도 있는 랩-분위기 제어 이송 모듈 (104c) 내로 도입된다. 랩-분위기 제어 이송 모듈 내에서의 습식 기판 프로세싱 및 기판의 이송은 습식 기판 프로세싱이 기판을 클린 룸의 비제어된 분위기에 노출시키지 않는 제어된 방식으로 수행될 수 있는 것을 보장하는 제어된 환경에서 발생하도록 구성된다. FIG. 2B shows a block diagram of a potential processing module that may be coupled to various transport modules within the cluster architecture 200 '. In this example, the load and unload stations 106/108 are provided for introducing or receiving substrates between the cluster architecture 200 'and the clean room. The substrate is introduced into a wrap-mood controlled transfer module 104c where wet substrate processing may be performed. Wet substrate processing and transfer of substrates within the lab-atmosphere control transfer module occur in a controlled environment that ensures that wet substrate processing can be performed in a controlled manner that does not expose the substrate to uncontrolled atmospheres of the clean room .

랩-분위기 제어 이송 모듈은 습식 기판 프로세싱 모듈의 각각으로 건조한 상태로 기판을 도입하고 습식 기판 프로세싱에 의해 프로세싱된 후 건조한 상태로 기판을 수용하도록 구성된다. 이러한 실시형태에서, 습식 기판 프로세싱은 기판의 표면 상에 직접 유체의 형성을 가능하게 하는 메니스커스 근접 헤드 시스템을 사용하고, 일단 기판 표면이 프로세싱되었다면 기판을 건조한 상태로 두도록 구성된다. 로드록 (280) 은 랩-분위기 제어 이송 모듈 (104c) 과 진공 이송 모듈 (104b) 사이의 천이 사이의 분위기 제어를 보장하도록 구성된다. The wrap-mood control transfer module is configured to introduce the substrate in a dry state to each of the wet substrate processing modules, process them by wet substrate processing, and then receive the substrate in a dry state. In this embodiment, the wet substrate processing is configured to use a meniscus proximity head system that allows the formation of a fluid directly on the surface of the substrate and leave the substrate dry once the substrate surface has been processed. The load lock 280 is configured to ensure atmospheric control between the transition between the wrap-mood control transfer module 104c and the vacuum transfer module 104b.

진공 이송 모듈 (104b) 은 상이한 타입의 플라즈마 챔버 (270) 와 인터페이싱하도록 구성된다. 플라즈마 챔버 내에서 수행되는 프로세싱은 특정의 프로세스에 의존할 수도 있지만, 플라즈마 챔버 프로세싱 후에 요구되는 프로세싱은 그 후 즉시 인접한 제어 분위기 스테이지 (102) 중 어느 하나에서 수행될 수도 있다. 일 예에서, 웨이퍼는 로드록 (280) 을 통해 진공 이송 모듈 (104b) 로부터 제어 분위기 이송 모듈 (104a) 내로 이동될 수도 있다. 따라서, 제어 분위기 이송 모듈은 상이한 도금 또는 증착 시스템 (240) 및/또는 드라이-인 및 드라이-아웃 습식 세정 (또는 에칭) 을 수행하는 시스템 사이에서의 효율적인 천이를 유지한다.Vacuum transfer module 104b is configured to interface with a different type of plasma chamber 270. The processing performed in the plasma chamber may depend on the particular process, but the processing required after plasma chamber processing may then be performed immediately in any of the adjacent control atmosphere stages 102. In one example, the wafer may be transferred from the vacuum transfer module 104b through the load lock 280 into the controlled atmosphere transfer module 104a. Thus, the controlled atmosphere transfer module maintains efficient transitions between different plating or deposition systems 240 and / or systems that perform dry-in and dry-out wet cleaning (or etching).

제어 분위기 이송 모듈 (104a) 에 연결될 수도 있는 또 다른 예시적인 모듈은 초임계 CO2 챔버이다. 다른 실시형태에서, 열-타입 챔버 (thermal-type chamber) 가 프로세스 요건에 따라 이송 모듈 중 임의의 것 내로 통합될 수 있다. 예를 들어, 하나의 챔버가 초임계 챔버일 수도 있다. 챔버는 또한 코발트 캡핑, 구리 시드층, 금속층, 배리어층, 벌크 금속 충전층, 및 다른 도전성 피쳐, 표면, 상호접속, 트레이스 등을 증착할 수 있는 무전해 도금 챔버일 수도 있다. 본 발명의 일 실시형태에서, 무전해 도금 챔버는 전극 (예를 들어, 애노드/캐소드) 을 필요로 하는 것이 아니라, 표면-활성인 반응성 화학약품 (reactive chemistry) 을 사용한다. 또 다른 실시형태에서, 진공 이송 모듈은 단지 열 제어된 챔버에만 연결될 수도 있다. 몇몇 경우에, 진공 이송 모듈이 약 200-400 Torr 사이의 압력 범위에서 동작되는 경우 더욱 높은 압력의 챔버가 연결될 수 있 다.Another exemplary module that may be coupled to the controlled atmosphere transfer module 104a is a supercritical CO2 chamber. In another embodiment, a thermal-type chamber may be incorporated into any of the transfer modules in accordance with process requirements. For example, one chamber may be a supercritical chamber. The chamber may also be an electroless plating chamber capable of depositing cobalt capping, a copper seed layer, a metal layer, a barrier layer, a bulk metal fill layer, and other conductive features, surfaces, interconnections, traces, In one embodiment of the present invention, the electroless plating chamber does not require an electrode (e.g., an anode / cathode) but uses a surface-active reactive chemistry. In yet another embodiment, the vacuum transfer module may be connected to only the thermally controlled chamber. In some cases, higher pressure chambers may be connected if the vacuum transfer module is operated in a pressure range between about 200-400 Torr.

이송 모듈에 결합하도록 구성된 불활성 분위기 제어 시스템 (273) 이 또한 도시된다. 일 실시형태에서, 불활성 분위기 제어 시스템 (273) 은 이송 모듈 밖으로의 산소의 펌핑을 계량 및 제어하는 펌프, 게이지, 제어 및 밸브를 포함한다. 클린 룸 설비 (도시하지 않음) 는 또한 불활성 분위기 제어 시스템 (273) 에 결합될 수 있어, 불활성 가스가 이송 챔버 내로 펌핑될 수 있으며, 이리하여 산소에 의해 이전에 점유된 공간을 대체할 수 있다. 산소를 제거하는 펌프와 이송 챔버 내로 공급되는 불활성 가스가 모니터링되어, 조건의 적절한 설정이 동작 중에 유지될 수 있다. 몇몇 예에서, 펌프는 프로세싱 모듈로부터 산소를 또한 제거하도록 작동하므로, 불활성 환경이 이송 모듈과 프로세스 모듈 양자에서 유지될 수 있다. 또, 계량기, 수동 제어 및/또는 컴퓨터 제어는 N2, Ar, He, Ne, Kr, Xe 등과 같은 불활성 가스의 펌핑 및 흐름을 모니터링 및 조정할 수 있다.An inert atmosphere control system 273 configured to couple to the transport module is also shown. In one embodiment, inert atmosphere control system 273 includes pumps, gauges, controls, and valves to meter and control the pumping of oxygen out of the transfer module. A clean room facility (not shown) may also be coupled to the inert atmosphere control system 273 so that inert gas can be pumped into the transfer chamber, thereby replacing the space previously occupied by oxygen. The pump for removing oxygen and the inert gas fed into the transfer chamber are monitored so that proper setting of the conditions can be maintained during operation. In some instances, the pump operates to also remove oxygen from the processing module, so that an inert environment can be maintained in both the transfer module and the process module. The meter, manual control and / or computer control may also monitor and adjust the pumping and flow of inert gas such as N 2 , Ar, He, Ne, Kr, Xe, and the like.

또한 불활성 분위기 제어되는 제어된 분위기 모듈의 경우, 이송 모듈 및 프로세스 모듈에 있어서의 온도는 수행되고 있는 프로세싱의 타입에 따라 변한다. 그러나, 예시의 목적으로, 랩-분위기 제어 이송 모듈 (104c) 및 습식 기판 프로세싱 스테이션 (202) 는 약 15C 및 약 30C 사이의 온도에서 동작할 수도 있다. 습도는 또한 랩-분위기 제어 이송 모듈 (104c) 및 습식 기판 프로세싱 스테이션 (202) 내에서 제어될 수도 있고, 습도는 약 0 % 와 약 20 % 사이이도록 제어될 수도 있다.Also, in the case of a controlled atmosphere module that is controlled in an inert atmosphere, the temperature in the transfer module and the process module varies depending on the type of processing being performed. However, for purposes of illustration, the lab-atmosphere control transfer module 104c and the wet substrate processing station 202 may operate at temperatures between about 15C and about 30C. The humidity may also be controlled within the wrap-atmosphere control transfer module 104c and the wet substrate processing station 202, and the humidity may be controlled to be between about 0% and about 20%.

진공 이송 모듈 (104b) 은 약 10-9 와 약 10-4 Torr 사이의 압력에서 동작할 수도 있고, 동작 온도는 약 15C 와 약 30C 사이일 수도 있다. 플라즈마 프로세싱 모듈은 특정의 프로세스에 맞추어진 온도 범위, 전력 범위 및 사용 프로세스 가스에서 동작하며, 그와 같이, 진공 이송 모듈 (104b) 의 진공 상태와 양립할 수 있는 임의의 프로세싱 조건이 작용한다. 다른 파라미터는 예를 들어 진공, 온도 및 전력을 포함할 수도 있다. 일 실시형태에서, 진공은 약 1 mT 내지 약 10 T 일 수 있다. 일 실시형태에서, 온도는 약 10C 내지 약 400C 일 수 있다. 일 실시형태에서 전력은 약 10 W 내지 약 3000 W 일 수 있다. The vacuum transfer module 104b may operate at a pressure between about 10 -9 and about 10 -4 Torr, and the operating temperature may be between about 15C and about 30C. The plasma processing module operates in a temperature range, power range, and process gas used tailored to a particular process, and as such, any processing condition compatible with the vacuum state of the vacuum transfer module 104b operates. Other parameters may include, for example, vacuum, temperature and power. In one embodiment, the vacuum may be from about 1 mT to about 10T. In one embodiment, the temperature may be from about 10 C to about 400 C. In one embodiment, the power may be from about 10 W to about 3000 W. [

제어 분위기 이송 모듈 (104a) (예를 들어, 도 2a 의 이송 모듈 (232)) 은 약 500 T 와 약 800 T 사이의 압력에서 동작할 수도 있고, 온도는 약 15C 와 약 30C 사이일 수도 있다. 그러나, 온도는 처리 모듈 (240) 일 수도 있는 도금, 드라이-인 및 드라이-아웃 습식 프로세스, 초임계 CO2 동작 등과의 양립성을 제공하도록 제어될 수도 있다. 일 실시형태에서, 이송 모듈의 온도는 분위기 랩 온도로 설정되며, 국부적 온도 제어가 프로세스 모듈에 의해 제공된다. 또 다른 실시형태에서, 이송 모듈은 웨이퍼가 프로세서 모듈과 이송 모듈 사이에서 천이할 때 일관된 분위기를 유지하도록 온도 제어될 수도 있다.The control ambient transfer module 104a (e.g., the transfer module 232 of FIG. 2A) may operate at pressures between about 500 T and about 800 T, and the temperature may be between about 15 C and about 30 C. However, the temperature may be controlled to provide compatibility with plating, dry-in and dry-out wet processes, supercritical CO2 operations, etc., which may be the processing module 240. In one embodiment, the temperature of the transport module is set to the atmosphere wrap temperature, and local temperature control is provided by the process module. In another embodiment, the transport module may be temperature controlled to maintain a consistent atmosphere when the wafer transitions between the processor module and the transport module.

도 2b 의 시스템은 모듈성 (modularity), 그러나 다양한 제어된 환경 사이에서 기판의 인터페이스의 제어를 도시하도록 제공되었다. 또한, 각각의 이송 모듈의 모듈성 및 상이한 프로세싱용 서브-모듈에 대한 그 수용성은 다수의, 그리고 단지 예시적인 프로세싱 모듈 인터페이스이며, 상이한 분위기 제어 이송 모듈이 설명의 편의를 위해 제공된다는 것을 이해해야 한다.The system of Figure 2B is modular, but is provided to illustrate control of the interface of the substrate between various controlled environments. It should also be appreciated that the modularity of each transport module and its acceptability for different processing sub-modules are numerous and merely exemplary processing module interfaces, and that different atmosphere control transport modules are provided for ease of description.

도 2c 는 도 2a 를 참조하여 논의된 근접 스테이션 (204) 의 예시적인 구성을 나타낸다. 근접 스테이션 (204) 은 기판 (105) 의 상측 및 하측에 근접 헤드 (260a) 를 포함한다. 기판 (105) 은 도 2a 에 정의된 바와 같이 트랙 (203) 을 따라 이동하는 것이 허용되는 캐리어 (207) 에 의해 유지된다. 근접 헤드 (260a) 의 표면과 기판 (105) 의 표면 (및 캐리어 (207) 의 표면) 사이에는, 메니스커스 (242) 가 형성되는 것이 허용된다. Figure 2C illustrates an exemplary configuration of the proximity station 204 discussed with reference to Figure 2A. The proximity station 204 includes a proximity head 260a on the top and bottom of the substrate 105. The substrate 105 is held by a carrier 207 which is allowed to move along the track 203 as defined in Fig. A meniscus 242 is allowed to be formed between the surface of the proximity head 260a and the surface of the substrate 105 (and the surface of the carrier 207).

메니스커스 (242) 는 근접 헤드 (260a) 의 표면과 기판 표면 사이에 형성되는 제어된 유체 메니스커스일 수도 있고, 유체의 표면 장력이 메니스커스 (242) 를 제위치에 그리고 제어된 형태로 유지한다. 메니스커스 (242) 를 제어하는 것은 또한 유체에 의해 정의된 바와 같이 메니스커스 (242) 의 제어된 정의를 가능하게 하는 유체의 제어된 전달 및 제거에 의해 보장된다. 메니스커스 (242) 는 기판 (105) 의 표면을 세정, 에칭 또는 프로세싱하는 데 사용될 수도 있다. 기판 (105) 의 표면에 대한 프로세싱은 미립자 또는 원하지 않는 재료가 메니스커스 (242) 에 의해 제거되도록 하는 것일 수도 있다. The meniscus 242 may be a controlled fluid meniscus formed between the surface of the proximal head 260a and the substrate surface and the surface tension of the fluid may be applied to the meniscus 242 in place and in a controlled fashion . Controlling the meniscus 242 is also ensured by controlled delivery and removal of fluid to enable controlled definition of the meniscus 242 as defined by the fluid. The meniscus 242 may be used to clean, etch, or process the surface of the substrate 105. Processing for the surface of the substrate 105 may be such that particulates or undesired material is removed by the meniscus 242.

언급된 바와 같이, 메니스커스 (242) 는 제어된 방식으로 진공을 사용하여 유체를 제거하면서 근접 헤드 (260a) 로 유체를 공급함으로써 제어된다. 선택적으로, 가스 표면 장력 그래디언트 감소제가 근접 헤드 (260a) 에 제공되어 메니스커스 (242) 와 기판 (105) 사이의 표면 장력을 감소시킬 수도 있다. 근접 헤 드 (260a) 에 공급된 가스 장력 감소제는 메니스커스 (242) 가 증가된 속도로 기판 (105) 의 표면 위로 이동하는 것을 허용한다 (따라서, 쓰루풋을 증가시킨다). 가스 장력 감소제기의 예는 질소와 혼합된 이소프로필 알콜 (IPA/N2) 일 수도 있다. 가스 장력 감소제의 또다른 예는 이산화탄소 (CO2) 일 수도 있다. 가스가 기판 (105) 의 특정의 표면에 대해 요구되는 프로세싱과 간섭하지 않는 한 다른 타입의 가스가 또한 사용될 수도 있다. As mentioned, the meniscus 242 is controlled by supplying fluid to the proximity head 260a while removing the fluid using a vacuum in a controlled manner. Optionally, a gas surface tension gradient decreasing agent may be provided to the proximity head 260a to reduce the surface tension between the meniscus 242 and the substrate 105. The gas tension reducer supplied to the proximity head 260a allows the meniscus 242 to move over the surface of the substrate 105 at an increased rate (thus increasing throughput). An example of a gas tension reduction may be isopropyl alcohol (IPA / N 2 ) mixed with nitrogen. Another example of a gas tension reducing agent may be carbon dioxide (CO 2 ). Other types of gases may also be used, as long as the gas does not interfere with the processing required for a particular surface of the substrate 105.

도 2d1 내지 도 2d6 은 도 2a 의 습식 프로세싱 시스템 (202), 또는 도 2a 의 제어 분위기 습식 프로세싱 모듈 (240) 중 어느 것 내에 포함될 수도 있는 상이한 구성의 예를 시사하도록 제공된다. 비록 이들 특정의 예가 제공되지만, 다른 구성이 시스템 내에 포함될 수도 있다.Figures 2d1 through 2d6 are provided to illustrate examples of different configurations that may be included in either the wet processing system 202 of Figure 2a, or the control atmosphere wet processing module 240 of Figure 2a. Although these specific examples are provided, other configurations may be included within the system.

도 2d1 은 브러시 (290) 가 기판 (105) 의 하부 표면을 프로세싱하는 동안 기판 (105) 의 상부 표면을 프로세싱하는 근접 헤드 (260a) 의 예를 나타낸다. 프로세싱은 습식 프로세싱 시스템 (202) 내에서 수행될 수도 있고 기판 (105) 의 표면을 세정 또는 에칭하도록 구성될 수 있다. Figure 2d1 shows an example of a proximity head 260a that processes the upper surface of the substrate 105 while the brushes 290 are processing the lower surface of the substrate 105. [ The processing may be performed within the wet processing system 202 and may be configured to clean or etch the surface of the substrate 105.

도 2d2 는 하부 브러시 (290) 및 상부 브러시 (290) 가 기판 (105) 의 표면을 프로세싱하도록 구성되는 예를 제공한다. 사용되는 브러시들은 회전하면서 유체를 기판 (105) 의 표면에 제공할 수도 있는 폴리비닐 알콜 (PVA) 브러시일 수도 있다. 브러시 (290) 에 의해 제공된 유체는 브러시 (TTB) 코어를 통해 제공될 수도 있고, 유체는 애플리케이션에 따라 기판의 표면을 소수성 또는 친수성이 되도록 세정, 및/또는 에칭, 및/또는 구성하기 위한 것일 수도 있다. 2D2 provides an example in which the lower brush 290 and the upper brush 290 are configured to process the surface of the substrate 105. [ The brushes used may be polyvinyl alcohol (PVA) brushes which may provide fluid to the surface of the substrate 105 while rotating. The fluid provided by the brushes 290 may be provided through a brush (TTB) core, and the fluid may be for cleaning and / or etching and / or configuring the surface of the substrate to be hydrophobic or hydrophilic depending on the application have.

도 2d3 은 도 2a 의 제어 분위기 이송 모듈 (232) 에 연결될 수도 있는 프로세싱 모듈 (240) 이 도금 시스템인 예를 나타낸다. 도금 시스템은 웨이퍼와의 접촉이 요구되는 전해도금 시스템 또는 무전해 도금 시스템으로서 구성될 수도 있다. 도금 헤드 (260b) 의 구성은 다수의 형태를 취할 수 있고, 도금 헤드의 특정의 형태는 수행되고 있는 도금 애플리케이션의 타입에 따라 변화한다. 도금 애플리케이션의 결과는 기판 (105) 의 표면에 도금된 표면 (292) 을 남기는 것이다. 도금된 표면은 특정의 제조 스테이지에서 기판의 표면에 도금될 필요가 있을 수도 있는 증착된 구리층 또는 다른 금속층을 초래할 수도 있다. FIG. 2D shows an example in which the processing module 240, which may be connected to the control atmosphere transfer module 232 of FIG. 2A, is a plating system. The plating system may be configured as an electroless plating system or an electroless plating system in which contact with a wafer is required. The configuration of the plating head 260b can take many forms, and the specific form of the plating head varies depending on the type of plating application being performed. The result of the plating application is to leave a plated surface 292 on the surface of the substrate 105. The plated surface may result in a deposited copper layer or other metal layer that may need to be plated on the surface of the substrate at a particular manufacturing stage.

도 2d4 는 기판 (105) 의 표면을 도금하기 위해 2 개의 도금 헤드가 사용되는 도금 시스템의 또 다른 예를 나타낸다. 이러한 예에서, 하나의 도금 헤드 (260b) 는 또 다른 도금 헤드 (260b) 가 촉진자 헤드 (facilitator head) 로서 사용되는 동안 실제의 도금 헤드로서 사용된다. 촉진자 헤드는 기판의 표면 상으로 금속 재료를 도금하기 위해, 애노드-캐소드 연결을 정의하도록 요구되는 전기적 링크를 제공한다. 2d4 shows another example of a plating system in which two plating heads are used to plate the surface of the substrate 105. Fig. In this example, one plating head 260b is used as an actual plating head while another plating head 260b is used as a facilitator head. The facilitator head provides an electrical link required to define the anode-cathode connection, for plating the metal material onto the surface of the substrate.

도 2d5 는 습식 프로세싱 시스템 (202) 에서 사용될 수도 있는 헤드 (260c) 의 또 다른 예를 나타낸다. 습식 프로세싱 시스템 (202) 은 기판 (105) 의 표면 위에 하나 이상의 뉴톤 유체의 형성을 포함할 수도 있다. 비-뉴톤 유체의 예는 고체 및 액체의 극단 사이의 중간을 차지하는 무른 응집 물질 (soft condensed matter) 이다. 무른 응집 물질은 외부 응력에 의해 쉽게 변형가능하 며, 무른 응집 물질의 예는 에멀젼, 겔, 콜로이드, 폼 등을 포함한다. 에멀젼은 예를 들어 치약, 마요네즈, 물속의 기름 등과 같은 혼합할 수 없는 액체들의 혼합물이다. 콜로이드는 물속의 폴리머 분산이며, 젤라틴이 콜로이드의 예이다. 폼은 액체 매트릭스 내에 정의된 가스 버블로 이루어지며, 면도 크림이 하나의 타입의 폼의 예이다. 이러한 예에서, 비-뉴톤 유체 (294) 가 헤드 (260c) 에 의해 적용되는 것으로 도시된다.FIG. 2d5 shows another example of a head 260c that may be used in the wet processing system 202. FIG. The wet processing system 202 may include the formation of one or more Newtonian fluids on the surface of the substrate 105. An example of a non-Newtonian fluid is a soft condensed matter that occupies the middle between the solid and liquid extremes. Friable flocculent materials are easily deformable by external stresses, and examples of friable flocculent materials include emulsions, gels, colloids, foams and the like. Emulsions are mixtures of incompatible liquids, such as toothpaste, mayonnaise, oil in water, and the like. A colloid is a polymer dispersion in water, and gelatin is an example of a colloid. The foam consists of gas bubbles defined within the liquid matrix, and shaving cream is an example of one type of foam. In this example, non-Newtonian fluid 294 is shown to be applied by head 260c.

분위기 제어 모듈의 습식 챔버 중 하나 내의 또 다른 재료는 삼상체 (tri-state body) 이다. 삼상체는 일부는 가스, 일부는 고체, 일부는 유체를 포함하는 것이다.Another material in one of the wet chambers of the atmosphere control module is a tri-state body. Ternary bodies are partly gas, part solid, and part fluid.

헤드 (260c) 는 또한 비-뉴톤 유체와 함께 결합된 뉴톤 유체를 제공하기 위한 입력 및 출력과 함께 통합될 수도 있다. Head 260c may also be integrated with an input and an output to provide a combined Newtonian fluid with a non-Newtonian fluid.

도 2d6 은 롤러 (296) 에 의해 유지되는 기판 (105) 을 나타낸다. 롤러 (296) 는 기판이 회전 방식으로 이동하는 것을 허용하는 반면, 헤드 (260c) 는 제어된 방식으로 기판의 표면에 비-뉴톤 유체 (예를 들어, 폼-형상 재료) 를 적용하는데 사용된다. 비-뉴톤 유체는 제어된 방식으로 헤드로 제공되고 또한 헤드에 의해 제거되어, 기판의 표면이 깨끗하게 될 수 있다. 또 다른 실시형태에서, 비-뉴톤 유체는 헤드 (260c) 에 의해 적용되고 소정의 시간 주기 동안 기판의 표면에 남도록 허용될 수도 있고, 기판이 롤러를 사용하여 회전하는 동안 노즐이 기판의 표면에 스프레이하는데 사용될 수도 있다. 도면에 의해 제공되지 않는 또 다른 예는 SRD (spin rinse and dry) 모듈 및 습식이거나 건식인 다른 공통으로 사 용되는 프로세싱 시스템을 포함할 수도 있다. 이들 모듈 모두는 운반 모듈에 연결되는 경우, 제어된 분위기에 유지되며, 건조한 웨이퍼가 모듈로 로딩되고 프로세싱이 완료된 후 모듈로부터 제거된다.Fig. 2d6 shows the substrate 105 held by the rollers 296. Fig. The rollers 296 allow the substrate to move in a rotational manner while the head 260c is used to apply a non-Newtonian fluid (e.g., foam-like material) to the surface of the substrate in a controlled manner. The non-Newtonian fluid is provided to the head in a controlled manner and is also removed by the head, so that the surface of the substrate can be cleaned. In another embodiment, the non-Newtonian fluid may be applied by the head 260c and allowed to remain on the surface of the substrate for a predetermined period of time, and the nozzle may be sprayed onto the surface of the substrate while the substrate is rotated using the roller . Another example not provided by the drawings may include a spin rinse and dry (SRD) module and another commonly used processing system that is wet or dry. Both of these modules, when coupled to the transport module, are maintained in a controlled atmosphere and the dry wafer is loaded into the module and removed from the module after the processing is complete.

도 3은 본 발명의 일 실시형태에 따라 A 로부터 D 까지의 예시적인 흐름 프로세스를 나타낸다. 도 3의 흐름 프로세스 (300) 는 노출된 구리 재료의 선택된 표면 위로 CoWBP (코발트 텅스텐 보로-포스파이드) 캡핑 동작의 예시적인 제조를 사용하여 기술된다. 도 4 에서, 그 프로세스는 수행될 수 있는 2 개의 잠재적인 프로세스 흐름을 나타내며; 하나는 바람직한 결과를 가지며 하나는 바람직하지 않는 결과를 갖는다.Figure 3 illustrates an exemplary flow process from A to D in accordance with an embodiment of the present invention. The flow process 300 of FIG. 3 is described using an exemplary fabrication of a CoWBP (Cobalt Tungsten Boro-Phosphide) capping operation over selected surfaces of exposed copper material. In Figure 4, the process represents two potential process flows that can be performed; One having desirable results and one having undesirable results.

CoW(BP) 캡핑 프로세스를 수행하는 경우, 전해질 화학약품은 인접한 유전체 위의 노출된 구리 상으로의 증착에 대한 선택비를 제공하도록 공식화된다. 무전해 도금 이전에 웨이퍼 표면 및 다양한 인터페이스는 업스트림 프로세스에 의해 결정된다. 이들 업스트림 프로세스는 통상 화학적 기계적 폴리싱 (CMP) 및 포스트-CMP 세정 시퀀스이다. 양자의 경우에, 갈바니 효과 및 부식이 통상 BTA 를 사용하여 구리 표면을 페시베이팅하여, Cu-BTA 착체를 형성함으로써 제어된다.When performing the CoW (BP) capping process, the electrolyte chemistry is formulated to provide a selection ratio for deposition onto the exposed copper over the adjacent dielectric. Prior to electroless plating, the wafer surface and various interfaces are determined by the upstream process. These upstream processes are typically chemical mechanical polishing (CMP) and post-CMP cleaning sequences. In both cases, the galvanic effect and corrosion are usually controlled by the PBA of the copper surface using BTA to form the Cu-BTA complex.

도 4에서, 좌측 상부의 도면은 구리 피쳐를 포함하는 유전체 재료 및 Cu-BTA 착체 (302) 를 생성하는 CMP 및/또는 세정 동작의 결과를 나타낸다. 이러한 금속-유기 하이브리드는 도금 이전에 제거되어야 하며, 그렇지 않으면 도금이 방해된다. 또한, 유전체 표면은 구리 및 그 산화물이 없어야 하고, 구리 표면은 구리 산화물이 없어야 한다. 일 동작에서, Cu-BTA 착체 (302) 를 갖는 기판은 유 전체 표면 상의 Cu-BTA 착체를 제거하기 위해 습식 프리-세정 동작에 의해 프로세싱된다. In FIG. 4, the upper left figure shows the result of a CMP and / or cleaning operation to produce a Cu-BTA complex 302 and a dielectric material comprising a copper feature. These metal-organic hybrids must be removed prior to plating, otherwise plating will be impeded. Also, the dielectric surface should be free of copper and its oxide, and the copper surface should be free of copper oxide. In one operation, the substrate with the Cu-BTA complex 302 is processed by a wet pre-clean operation to remove the Cu-BTA complex on the oil-in-oil surface.

이러한 동작이 도 3 및 도 4의 동작 A 에서 도시된다. 하나의 특정의 예에서, 테트라메틸암모늄 클로라이드 (TMAH) 일 수도 있는 세정 화학약품이 모든 Cu-BTA 착체 (302) 를 실질적으로 제거하기 위해 사용된다. TMAH 는 하나의 예로서만 기술되며, 프리-세정 동작에서 제거되어야 하는 층에 따라 다른 화학약품이 사용될 수도 있다. 일 실시형태에서, 동작 (A) 는 랩-분위기 제어 이송 모듈 (104c) 의 부분인 세정 모듈에 의해 수행된다. Cu-BTA 착체 (302) 가 동작 A 의 프리-세정 동작을 사용하여 표면으로부터 제거되지 않은 경우, 방법은 도 4 의 상부에 도시된 경로 (B, C 및 D) 를 횡단할 수 있다.This operation is shown in operation A of Figs. 3 and 4. Fig. In one particular example, a cleaning chemistry, which may be tetramethylammonium chloride (TMAH), is used to substantially remove all Cu-BTA complexes 302. TMAH is described only as one example, and other chemicals may be used depending on the layer to be removed in the pre-cleaning operation. In one embodiment, operation (A) is performed by a cleaning module that is part of the wrap-mood control transfer module 104c. If the Cu-BTA complex 302 is not removed from the surface using the pre-clean operation of operation A, the method may traverse the paths B, C, and D shown at the top of FIG.

도 4 의 상부의 횡단 동작 (B, C, D) 은 선택된 도금 (또는 증착) 의 타겟이 아닌 유전체의 부분을 포함하여 기판의 전체 표면 위에 구리 텅스텐 도금 캡을 갖게 하는 것을 초래한다. 이와 같이, 본 발명의 일 실시형태는 도 4 의 하부 열에 도시된 동작 (A, B, C 및 D) 를 프로세싱하기 위해 제어 분위기 시스템을 사용하는 이익을 나타낸다. The top transverse operation (B, C, D) of Fig. 4 involves the portion of the dielectric that is not the target of the selected plating (or deposition), resulting in having a copper tungsten plating cap on the entire surface of the substrate. As such, one embodiment of the present invention represents the benefit of using a controlled atmosphere system for processing operations A, B, C, and D shown in the bottom row of FIG.

동작 (B) 에서, 다운스트림 TCP 동작은 임의의 남아있는 유기 오염물을 산화 및 제거하기 위해 산소 환경을 사용하여 수행되며; 임의의 노출된 구리가 또한 이 단계 동안 산화된다. 구리 표면상의 산화된 구리 잔류물 (304) 은 B 에 도시된 바와 같이 잔류한다. 그러나, 습식 프리-세정이 수행되지 않은 경우에는, 산화 구리 잔류물이 이러한 예시적인 플로우에서 원해지는 바와 같이, 구리선의 상부 위 만이 아니라 유전체 재료의 상부 표면 상에도 잔류한다.In operation (B), the downstream TCP operation is performed using an oxygen environment to oxidize and remove any remaining organic contaminants; Any exposed copper is also oxidized during this step. The oxidized copper residue 304 on the copper surface remains as shown in B, However, if wet pre-cleaning is not performed, the copper oxide residue remains on the upper surface of the dielectric material as well as on the top of the copper wire, as desired in this exemplary flow.

동작 (B) 는 진공 이송 모듈 (104b) 에 연결된 플라즈마 모듈 중 하나에서 수행되는 것이 바람직하며, 다음의 동작은 진공 이송 모듈 (104b) 에 연결된 또 다른 플라즈마 모듈에서 수행된다. 이러한 예에서, 다음의 다운스트림 TCP H2 동작이 층 (306) 으로 도시된 바와 같이, 구리의 환원 동작을 일으키기 위해 수행된다. 도 4 의 하부는 산화구리 잔류물만이 구리선 위에서 환원되는 바람직한 흐름을 나타낸다. 상부 열은 구리 잔류물이 유전체 층 위에서 환원되는 경우를 나타낸다. 선택적으로, 동작 (B) 및 동작 (C) 는 상승된 온도에서 산소, 후속하여 상승된 온도 (150 내지 400C) 에서 수소의 열 처리를 사용하여 수행될 수 있다.Operation B is preferably performed in one of the plasma modules connected to the vacuum transfer module 104b and the following operation is performed in another plasma module connected to the vacuum transfer module 104b. In this example, the following downstream TCP H2 operation is performed to cause a copper reduction operation, as shown by layer 306: The lower portion of FIG. 4 shows the preferred flow wherein only the copper oxide residue is reduced over the copper wire. The top row shows the case where the copper residue is reduced on the dielectric layer. Optionally, operation (B) and operation (C) may be performed using thermal treatment of hydrogen at elevated temperature followed by hydrogen at elevated temperature (150-400C).

일단 동작 (B 및 C) 가 수행되면, 도 3 에 도시된 바와 같이, C 와 D 사이에 천이가 발생할 수도 있다. 그 천이는 진공 이송 모듈로부터 로드록을 통해 불활성 대기 제어 분위기 내로의 기판의 이송을 가능하게 한다. 불활성 대기 제어 분위기는 실질적으로 산소가 없도록 설계되고, 이것은 도 3 의 불활성 대기 제어 분위기에 연결된 모듈 내에서 프로세싱되기 전에 표면의 바람직하지 않은 산화를 방지한다. Once operations B and C are performed, a transition may occur between C and D, as shown in FIG. The transition enables transfer of the substrate from the vacuum transfer module through the load lock into the inert atmosphere control atmosphere. The inert atmosphere control atmosphere is designed to be substantially oxygen free, which prevents undesirable oxidation of the surface before being processed in the module connected to the inert atmosphere control atmosphere of FIG.

동작 (D) 에서, 선택적인 CoW 캡 도금이 이제 도 4 의 상부 (동작 (D)) 에 도시된 바와 같이, 원하지 않는 영역 위에 CoW 도금을 형성하지 않고, 구리 피쳐 위에만 발생할 수도 있다. 구리 위의 CoW 의 도금은 구리의 자기촉매적 (autocatalytic) 표면 특성에 기인하여 선택적으로 용이하게 되며, 이것은 깨끗한 유전체 층 위가 아니라 구리 영역 위에만 CoW 의 선택적 도금을 가능하게 한다. 이러한 예는 노출된 구리 피쳐 위에 CoW 도금 캡을 증착하는 방법을 나타내기 위해 제공되었지만, 많은 제조 동작이 클러스터 아키텍쳐 내에서 가능하고, 이것은 제어된 분위기에서의 이송 및 프로세싱을 가능하게 한다.In operation (D), the optional CoW cap plating may now occur only on the copper feature, without forming a CoW plating over the undesired area, as shown in the top part of Figure 4 (act D). The plating of CoW on copper is optionally facilitated due to the autocatalytic surface properties of copper, which allows for the selective plating of CoW only over the copper area, rather than on a clean dielectric layer. Although this example has been provided to illustrate a method of depositing a CoW plated cap over an exposed copper feature, many fabrication operations are possible within the cluster architecture, which enables transport and processing in a controlled atmosphere.

도 5 는 상이한 모듈들 간의 천이가 제어 분위기 환경에서 수행되도록, 클러스터 아키텍쳐 내의 모듈들의 구성 및 그 모듈들 내에서의 기판의 제어를 정의하는 흐름도 (500) 을 나타낸다. 상술된 바와 같이, 종래 기술의 모듈과의 차이는, 인터페이스 (즉, 층, 피쳐 등) 가 매 프로세스 시퀀스 마다 제어되고 안정한 상태로 유지되도록, 정의된 시스템이 프로세스 챔버 내 및 이송 모듈 내에서 항상 분위기의 제어를 가능하게 한다는 점이다. 제어된 분위기 없이는, 제작된 인터페이스는 종래 기술의 시스템의 경우일 수도 있는 것처럼, 최소의 큐 타임으로써도 순간적으로 열화 또는 변화할 수 있다. 5 illustrates a flow diagram 500 that defines the configuration of modules within the cluster architecture and control of the substrate within those modules such that transitions between different modules are performed in a controlled atmosphere environment. As described above, the difference from the prior art modules is that the defined system is always in the process chamber and within the transfer module so that the interface (i.e., layer, feature, etc.) And the like. Without a controlled atmosphere, the fabricated interface may instantaneously deteriorate or change, even with minimal queue time, as may be the case with prior art systems.

도 5 의 방법 동작은 동작 (502) 에서 시작하며, 여기서 제어된 분위기에서 제조할 층 또는 피쳐가 식별된다. 일 실시형태에서, 배리어층, 라이너, 시드층, 또는 구리의 벌크 증착 등의 특정의 층이 제조될 수도 있다. 다른 실시형태에서, 통상 전해도금 시스템 및 무전해 도금 시스템을 사용하여 구현되는 선택적 도금 동작에서 행해지는 바와 같이, 소정의 피쳐만이 제조될 수도 있다. 일단 층 또는 피쳐가 동작 (502) 에서 식별되면, 방법은 동작 (504) 로 이동하고, 여기서 모듈은 선택된 분위기 프로세싱 스테이지에 연결된다. 모듈은 예를 들어 도 2b 의 상이한 이송 모듈들에 연결되는 그러한 모듈이다. The method operation of FIG. 5 begins at operation 502, where a layer or feature to be fabricated is identified in a controlled atmosphere. In an embodiment, certain layers may be made, such as a barrier layer, a liner, a seed layer, or a bulk deposition of copper. In other embodiments, only certain features may be fabricated, as is typically done in selective plating operations implemented using electroless plating systems and electroless plating systems. Once the layer or feature is identified in operation 502, the method moves to operation 504, where the module is connected to the selected atmosphere processing stage. The module is, for example, such a module that is connected to the different transport modules of Figure 2b.

일단 적당한 모듈이 동작 (504) 에서 클러스터 아키텍쳐에 연결되면, 방법은 동작 (506) 으로 이동하고, 여기서 레시피는 분위기 프로세싱 스테이지의 각각에서 프로세싱을 위해 기판을 횡단하도록 정의된다. 레시피는 프로세싱의 원하는 결과에 의존하지만, 기판의 횡단을 단일화하는 특징은 분위기가 층, 피쳐 또는 프로세싱의 최적의 프로세싱을 보장하도록 각각의 스테이지에서 특별히 제어된다는 것이다. 다음에, 방법은 동작 (508) 로 이동하고 여기서 기판은 식별된 층 또는 피쳐를 프로세싱하도록 제공된다. Once the appropriate module is connected to the cluster architecture at operation 504, the method moves to operation 506 where the recipe is defined to traverse the substrate for processing in each of the atmospheric processing stages. The recipe depends on the desired outcome of the processing, but the feature of unifying the traversing of the substrate is that the atmosphere is specially controlled at each stage to ensure optimal processing of the layer, feature or processing. Next, the method moves to operation 508 where the substrate is provided to process the identified layer or feature.

기판은 그 위에 형성된 또는 그 위에 이전에 제조된 특정의 층을 가질 수도 또는 갖지 않을 수도 있는 반도체 웨이퍼의 형태일 수도 있다. 이러한 스테이지에서, 동작 (508) 에서 제공되었던 웨이퍼는 랩-분위기 제어 이송 모듈 (510) 내로 천이된다. 랩-분위기 제어 이송 모듈 (510) 은 불활성 환경을 제공하도록 선택적으로 제어될 수도 있다. 불활성 환경은 예를 들어 낮은 산소 또는 제로 산소 환경을 제공할 수도 있는 환경이다. The substrate may be in the form of a semiconductor wafer that may or may not have a particular layer formed thereon or previously formed thereon. In this stage, the wafer that was provided in operation 508 transitions into the wrap-mood control transfer module 510. The wrap-mood control transfer module 510 may be selectively controlled to provide an inert environment. An inert environment is, for example, an environment that may provide a low oxygen or zero oxygen environment.

감소된 산소 환경은 랩-분위기 제어 이송 모듈에 상호연결될 수도 있는 습식프로세싱 모듈 중 임의의 것에서 프로세싱하는 경우 기판 또는 그것의 표면을 산소에 노출시키지 않는 것을 돕는다. 따라서, 여기에 정의된 바와 같이, "랩 분위기" 는 분위기가 환경이 펌핑될 수 있고 그 후 불활성 가스로 채워질 수 있는, 일 타입의 불활성 환경을 정의함으로써 제어되는 양 상황을 포함하는 것으로 이해된다. 환경의 펌핑은 그것에 연결된 랩-분위기 제어 이송 모듈 또는 모듈들에서 프로세싱하는 동안 산소를 제거하거나 실질질적으로 모든 산소를 제거하기 위해 행 해진다. The reduced oxygen environment aids in not exposing the substrate or its surface to oxygen when processing at any of the wet processing modules that may be interconnected to the lab-atmosphere controlled transfer module. Thus, as defined herein, a "wrapped atmosphere" is understood to include both situations in which the atmosphere is controlled by defining one type of inert environment in which the environment can be pumped and then filled with an inert gas. Pumping of the environment is done to remove oxygen or substantially eliminate all oxygen during processing in the lab-atmosphere control transfer module or modules connected thereto.

방법은 이제 동작 (512) 로 이동하고, 여기서 랩-분위기 제어 이송 모듈에 연결된 하나 이상의 모듈에서의 습식 프로세싱이 수행된다. 선택적으로, 시퀀싱된 몇몇 프로세스는 진공 프로세스 이전에 습식 프로세스를 요구하지 않을 수도 있다. 위에서 정의된 다양한 습식 프로세싱 동작은 유체 (뉴톤 유체 및 비-뉴톤 유체) 의 사용을 포함할 수 있는 근접 헤드 메니스커스 프로세싱, SRD 프로세싱, 브러시 프로세싱 및 임의의 다른 타입의 프로세싱을 포함할 수도 있다. The method now moves to operation 512 where the wet processing in one or more modules connected to the wrap-mood control transfer module is performed. Optionally, some sequenced processes may not require a wet process prior to the vacuum process. The various wet processing operations defined above may include proximity head meniscus processing, SRD processing, brush processing, and any other type of processing that may include the use of fluids (Newtonian and non-Newtonian fluids).

이제, 동작 (514) 에서, 프로세스는 기판을 건식 프로세스에서 프로세싱할지 또는 불활성 분위기 층 형성 단계로 이동할지 여부가 결정되는 결정 포인트로 이동한다. 이러한 예에서, 플라즈마 프로세스로 이동하는 것이 원해지며, 동작 (516) 으로의 천이가 발생하는 것이 허용된다. 동작 (516) 에서, 천이는 진공 이송 모듈 내로 발생할 수도 있다. 진공 이송 모듈 내로의 습식 프로세싱 사이의 천이는 건조한 웨이퍼가 습식 프로세싱 사이와 진공 이송 모듈 내로 이송되도록 하는 것이다.Now, at operation 514, the process moves to a decision point at which it is determined whether to process the substrate in the dry process or to move to the inert atmosphere layer formation step. In this example, it is desired to move to the plasma process and a transition to operation 516 is allowed to occur. At operation 516, a transition may occur in the vacuum transfer module. The transition between the wet processing into the vacuum transfer module allows the dry wafer to be transferred between the wet processing and into the vacuum transfer module.

천이에 있어서, 로드록 및 밸브는 웨이퍼가 모듈들 사이에서 이동하는 것을 가능하게 한다. 동작 (518) 에서, 플라즈마 프로세싱 동작은 진공 이송 모듈에 연결된 하나 이상의 모듈에서 수행될 수도 있다. 상술된 바와 같이, 이송 모듈에 연결되는 플라즈마 시스템 및 챔버의 타입에 따라, 상이한 타입의 플라즈마 동작이 수행될 수도 있다. 이 시점에서, 랩-분위기 층 형성이 원해지는지 여부 또는 웨이퍼가 습식 세정 또는 습식 에칭 동작으로 다시 이동되어야 하는지 여부가 동작 (520) 에서 결정된다. In transition, the load lock and valve enable the wafer to move between the modules. At operation 518, the plasma processing operation may be performed in one or more modules coupled to the vacuum transfer module. As described above, different types of plasma operations may be performed, depending on the type of chamber and the plasma system coupled to the transport module. At this point, it is determined in operation 520 whether a wrap-atmospheric layer formation is desired or whether the wafer should be moved back into the wet cleaning or wet etching operation.

습식 세정 또는 습식 에칭 동작이 원해진다면, 방법은 동작 (510) 으로 다시 이동할 수도 있고, 여기서 이송 모듈을 통하여 랩-분위기 제어 이송 모듈로의 천이가 수행된다. 만일 불활성 분위기 층 형성을 수행하기를 원한다면, 방법은 동작 (522) 로 이동한다. 동작 (522) 에서, 제어 분위기 이송 모듈로의 천이가 발생하게 된다. 제어 분위기 이송 모듈에서, 기판은 많은 타입의 불활성 분위기 층 형성 모듈 중 하나 내로 이동될 수 있다. 불활성 분위기 층 형성 모듈은 제어 분위기 이송 모듈에 연결되는 모듈이다.If a wet scrubbing or wet etching operation is desired, the method may move back to operation 510 where a transition to a lab-atmospheric control transfer module is performed via the transfer module. If it is desired to perform an inert atmosphere layer formation, the method moves to operation 522. At operation 522, a transition to the controlled atmosphere transfer module occurs. In the controlled atmosphere transfer module, the substrate can be moved into one of many types of inert atmosphere layer forming modules. The inert atmosphere layer forming module is a module connected to the controlled atmosphere conveying module.

제어 분위기 층 형성 모듈의 예는 무전해 프로세스 또는 전해도금을 사용하는 도금 모듈일 수도 있다. 전해도금 및 무전해 도금에 부가하여, 기판은 또한 기판의 드라이-인 및 드라이-아웃 프로세싱을 허용하는 모듈 내로 이동될 수도 있다. 드라이-인 및 드라이-아웃 프로세싱의 예는 웨이퍼의 표면에 메니스커스를 적용하는 근접 헤드 프로세싱을 포함할 수도 있다. 따라서, 일단 프로세싱이 동작 (524) 에서 불활성 분위기 층 형성 모듈에서 수행되면, 결정 동작이 단계 (526) 에서 수행될 수도 있다.An example of a control atmosphere layer forming module may be an electroless process or a plating module using electrolytic plating. In addition to electroplating and electroless plating, the substrate may also be moved into a module that allows for dry-in and dry-out processing of the substrate. Examples of dry-in and dry-out processing may include close-head processing that applies a meniscus to the surface of the wafer. Thus, once processing is performed in the inert atmosphere layer formation module at operation 524, a determination operation may be performed at step 526. [

단계 (526) 에서, 추가적인 천이가 진공 이송 모듈 (516) 으로 요구되는지 또는 510 의 랩-분위기 제어 이송 모듈로 다시 요구되는지가 결정된다. 일단 천이의 수가 분위기 특성을 위해 제어되는 다양한 이송 모듈을 통해 발생했고, 애플리케이션에 따라 원하는 층의 형성 또는 피쳐의 코팅이 종결되면, 방법은 종료할 수도 있다. 물론, 이러한 방법의 종료는 단지 제조의 다음 프로세스 시퀀스의 시작을 나타낼 수도 있다. At step 526, it is determined whether additional transitions are required by the vacuum transfer module 516 or again with the wrap-atmospheric controlled transfer module 510. Once the number of transitions has occurred through the various transport modules controlled for the atmospheric properties and the formation of the desired layer or the coating of the features is terminated depending on the application, the method may terminate. Of course, the termination of this method may only indicate the beginning of the next process sequence of manufacture.

비록 프로세스 (500) 의 방법 동작에서 특정의 층, 인터페이스 또는 피쳐를 제조하는 것에 대해 언급했지만, 다양한 층, 프로세스 및 제조 단계가 집적 회로 디바이스를 제조하기 위해 수회 반복될 수도 있다. 그 후, 집적 회로 디바이스는 전자회로에서 데이터를 프로세싱, 저장, 이송, 표시 또는 제공하는데 사용될 수도 있는 전자 부품 내로 패키징 및 배치될 수 있다.Although referring to fabricating a particular layer, interface, or feature in the method operation of process 500, various layers, processes, and fabrication steps may be repeated several times to fabricate the integrated circuit device. The integrated circuit device can then be packaged and placed into electronic components that may be used to process, store, transport, display, or provide data in electronic circuitry.

도 6 내지 도 11은 배리어막 상으로 직접 구리 도금을 위한 예시적인 실시형태를 제공하며, 이것은 도 2 의 실질적으로 무산소 환경에 의해 가능하게 된다. 도 6은 본 발명의 일 실시형태에 따라 프로세싱하기 위해 기판의 층을 도시하는 단순화된 개략도이다. 층 (600) 은 기판 (602) 위에 배치된다. 층 (600) 은 층간 유전체 (ILD: interlayer dielectric) 이다.Figures 6-11 provide an exemplary embodiment for direct copper plating on the barrier film, which is enabled by the substantially anaerobic environment of Figure 2. Figure 6 is a simplified schematic diagram illustrating layers of a substrate for processing in accordance with one embodiment of the present invention. The layer 600 is disposed over the substrate 602. Layer 600 is an interlayer dielectric (ILD).

도 7은 그 안에 에칭된 피쳐를 갖는 층 (600) 을 나타낸다. 피쳐는 후속하는 금속화가 다른 디바이스에의 상호접속을 제공하도록 반도체 재료 내에 제조되는 콘택, 비아, 트렌치 또는 다른 보이드 중 하나일 수도 있다. 듀얼 다마신 에칭 프로세스 등의 몇몇 프로세스에서, 비아 및 트렌치 에칭의 시퀀스가 금속화 이전에 유전체 층 내에 피쳐를 정의하기 위해 사용된다. 일 실시형태에서, 보이드 (604) 는 공지의 에칭 프로세싱 기술을 통해 층 (600) 내에 에칭되었다. 예를 들어, 플라즈마 에칭은 층 (600) 내에 보이드 (604) 를 형성하는데 사용될 수도 있다. 플라즈마 에칭은 진공 조건하의 제어된 분위기에서 동작하는, 도 2의 클러스터 모듈의 플라즈마 챔버 내에서 발생할 수도 있다. 용어 보이드 및 피 쳐는 상호교환가능하게 사용될 수도 있다.FIG. 7 shows a layer 600 having features etched therein. The feature may be one of a contact, a via, a trench, or other void that is fabricated in a semiconductor material so that subsequent metallization provides interconnection to other devices. In some processes, such as a dual damascene etch process, a sequence of via and trench etch is used to define features in the dielectric layer prior to metallization. In one embodiment, the void 604 has been etched into the layer 600 through a known etch processing technique. For example, plasma etch may be used to form voids 604 in layer 600. Plasma etching may occur in the plasma chamber of the cluster module of Figure 2, operating in a controlled atmosphere under vacuum conditions. The terms void and feature may be used interchangeably.

도 8은 기판의 노출된 표면과 보이드 (604) 의 노출된 표면 위로 증착되고 있는 등각 배리어층을 나타내는 단순화된 개략도이다. 등각 배리어층 (606) 은 본 발명의 일 실시형태에 따라 공지의 증착 기술을 통해 증착된다. 예를 들어, 증착은 도 2의 클러스터 아키텍쳐의 제어 분위기 대기 모듈 내에서 발생할 수도 있다. 즉, 모듈 (240a) 내지 모듈 (240d) 중 임의의 모듈이 공지의 증착 기술을 통해 배리어층을 증착하는데 사용될 수도 있다. 배리어층 (606) 은 질화 탄탈 (TaN), 탄탈 (Ta), 루테늄 (Ru), 또는 이들 재료의 하이브리드 조합으로 이루어질 수 있다. 이들은 통상 고려되는 재료인 반면, 다른 배리어층 재료가 또한 사용될 수 있다. 배리어층 재료는 여럿 가운데서 티타늄 (Ti), 텅스텐 (W), 지르코늄 (Zr), 하프늄 (Hf), 몰리브덴 (Mo), 니오븀 (Nb), 바나듐 (V), 루테늄 (Ru), 이리듐 (Ir), 플래티늄 (Pt), 및 크롬 (Cr) 을 포함하지만 이것에 제한되지 않는 다른 내화 금속 화합물일 수도 있다. 8 is a simplified schematic depicting the conformal barrier layer being deposited over the exposed surface of the substrate and the exposed surface of the void 604. FIG. The conformal barrier layer 606 is deposited through a known deposition technique in accordance with one embodiment of the present invention. For example, deposition may occur within the control atmosphere wait module of the cluster architecture of FIG. That is, any of the modules 240a through 240d may be used to deposit the barrier layer through known deposition techniques. The barrier layer 606 may comprise tantalum nitride (TaN), tantalum (Ta), ruthenium (Ru), or a hybrid combination of these materials. While these are usually considered materials, other barrier layer materials may also be used. The barrier layer material may include at least one of titanium, tungsten, zirconium, hafnium, molybdenum, niobium, vanadium, ruthenium, iridium, , Platinum (Pt), and chromium (Cr).

도 9는 배리어층 (606) 위에 증착된 제 2 등각층을 나타내는 단순화된 개략도이다. 층 (608) 은 본 발명의 일 실시형태에 따른 탄탈층이다. 질화 탄탈 (TaN) 은 층간 유전체층 (600) 을 위한 수용가능한 접착 특성을 갖는다. 그러나, 질화 탄탈은 탄탈층 뿐아니라 후속하여 보이드 (604) 를 충전하는데 사용되는 구리에 접착하지 않는다. 도 9 에 대한 대안으로, 즉 2 개의 배리어층이 증착되는 경우, 질화 탄탈층 (606) 은 보이드 (604) 내로 충전되는 구리에 근접한 탄탈-풍부 표면 (tantalum-rich surface) 을 갖도록 프로세싱될 수도 있다. 일 실시형태에서, 기능층 또는 자기조립 단일층이 배리어층 위에 증착된다. FIG. 9 is a simplified schematic diagram illustrating a second conformal layer deposited over the barrier layer 606. Layer 608 is a tantalum layer according to one embodiment of the present invention. The tantalum nitride (TaN) has acceptable adhesion properties for the interlayer dielectric layer 600. However, the tantalum nitride does not adhere to the tantalum layer as well as to the copper subsequently used to fill the void 604. 9, i.e., when two barrier layers are deposited, the tantalum nitride layer 606 may be processed to have a tantalum-rich surface proximate to the copper that is filled into the void 604 . In one embodiment, a functional layer or a self-assembled monolayer is deposited over the barrier layer.

층 (606 및 608) 은 도 2의 제어 분위기 프로세싱 시스템 상에 정의된 증착 모듈을 통해 증착될 수도 있다. 도 10에서, 평탄화 프로세스가 수행된 후 구리선 (610) 을 산출하기 위해 트렌치 내에 구리 충전이 수행된다. 구리선 (610) 은 층간 유전체 (600) 내에 정의된 배리어층 (606 및 608) 내에 도시된다. 도 9에서 구리 충전이 수행되고, 그 후 평탄화 단계가 상부 표면을 평탄화하기 위해 후속되어 도 10에 도시된 선을 얻는다. 일 실시형태에서, 평탄화는 도 2에 정의된 제어 분위기 습식 프로세싱 모듈에서 발생한다. Layers 606 and 608 may be deposited through a deposition module defined on the control atmosphere processing system of FIG. 10, copper filling is performed in the trenches to produce the copper lines 610 after the planarization process is performed. Copper lines 610 are shown in barrier layers 606 and 608 defined in interlayer dielectric 600. Copper filling is performed in Fig. 9, after which the planarization step is followed to planarize the top surface to obtain the line shown in Fig. In one embodiment, planarization occurs in the controlled atmosphere wet processing module defined in FIG.

도 6 내지 도 11 에서 도시된 바와 같이, 구리 갭 충전은 PVD 시드층에 대한 필요없이 수행된다. 도 1 내에 정의된 제어 분위기 환경으로 인해, PVD 시드층이 제거될 수 있어 구리 충전이 배리어층 상으로 직접 수행되는 것을 가능하게 한다. 따라서, 일 실시형태에서, 구리 충전은 탄탈이 질화 탄탈 배리어층 위에 증착되는 배리어층 (608) 상에 직접 수행될 수 있다. 또 다른 실시형태에서, 구리 충전은 구리 충전이 적절하게 부착되도록 배리어층 (606) 을 탄탈이 풍부하게한 배리어층 (606) 상으로 직접 수행될 수 있다. As shown in Figures 6-11, copper gap filling is performed without the need for a PVD seed layer. Due to the controlled atmospheric environment defined in Figure 1, the PVD seed layer can be removed, enabling copper charging to be performed directly on the barrier layer. Thus, in one embodiment, the copper fill can be performed directly on the barrier layer 608 where tantalum is deposited over the tantalum nitride barrier layer. In another embodiment, the copper fill can be performed directly on the tantalum-enriched barrier layer 606, with the barrier layer 606 being properly adhered to the copper fill.

도 11은 본 발명의 일 실시형태에 따라 배리어층 상으로 직접 갭 충전을 수행하여 PVD 시드층에 대한 필요를 제거하는 방법 동작을 나타내는 흐름도이다. 방법은 보이드가 에칭되는 동작 (700) 에서 시작한다. 보이드는 임의의 공지의 에칭 기술을 통해 에칭된다. 일 실시형태에서, 보이드는 기판이 제어된 환경 대기에 유지되도록 도 1 및 도 2 에 도시된 시스템의 모듈을 통해 에칭된다. Figure 11 is a flow diagram illustrating a method operation to perform a direct gap fill on a barrier layer in accordance with an embodiment of the present invention to eliminate the need for a PVD seed layer. The method begins at operation 700 where voids are etched. The voids are etched through any known etching technique. In one embodiment, the void is etched through the module of the system shown in Figures 1 and 2 such that the substrate is held in a controlled environmental atmosphere.

그 후, 방법은 동작 (702) 로 진행하며, 여기서 배리어층이 에칭된 트렌치 내에 증착된다. 도 7 내지 도 10 에 대해 설명된 바와 같이, 배리어층은 질화 탄탈층, 또는 상술된 일렉트로마이그레이션을 방지하는 임의의 다른 적합한 층일 수도 있다. 도 1 및 도 2 에 의해 정의된 시스템 내에서, 기판은 증착 도금을 위해 제어 분위기 진공 영역으로부터 제어 분위기 대기 영역으로 이동된다. 일 실시형태에서 배리어층의 증착은 먼저 질화 탄탈층으로서 그 후 탄탈층으로서 발생할 수도 있다. 또 다른 실시형태에서, 질화 탄탈층은 증착되고 그 후 상술된 바와 같이 풍부화될 수도 있다. 다른 경우에, 탄탈-풍부층이 배리어층에 대한 구리의 적절한 부착을 보장하기 위해 갭 충전 프로세스를 위해 정의된다. The method then proceeds to operation 702 where the barrier layer is deposited in the etched trench. As described with respect to Figures 7 to 10, the barrier layer may be a tantalum nitride layer, or any other suitable layer that prevents the above described electromigration. In the system defined by Figures 1 and 2, the substrate is moved from the control atmosphere vacuum zone to the control atmosphere atmosphere zone for deposition plating. In one embodiment, the deposition of the barrier layer may first occur as a tantalum nitride layer and then as a tantalum layer. In another embodiment, the tantalum nitride layer may be deposited and then enriched as described above. In other cases, a tantalum-rich layer is defined for the gap fill process to ensure proper adhesion of copper to the barrier layer.

다음의 갭 충전은 구리가 동작 (704) 에서 특정된 배리어층 상으로 직접 트렌치 내로 증착되는 경우 수행된다. 상술된 바와 같이, 이들 프로세스는 배리어층 내에 정의된 PVD 시드층에 대한 필요를 제거한다. 즉, 구리는 시드층이 없이 배리어층 상으로 직접 충전된다. 그 후, 갭 충전으로부터의 오버버든 (overburden) 은 동작 (706) 에서 특정된 층간 유전체를 위해 부드러운 상부 표면을 제공하기 위해 평탄화된다. The next gap fill is performed when copper is deposited directly into the trenches onto the barrier layer specified in operation 704. [ As described above, these processes eliminate the need for a PVD seed layer defined within the barrier layer. That is, copper is directly charged onto the barrier layer without a seed layer. An overburden from the gap charge is then planarized to provide a soft top surface for the interlayer dielectric specified in operation 706. [

클러스터 아키텍쳐 모듈, 로봇 등을 관리 및 인터페이싱하는 제어 시스템 및 전자장치는 컴퓨터 제어를 사용하는 자동화된 방식으로 제어될 수도 있다. 따라서, 본 발명의 양태들은 소형 디바이스, 마이크로프로세서 시스템, 마이크로프로세서-기반 또는 프로그램가능 소비자 전자장치, 미니컴퓨터, 메인프레임 컴퓨터 등을 포함하는 다른 컴퓨터 시스템 구성을 사용하여 실시될 수도 있다. 본 발명 은 또한 태스크가 네트워크를 통해 링크되는 원격 프로세싱 디바이스에 의해 수행되는 분포 컴퓨팅 환경에서 실시될 수도 있다. Control systems and electronic devices for managing and interfacing cluster architecture modules, robots, and the like may be controlled in an automated manner using computer control. Accordingly, aspects of the invention may be practiced using other computer system configurations, including small devices, microprocessor systems, microprocessor-based or programmable consumer electronics, minicomputers, mainframe computers, and the like. The invention may also be practiced in distributed computing environments where tasks are performed by remote processing devices that are linked through a network.

상기 실시형태들을 생각할 때, 본 발명은 컴퓨터 시스템에 저장된 데이터를 수반하는 다양한 컴퓨터-구현 동작을 사용할 수도 있다. 이들 동작은 물리적 양의 물리적 조작을 필요로 하는 것들이다. 반드시는 아니지만, 통상, 이들 양은 저장, 전송, 결합, 비교 및 달리 조작될 수 있는 전기 또는 자기 신호의 형태를 취한다. 또한, 수행된 조작은 종종 생성, 식별, 결정 또는 비교 등의 용어로 언급된다.Given the above embodiments, the present invention may employ various computer-implemented operations involving data stored in a computer system. These operations are those that require physical manipulation of physical quantities. Typically, but not necessarily, these quantities take the form of electrical or magnetic signals that can be stored, transferred, combined, compared, and otherwise manipulated. Also, the operations performed are often referred to in terms of generation, identification, determination or comparison, and the like.

본 발명의 일부를 형성하는 여기에 기재된 임의의 동작은 유용한 머신 동작이다. 본 발명은 또한 이들 동작을 수행하는 디바이스 또는 장치에 관련된다. 장치는 상술된 캐리어 네트워크와 같이 필요한 목적을 위해 특별히 구성될 수도 있고, 또는 그것은 컴퓨터에 저장된 컴퓨터 프로그램에 의해 선택적으로 활성화되거나 구성되는 범용 컴퓨터일 수도 있다. 특히, 다양한 범용 머신이 여기의 교시에 따라 기록된 컴퓨터 프로그램과 함께 사용될 수도 있고, 또는 필요한 동작을 수행하기 위해 더욱 특수화된 장치를 구성하는 것이 더욱 편리할 수도 있다.Any of the operations described herein that form part of the present invention are useful machine operations. The invention also relates to a device or apparatus that performs these operations. The device may be specially configured for the required purpose, such as the carrier network described above, or it may be a general purpose computer selectively activated or configured by a computer program stored in the computer. In particular, various general purpose machines may be used with computer programs recorded in accordance with the teachings herein, or it may be more convenient to configure more specialized apparatus to perform the required operations.

본 발명은 또한 컴퓨터 판독가능 매체 상의 컴퓨터 판독가능 코드로서 구현될 수 있다. 컴퓨터 판독가능 매체는 이후에 컴퓨터 시스템에 의해 판독될 수 있는 데이터를 저장할 수 있는 임의의 데이터 저장 디바이스이다. 컴퓨터 판독가능 매체의 예는 하드 드라이브, 네트워크 연결 기억장치 (NAS: network attached storage), 리드-온리 메모리, 랜덤-액세스 메모리, CD-ROM, CD-R, CD-RW, DVD, 플 래시, 자기 테이프 및 다른 광학 및 비광학 데이터 저장 디바이스를 포함한다. 컴퓨터 판독가능 매체는 또한 컴퓨터 판독가능 코드가 분포된 방식으로 저장 및 실행되도록 네트워크 결합 컴퓨터 시스템 상에 분포될 수 있다. The present invention may also be embodied as computer readable code on a computer readable medium. The computer readable medium is any data storage device that is capable of storing data that can be thereafter read by a computer system. Examples of computer-readable media include, but are not limited to, hard drives, network attached storage (NAS), read-only memory, random-access memory, CD-ROM, CD-R, CD-RW, DVD, flash, Tape and other optical and non-optical data storage devices. The computer readable medium may also be distributed on a network coupled computer system such that the computer readable code is stored and executed in a distributed manner.

본 발명은 수개의 실시형태에 의해 기술되었지만, 상술한 명세서를 읽고 도면을 연구할 때 당업자는 그것의 다양한 변경, 부가, 치환 및 등가를 실감할 것이다. 따라서, 본 발명은 본 발명의 진정한 사상 및 범위 내에 있는 모든 그러한 변경, 부가, 치환 및 등가를 포함하는 것으로 의도되다. 청구범위에 있어서, 엘리먼트 및/또는 단계는 청구범위에서 명백히 언급되지 않는한, 동작의 임의의 특정의 순서를 암시하지 않는다.While the invention has been described in terms of several embodiments, those skilled in the art will recognize various changes, additions, substitutions and equivalents thereof, when reading and describing the specification. Accordingly, it is intended that the present invention include all such modifications, additions, substitutions, and equivalents as fall within the true spirit and scope of the present invention. In the claims, elements and / or steps do not imply any particular order of operation, unless explicitly stated in the claims.

Claims (40)

기판을 프로세싱하기 위한 클러스터 아키텍쳐로서,CLAIMS 1. A cluster architecture for processing a substrate, 하나 이상의 습식 기판 프로세싱 모듈에 연결되는 랩-분위기 제어 이송 모듈로서, 상기 랩-분위기 제어 이송 모듈 및 상기 하나 이상의 습식 기판 프로세싱 모듈은 제 1 분위기 환경을 관리하도록 구성되는, 상기 랩-분위기 제어 이송 모듈,A lab-atmosphere control transfer module coupled to at least one wet substrate processing module, wherein the lab-atmosphere control transfer module and the at least one wet substrate processing module are configured to manage a first atmosphere environment, , 상기 랩-분위기 제어 이송 모듈 및 하나 이상의 플라즈마 프로세싱 모듈에 연결되는 진공 이송 모듈로서, 상기 진공 이송 모듈 및 상기 하나 이상의 플라즈마 프로세싱 모듈은 제 2 분위기 환경을 관리하도록 구성되는, 상기 진공 이송 모듈, 및A vacuum transfer module coupled to the lab-atmosphere control transfer module and to at least one plasma processing module, the vacuum transfer module and the at least one plasma processing module being configured to manage a second atmospheric environment; 상기 진공 이송 모듈 및 하나 이상의 분위기 프로세싱 모듈에 연결되는 제어 분위기 이송 모듈로서, 상기 제어 분위기 이송 모듈 및 상기 하나 이상의 분위기 프로세싱 모듈은 제 3 분위기 환경을 관리하도록 구성되는, 상기 제어 분위기 이송 모듈을 포함하고,A controlled atmosphere transfer module coupled to the vacuum transfer module and to one or more of the atmospheric processing modules, wherein the controlled atmospheric transfer module and the at least one atmospheric processing module are configured to manage a third atmospheric environment, , 상기 클러스터 아키텍쳐는 상기 제 1 분위기 환경, 상기 제 2 분위기 환경 또는 상기 제 3 분위기 환경 중 어느 하나의 분위기 환경에서 상기 기판의 제어된 프로세싱을 가능하게 하는, 기판 프로세싱용 클러스터 아키텍쳐. Wherein the cluster architecture enables controlled processing of the substrate in at least one of the first atmosphere environment, the second atmosphere environment, or the third atmosphere environment. 제 1 항에 있어서,The method according to claim 1, 상기 제 3 분위기 환경은 산소가 없는 불활성 분위기인, 기판 프로세싱용 클러스터 아키텍쳐.Wherein the third ambient environment is an oxygen-free inert atmosphere. 제 1 항에 있어서,The method according to claim 1, 상기 제 1 분위기 환경은 산소가 없는 불활성 분위기인, 기판 프로세싱용 클러스터 아키텍쳐.Wherein the first atmosphere environment is an oxygen-free inert atmosphere. 제 1 항에 있어서,The method according to claim 1, 상기 제 2 분위기 환경은 진공으로 설정될 수 있는, 기판 프로세싱용 클러스터 아키텍쳐.Wherein the second ambient environment can be set to vacuum. 제 1 항에 있어서,The method according to claim 1, 상기 제 1 분위기 환경, 제 2 분위기 환경 및 제 3 분위기 환경은 상기 클러스터 아키텍쳐 외부의 제어되지 않는 클린 룸 환경으로부터 분리되는, 기판 프로세싱용 클러스터 아키텍쳐.Wherein the first atmosphere environment, the second atmosphere environment, and the third atmosphere environment are separated from the uncontrolled clean room environment outside the cluster architecture. 제 1 항에 있어서,The method according to claim 1, 상기 하나 이상의 분위기 프로세싱 모듈은 금속 도금 시스템을 포함하는, 기판 프로세싱용 클러스터 아키텍쳐.Wherein the at least one atmosphere processing module comprises a metal plating system. 제 6 항에 있어서,The method according to claim 6, 상기 금속 도금 시스템은 전해도금 시스템 및 무전해 도금 시스템을 포함하는, 기판 프로세싱용 클러스터 아키텍쳐.Wherein the metal plating system comprises an electroplating system and an electroless plating system. 제 1 항에 있어서,The method according to claim 1, 상기 랩-분위기 제어 이송 모듈과 상기 진공 이송 모듈 사이에서 연결가능한 제 1 로드록, 및A first load lock connectable between the lab-atmosphere control transfer module and the vacuum transfer module, 상기 진공 이송 모듈과 상기 제어 분위기 이송 모듈 사이에서 연결가능한 제 2 로드록을 더 포함하는, 기판 프로세싱용 클러스터 아키텍쳐.And a second loadlock connectable between the vacuum transfer module and the controlled atmosphere transfer module. 제 1 항에 있어서,The method according to claim 1, 상기 하나 이상의 습식 기판 프로세싱 모듈과의 인터페이스는 상기 기판을 드라이-인 (dry-in) 및 드라이-아웃 (dry-out) 시퀀스에서 핸들링하는 말단 실행기 (end-effector) 에 의해 가능하게 되는, 기판 프로세싱용 클러스터 아키텍쳐.Wherein the interface with the at least one wet substrate processing module is enabled by an end-effector that handles the substrate in a dry-in and dry-out sequence. Cluster architecture. 제 9 항에 있어서,10. The method of claim 9, 상기 습식 기판 프로세싱 모듈은 근접 헤드 시스템인, 기판 프로세싱용 클러스터 아키텍쳐.Wherein the wet substrate processing module is a proximity head system. 제 9 항에 있어서,10. The method of claim 9, 상기 하나 이상의 습식 기판 프로세싱 모듈 중 하나에서 비-뉴톤 유체가 사 용되는, 기판 프로세싱용 클러스터 아키텍쳐.Wherein a non-Newtonian fluid is used in one of the one or more wet substrate processing modules. 제 1 항에 있어서,The method according to claim 1, 상기 습식 기판 프로세싱 모듈에서 브러시 시스템이 사용되는, 기판 프로세싱용 클러스터 아키텍쳐.Wherein a brush system is used in the wet substrate processing module. 제 1 항에 있어서,The method according to claim 1, 상기 랩-분위기 제어 이송 모듈은 상기 하나 이상의 습식 기판 프로세싱 모듈 안으로 및 밖으로 기판들을 이동시키기 위한 트랙 및 말단 실행기를 갖는 스트레치 모듈에 의해 정의되는, 기판 프로세싱용 클러스터 아키텍쳐.Wherein the wrap-mood control transfer module is defined by a stretch module having a track and an end launcher for moving substrates into and out of the at least one wet substrate processing module. 제 1 항에 있어서,The method according to claim 1, 상기 제 1 분위기 환경, 상기 제 2 분위기 환경 및 상기 제 3 분위기 환경은 슬롯 밸브 및 로드록에 의해 분리되며, 상기 슬롯 밸브는 상기 기판의 천이가 상기 로드록을 통해 제공될 때 분위기 환경들 간의 분리를 정의하며, 상기 클러스터 아키텍쳐의 외부에 있는 산소 환경에 상기 기판을 노출시킴 없이 상기 클러스터 아키텍쳐 내에서 건식 플라즈마 프로세싱 및 습식 프로세싱이 가능하게 되는, 기판 프로세싱용 클러스터 아키텍쳐.Wherein the first atmosphere environment, the second atmosphere environment and the third atmosphere environment are separated by a slot valve and a load lock, wherein the slot valve is configured to provide a separation between atmosphere environments when a transition of the substrate is provided through the load lock Wherein dry plasma processing and wet processing are enabled within the cluster architecture without exposing the substrate to an oxygen environment external to the cluster architecture. 클러스터 아키텍쳐에서 기판을 프로세싱하는 방법으로서,CLAIMS What is claimed is: 1. A method of processing a substrate in a cluster architecture, 하나 이상의 습식 프로세싱 모듈과 인터페이스하도록 랩-분위기 이송 모듈을 구성하는 단계로서, 상기 랩-분위기 이송 모듈 및 상기 하나 이상의 습식 프로세싱 모듈의 각각은 제 1 분위기 환경에서 동작하는, 상기 랩-분위기 이송 모듈 구성 단계;Configured to interface with at least one wet processing module, wherein each of the lab-atmospheric transfer module and the at least one wet processing module is operable in a first atmospheric environment, wherein the lab-atmospheric transfer module step; 하나 이상의 플라즈마 프로세싱 모듈과 인터페이스하도록 진공 이송 모듈을 구성하는 단계로서, 상기 진공 이송 모듈 및 상기 하나 이상의 플라즈마 프로세싱 모듈의 각각은 제 2 분위기 환경에서 동작하는, 상기 진공 이송 모듈 구성 단계;Configuring a vacuum transfer module to interface with the at least one plasma processing module, wherein each of the vacuum transfer module and the at least one plasma processing module operates in a second atmospheric environment; 하나 이상의 도금 모듈과 인터페이스하도록 제어 분위기 이송 모듈을 구성하는 단계로서, 상기 제어 분위기 이송 모듈 및 상기 하나 이상의 도금 모듈의 각각은 제 3 분위기 환경에서 동작하는, 상기 제어 분위기 이송 모듈 구성 단계; 및Configuring a controlled atmosphere transfer module to interface with one or more plating modules, each of the controlled atmosphere transfer module and the one or more plating modules operating in a third atmospheric environment; And 외부의 제어되지 않는 분위기 조건에 노출되지 않고 상기 클러스터 아키텍쳐 내에서 상기 제 1 분위기 환경, 상기 제 2 분위기 환경, 및 상기 제 3 분위기 환경 간의 천이를 가능하게 하는 단계를 포함하는, 클러스터 아키텍쳐에서 기판을 프로세싱하는 방법.And allowing transition between the first atmosphere environment, the second atmosphere environment, and the third atmosphere environment in the cluster architecture without being exposed to external uncontrolled atmosphere conditions. How to process. 제 15 항에 있어서,16. The method of claim 15, 상기 제 1 분위기 환경, 상기 제 2 분위기 환경, 및 상기 제 3 분위기 환경 간의 천이를 제어하는 레시피를 구성하는 단계로서, 상기 레시피는 상기 습식 프로세싱 모듈, 상기 플라즈마 프로세싱 모듈 및 상기 도금 모듈 중 특정한 모듈에서 프로세싱이 수행되어야 할 때를 정의하는, 상기 레시피 구성 단계를 더 포함하는, 클러스터 아키텍쳐에서 기판을 프로세싱하는 방법.Configuring a recipe to control a transition between the first atmosphere environment, the second atmosphere environment, and the third atmosphere environment, wherein the recipe is configured to control a transition in a specific one of the wet processing module, the plasma processing module, and the plating module Further comprising configuring the recipe when processing is to be performed. ≪ RTI ID = 0.0 > < / RTI > 제 15 항에 있어서,16. The method of claim 15, 상기 제 1 분위기 환경은 상기 클러스터 아키텍쳐 외부의 클린 룸 압력보다 높은 압력을 갖도록 구성되는, 클러스터 아키텍쳐에서 기판을 프로세싱하는 방법.Wherein the first atmospheric environment is configured to have a pressure higher than the clean room pressure outside of the cluster architecture. 제 15 항에 있어서,16. The method of claim 15, 상기 제 1 분위기 환경은 산소가 없거나 산소가 없는 불활성 가스 충전 환경인, 클러스터 아키텍쳐에서 기판을 프로세싱하는 방법.Wherein the first ambient environment is an oxygen free or oxygen free inert gas charging environment. 제 15 항에 있어서,16. The method of claim 15, 상기 제 2 분위기 환경은 플라즈마 프로세스 진공으로 유지되는, 클러스터 아키텍쳐에서 기판을 프로세싱하는 방법.RTI ID = 0.0 > 1, < / RTI > wherein the second atmospheric environment is maintained in a plasma process vacuum. 제 19 항에 있어서,20. The method of claim 19, 상기 제 3 분위기 환경은 산소가 없거나 산소가 없는 불활성 가스 충전 환경인, 클러스터 아키텍쳐에서 기판을 프로세싱하는 방법.Wherein the third ambient environment is an oxygen free or oxygen free inert gas charging environment. 제 20 항에 있어서,21. The method of claim 20, 플라즈마 프로세스 동작 후의 기판 천이가 상기 플라즈마 프로세싱 동작 후 에 상기 기판을 산소에 도입하지 않고 무전해 도금을 위해 상기 진공 이송 모듈로부터 상기 제어 분위기 이송 모듈로 가능해지는, 클러스터 아키텍쳐에서 기판을 프로세싱하는 방법.Wherein a substrate transition after a plasma process operation is enabled from the vacuum transfer module to the controlled atmosphere transfer module for electroless plating without introducing the substrate into oxygen after the plasma processing operation. 제 20 항에 있어서,21. The method of claim 20, 상기 랩-분위기 이송 모듈, 상기 진공 이송 모듈, 및 상기 제어 분위기 이송 모듈 간의 상기 기판의 각각의 천이가 상기 클러스터 아키텍쳐 외부에 있는 클린 룸의 제어되지 않는 분위기에 상기 기판을 노출시킴 없이 제어되는, 클러스터 아키텍쳐에서 기판을 프로세싱하는 방법.Wherein each transition of the substrate between the lab-atmospheric transfer module, the vacuum transfer module, and the controlled atmospheric transfer module is controlled without exposing the substrate to an uncontrolled atmosphere of a clean room outside the cluster architecture, A method for processing a substrate in an architecture. 제 15 항에 있어서,16. The method of claim 15, 상기 습식 프로세싱 모듈 중 적어도 하나가 상기 기판의 표면 위에 메니스커스를 형성하도록 동작하며, 상기 메니스커스가 상기 기판의 상기 표면 위에 형성되고 상기 기판의 표면 위로 이동하여, 상기 습식 프로세싱 모듈 안으로 및 밖으로 드라이-인 및 드라이-아웃 프로세싱을 가능하게 하도록 구성되는, 클러스터 아키텍쳐에서 기판을 프로세싱하는 방법.Wherein at least one of the wet processing modules is operable to form a meniscus on a surface of the substrate, wherein the meniscus is formed on the surface of the substrate and moves over a surface of the substrate, Dry-in and dry-out processing in a cluster architecture. 제 15 항에 있어서,16. The method of claim 15, 상기 습식 프로세싱 모듈 중 적어도 하나는 상기 기판의 표면 위에 비-뉴톤 유체를 형성하도록 동작하는, 클러스터 아키텍쳐에서 기판을 프로세싱하는 방법.At least one of the wet processing modules being operative to form a non-Newtonian fluid on a surface of the substrate. 제 15 항에 있어서,16. The method of claim 15, 상기 제어 분위기 이송 모듈은 또한 상기 기판의 드라이-인 및 드라이-아웃 프로세싱을 동작시키는, 클러스터 아키텍쳐에서 기판을 프로세싱하는 방법.Wherein the controlled atmosphere transfer module also operates the dry-in and dry-out processing of the substrate. 기판을 프로세싱하기 위한 클러스터 아키텍쳐로서,CLAIMS 1. A cluster architecture for processing a substrate, 하나 이상의 습식 기판 프로세싱 모듈에 연결되는 랩-분위기 제어 이송 모듈로서, 상기 랩-분위기 제어 이송 모듈 및 상기 하나 이상의 습식 기판 프로세싱 모듈은 제 1 분위기 환경을 관리하도록 구성되는, 상기 랩-분위기 제어 이송 모듈,A lab-atmosphere control transfer module coupled to at least one wet substrate processing module, wherein the lab-atmosphere control transfer module and the at least one wet substrate processing module are configured to manage a first atmosphere environment, , 상기 랩-분위기 제어 이송 모듈 및 하나 이상의 플라즈마 프로세싱 모듈에 연결되는 진공 이송 모듈로서, 상기 진공 이송 모듈 및 상기 하나 이상의 플라즈마 프로세싱 모듈은 제 2 분위기 환경을 관리하도록 구성되는, 상기 진공 이송 모듈, 및A vacuum transfer module coupled to the lab-atmosphere control transfer module and to at least one plasma processing module, the vacuum transfer module and the at least one plasma processing module being configured to manage a second atmospheric environment; 상기 진공 이송 모듈 및 하나 이상의 분위기 프로세싱 모듈에 연결되는 제어 분위기 이송 모듈로서, 상기 제어 분위기 이송 모듈 및 상기 하나 이상의 분위기 프로세싱 모듈은 산소가 없는 불활성 분위기로서 구성가능한 제 3 분위기 환경을 관리하도록 구성되는, 상기 제어 분위기 이송 모듈을 포함하고,A controlled atmosphere transfer module coupled to the vacuum transfer module and the at least one atmosphere processing module, the controlled atmosphere transfer module and the at least one atmosphere processing module being configured to manage a configurable third atmosphere environment as an oxygen- Said control atmosphere conveying module, 상기 클러스터 아키텍쳐는 상기 제 1 분위기 환경, 상기 제 2 분위기 환경 또는 상기 제 3 분위기 환경 중 어느 하나의 분위기 환경에서 상기 기판의 제어된 프로세싱을 가능하게 하며, 동작 중, 상기 제 1 분위기 환경, 상기 제 2 분위기 환경 및 제 3 분위기 환경은 상기 클러스터 아키텍쳐 외부의 제어되지 않는 클린 룸 환경으로부터 분리되도록 구성되는, 기판 프로세싱용 클러스터 아키텍쳐. Wherein the cluster architecture enables controlled processing of the substrate in the atmospheric environment of either the first atmosphere environment, the second atmosphere environment, or the third atmosphere environment, wherein during operation the first atmosphere environment, 2 atmosphere environment and the third atmosphere environment are configured to be separated from the uncontrolled clean room environment outside the cluster architecture. 제 26 항에 있어서,27. The method of claim 26, 상기 제 1 분위기 환경은 산소가 없는 불활성 분위기인, 기판 프로세싱용 클러스터 아키텍쳐.Wherein the first atmosphere environment is an oxygen-free inert atmosphere. 제 26 항에 있어서,27. The method of claim 26, 상기 하나 이상의 분위기 프로세싱 모듈은 금속 도금 시스템을 포함하는, 기판 프로세싱용 클러스터 아키텍쳐.Wherein the at least one atmosphere processing module comprises a metal plating system. 제 28 항에 있어서,29. The method of claim 28, 상기 금속 도금 시스템은 전해도금 시스템 및 무전해 도금 시스템을 포함하는, 기판 프로세싱용 클러스터 아키텍쳐.Wherein the metal plating system comprises an electroplating system and an electroless plating system. 제 26 항에 있어서,27. The method of claim 26, 상기 랩-분위기 제어 이송 모듈과 상기 진공 이송 모듈 사이에서 연결가능한 제 1 로드록; 및A first load lock connectable between said wrap-mood control transfer module and said vacuum transfer module; And 상기 진공 이송 모듈과 상기 제어 분위기 이송 모듈 사이에서 연결가능한 제 2 로드록을 더 포함하는, 기판 프로세싱용 클러스터 아키텍쳐.And a second loadlock connectable between the vacuum transfer module and the controlled atmosphere transfer module. 제 26 항에 있어서,27. The method of claim 26, 상기 제 1 분위기 환경, 상기 제 2 분위기 환경 및 상기 제 3 분위기 환경 사이에서, 그리고 분위기 환경들 각각에 연결되는 프로세싱 모듈들 안으로 및 밖으로의 상기 기판의 이동을 제어하는 컴퓨터를 더 포함하는, 기판 프로세싱용 클러스터 아키텍쳐.Further comprising a computer for controlling movement of said substrate into and out of processing modules connected between said first atmosphere environment, said second atmosphere environment and said third atmosphere environment, and to each of said atmospheric environments, Cluster architecture. 제어된 환경에서 기판의 피쳐를 충전하는 방법으로서,A method of filling a feature of a substrate in a controlled environment, 상기 기판 내의 피쳐를 클러스터 툴의 제 1 챔버에서 에칭하는 단계,Etching features in the substrate in a first chamber of a cluster tool, 상기 클러스터 툴의 제 2 챔버 내에서 상기 피쳐의 노출된 표면으로의 구리의 확산을 방지하도록 구성된 배리어층을 증착하는 단계, 및Depositing a barrier layer configured to prevent diffusion of copper into the exposed surface of the feature in a second chamber of the cluster tool; and 상기 배리어층 상으로 직접 증착되는 갭 충전 재료로 상기 피쳐를 충전하는 단계를 포함하는, 기판의 피쳐 충전 방법.Filling the feature with a gap fill material deposited directly onto the barrier layer. 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete
KR1020097006393A 2006-08-30 2007-08-28 Controlled ambient system for interface engineering KR101423350B1 (en)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US11/513,634 US8771804B2 (en) 2005-08-31 2006-08-30 Processes and systems for engineering a copper surface for selective metal deposition
US11/513,634 2006-08-30
US11/639,752 2006-12-15
US11/611,758 US20080057182A1 (en) 2006-08-30 2006-12-15 Method for gap fill in controlled ambient system
US11/611,758 2006-12-15
US11/639,752 US9117860B2 (en) 2006-08-30 2006-12-15 Controlled ambient system for interface engineering
PCT/US2007/018924 WO2008027386A2 (en) 2006-08-30 2007-08-28 Controlled ambient system for interface engineering

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020137032044A Division KR101455955B1 (en) 2006-08-30 2007-08-28 Controlled ambient system for interface engineering

Publications (2)

Publication Number Publication Date
KR20090069278A KR20090069278A (en) 2009-06-30
KR101423350B1 true KR101423350B1 (en) 2014-07-24

Family

ID=39136542

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020097006393A KR101423350B1 (en) 2006-08-30 2007-08-28 Controlled ambient system for interface engineering
KR1020137032044A KR101455955B1 (en) 2006-08-30 2007-08-28 Controlled ambient system for interface engineering

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020137032044A KR101455955B1 (en) 2006-08-30 2007-08-28 Controlled ambient system for interface engineering

Country Status (6)

Country Link
JP (1) JP5417174B2 (en)
KR (2) KR101423350B1 (en)
CN (2) CN102347210B (en)
SG (2) SG10201501328WA (en)
TW (1) TWI447831B (en)
WO (1) WO2008027386A2 (en)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2008027386A2 (en) * 2006-08-30 2008-03-06 Lam Research Corporation Controlled ambient system for interface engineering
US9865501B2 (en) * 2013-03-06 2018-01-09 Lam Research Corporation Method and apparatus for remote plasma treatment for reducing metal oxides on a metal seed layer
TWI584370B (en) * 2013-08-27 2017-05-21 Tokyo Electron Ltd A substrate processing method, a substrate processing apparatus, and a memory medium
CN115547924A (en) 2014-12-23 2022-12-30 太浩研究有限公司 Decoupled via fill
US10249521B2 (en) * 2016-03-17 2019-04-02 Lam Research Ag Wet-dry integrated wafer processing system
US10443146B2 (en) 2017-03-30 2019-10-15 Lam Research Corporation Monitoring surface oxide on seed layers during electroplating
US10770314B2 (en) * 2017-05-31 2020-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, tool, and method of manufacturing
JP6538894B2 (en) * 2018-01-10 2019-07-03 エーファウ・グループ・エー・タルナー・ゲーエムベーハー How to bond substrates together
JP2019192892A (en) 2018-04-18 2019-10-31 東京エレクトロン株式会社 Processing system and processing method

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH088318A (en) * 1994-06-16 1996-01-12 Hitachi Ltd Semiconductor production system
US20020064942A1 (en) 1995-12-12 2002-05-30 Dixit Girish A. Low pressure, low temperature, semiconductor gap filling process
JP2003318262A (en) 2002-02-19 2003-11-07 Toshiba Corp Semiconductor device
JP2006049858A (en) 2004-06-30 2006-02-16 Lam Res Corp Apparatus and method for using meniscus in substrate processing

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5627105A (en) * 1993-04-08 1997-05-06 Varian Associates, Inc. Plasma etch process and TiSix layers made using the process
US5935395A (en) * 1995-11-08 1999-08-10 Mitel Corporation Substrate processing apparatus with non-evaporable getter pump
US6017820A (en) * 1998-07-17 2000-01-25 Cutek Research, Inc. Integrated vacuum and plating cluster system
US6319831B1 (en) * 1999-03-18 2001-11-20 Taiwan Semiconductor Manufacturing Company Gap filling by two-step plating
US6337289B1 (en) * 1999-09-24 2002-01-08 Applied Materials. Inc Method and apparatus for integrating a metal nitride film in a semiconductor device
US6423200B1 (en) * 1999-09-30 2002-07-23 Lam Research Corporation Copper interconnect seed layer treatment methods and apparatuses for treating the same
US20030116427A1 (en) * 2001-08-30 2003-06-26 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
SG87187A1 (en) * 1999-10-18 2002-03-19 Applied Materials Inc Pvd-imp tungsten and tungsten nitride as a liner, barrier and/or seed layer for tungsten, aluminium and copper applications
JP2001196373A (en) * 2000-01-13 2001-07-19 Mitsubishi Electric Corp Producing method for semiconductor device and semiconductor device
JP3907151B2 (en) * 2000-01-25 2007-04-18 株式会社東芝 Manufacturing method of semiconductor device
US6777327B2 (en) * 2001-03-28 2004-08-17 Sharp Laboratories Of America, Inc. Method of barrier metal surface treatment prior to Cu deposition to improve adhesion and trench filling characteristics
US7049226B2 (en) * 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US7067897B2 (en) * 2002-02-19 2006-06-27 Kabushiki Kaisha Toshiba Semiconductor device
US20040040504A1 (en) * 2002-08-01 2004-03-04 Semiconductor Energy Laboratory Co., Ltd. Manufacturing apparatus
FR2851258B1 (en) * 2003-02-17 2007-03-30 Commissariat Energie Atomique METHOD OF COATING A SURFACE, FABRICATION OF MICROELECTRONIC INTERCONNECTION USING THE SAME, AND INTEGRATED CIRCUITS
WO2004114398A1 (en) * 2003-06-13 2004-12-29 Applied Materials, Inc. Integration of ald tantalum nitride for copper metallization
US20060033678A1 (en) * 2004-01-26 2006-02-16 Applied Materials, Inc. Integrated electroless deposition system
US7118966B2 (en) * 2004-08-23 2006-10-10 Micron Technology, Inc. Methods of forming conductive lines
JP4773521B2 (en) * 2006-08-22 2011-09-14 株式会社エヌ・ティ・ティ・ドコモ Radio resource release control method, radio base station, and mobile station
WO2008027386A2 (en) * 2006-08-30 2008-03-06 Lam Research Corporation Controlled ambient system for interface engineering

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH088318A (en) * 1994-06-16 1996-01-12 Hitachi Ltd Semiconductor production system
US20020064942A1 (en) 1995-12-12 2002-05-30 Dixit Girish A. Low pressure, low temperature, semiconductor gap filling process
JP2003318262A (en) 2002-02-19 2003-11-07 Toshiba Corp Semiconductor device
JP2006049858A (en) 2004-06-30 2006-02-16 Lam Res Corp Apparatus and method for using meniscus in substrate processing

Also Published As

Publication number Publication date
JP2010503210A (en) 2010-01-28
CN101529556A (en) 2009-09-09
KR101455955B1 (en) 2014-10-31
JP5417174B2 (en) 2014-02-12
KR20090069278A (en) 2009-06-30
TWI447831B (en) 2014-08-01
WO2008027386A2 (en) 2008-03-06
SG10201501328WA (en) 2015-04-29
KR20140002811A (en) 2014-01-08
CN102347210B (en) 2015-08-05
CN102347210A (en) 2012-02-08
WO2008027386A3 (en) 2008-08-21
SG174750A1 (en) 2011-10-28
TW200832586A (en) 2008-08-01
CN101529556B (en) 2012-05-30

Similar Documents

Publication Publication Date Title
US9117860B2 (en) Controlled ambient system for interface engineering
KR101423350B1 (en) Controlled ambient system for interface engineering
KR101407218B1 (en) Apparatus for applying a plating solution for electroless deposition
US6939403B2 (en) Spatially-arranged chemical processing station
US8241701B2 (en) Processes and systems for engineering a barrier surface for copper deposition
US7341633B2 (en) Apparatus for electroless deposition
KR101188531B1 (en) Apparatus for manufacturing semiconductor, method for manufacturing semiconductor device, storage medium and computer program
TWI393186B (en) Processes and integrated systems for engineering a substrate surface for metal deposition
US20140322446A1 (en) Processes and systems for engineering a copper surface for selective metal deposition
US20060033678A1 (en) Integrated electroless deposition system
US20070292615A1 (en) Processes and systems for engineering a silicon-type surface for selective metal deposition to form a metal silicide
US8133812B2 (en) Methods and systems for barrier layer surface passivation
TWI496214B (en) Methods and systems for low interfacial oxide contact between barrier and copper metallization
WO2007016218A2 (en) Integrated electroless deposition system
US20080057182A1 (en) Method for gap fill in controlled ambient system
US20230010568A1 (en) Methods and apparatus for selective etch stop capping and selective via open for fully landed via on underlying metal
KR101789841B1 (en) Method and system for handling a substrate through processes including an integrated electroless deposition process

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
A107 Divisional application of patent
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20170710

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20180710

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20190709

Year of fee payment: 6