CN101529556A - Controlled ambient system for interface engineering - Google Patents

Controlled ambient system for interface engineering Download PDF

Info

Publication number
CN101529556A
CN101529556A CNA2007800402135A CN200780040213A CN101529556A CN 101529556 A CN101529556 A CN 101529556A CN A2007800402135 A CNA2007800402135 A CN A2007800402135A CN 200780040213 A CN200780040213 A CN 200780040213A CN 101529556 A CN101529556 A CN 101529556A
Authority
CN
China
Prior art keywords
module
substrate
environment
controlled
transport module
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2007800402135A
Other languages
Chinese (zh)
Other versions
CN101529556B (en
Inventor
约翰·博伊德
耶兹迪·多尔迪
蒂鲁吉拉伯利·阿鲁娜
班杰明·W·莫琳
约翰·帕克斯
威廉·蒂
弗里茨·C·雷德克
阿瑟·M·霍瓦尔德
艾伦·舍普
戴维·默梅克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/513,634 external-priority patent/US8771804B2/en
Priority claimed from US11/611,758 external-priority patent/US20080057182A1/en
Priority claimed from US11/639,752 external-priority patent/US9117860B2/en
Application filed by Lam Research Corp filed Critical Lam Research Corp
Priority to CN201110044283.6A priority Critical patent/CN102347210B/en
Publication of CN101529556A publication Critical patent/CN101529556A/en
Application granted granted Critical
Publication of CN101529556B publication Critical patent/CN101529556B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices

Abstract

The present invention discloses a cluster architecture and methods for processing a substrate. The cluster architecture includes a lab-ambient controlled transfer module that is coupled to one or more wet substrate processing modules. The lab-ambient controlled transfer module and the one or more wet substrate processing modules are configured to manage a first ambient environment. A vacuum transfer module that is coupled to the lab-ambient controlled transfer module and one or more plasma processing modules is also provided. The vacuum transfer module and the one or more plasma processing modules are configured to manage a second ambient environment. And, a controlled ambient transfer module that is coupled to the vacuum transfer module and one or more ambient processing modules is also included. The controlled ambient transfer module and the one or more ambient processing modules are configured to manage a third ambient environment. The cluster architecture therefore enables controlled processing of the substrate in either the first, second or third ambient environments, as well as during associated transitions. The embodiments also provide for efficient methods for filling a trench of a substrate.

Description

The controlled ambient system that is used for INTERFACE DESIGN
Background technology
Generally, semiconductor machining is to carry out strictly controlled environment and tool operation in the mode of high degree of controlled.For example, settle the clean room of these instruments must satisfy strict demand and other controlled parameter of the quantity of the particle that restriction may produce in operating process.In technical process, may require repeatedly mobile substrate between many systems, and handle as required with the equipment needed thereby that forms integrated circuit (IC)-components, required layer and desired structure and repeatedly repeat moving between system.
The product of semiconductor wafer is qualified although semiconductor equipment must satisfy strict regulations, and these stipulate that common great majority are to link together with individual instrument.In operation, handle substrate if desired in the wet type instrument, substrate must be transferred to another instrument after wet instrument is finished this processing so, and this instrument may be dry.In preparation process, can use clean room's automated system to move these substrates at Tool Room.Usually, substrate is to transmit in the container of sealing or move, and is connected with other instrument afterwards.Therefore, when needs carry out plasma processing operation, substrate can be moved to by one or more transport modules and the formed combination tool of dried module.
Generally, plasma treatment module connects together with combination tool, but this combination tool is confined to have the plasma treatment or the technology type of identical environment.Or rather, when this processing is dry process (for example plasma treatment), will in this combination tool, handles substrate and move substrate until arts demand and in another kind of system, handle.Although very carefully operational module and the combination between substrate transport, yet substrate contacts oxygen.This oxygen may be the oxygen that is present in clean room's (or airtight container).Though control and purified the environment of this clean room, before carrying out next operation, substrate contacts the oxidation that oxygen can cause substrate feature or layer in moving process.In the time of most of, knownly cause fabrication schedule to comprise extra oxide removal step, need more costs and circulation owing to substrate in the transmission course in the clean room is exposed to oxygen.Yet even carried out the oxide removal step, the stand-by period before entering next step still may cause the generation of some oxidations.
In view of the foregoing, need be used for avoiding system, structure and method simultaneously for the unnecessary contact of uncontrolled environment in the process for making handle substrate.
Summary of the invention
Generally speaking, embodiment satisfies above-mentioned needs by the method that is provided for handling the combining architecture of substrate and be used for shifting in each module of this combining architecture.Handle in station and the transmission course between one or more transport modules at each, the treatment process of substrate is to carry out under controlled surrounding environment, and this also can directly carry out coating on the barrier layer, and will not need to be used for the inculating crystal layer of Gap filling technology.Should understand the present invention can be achieved in many ways, comprises as scheme, method, technology, device or system.Below several creative embodiment of the present invention are described.
In one embodiment, disclosed a kind of combining architecture that is used to handle substrate.This combining architecture comprises the laboratory environment controlled transfer module with one or more substrate wet processed module couplings.This laboratory environment controlled transfer module and these one or more substrate wet processed modules are set to manage first surrounding environment.In addition, also provide a kind of vacuum transport module, itself and laboratory environment controlled transfer module and one or more plasma treatment module are coupled.This vacuum transport module and one or more plasma treatment module are set to manage second surrounding environment.And, also comprising a kind of controlled environment transport module, itself and vacuum transport module and one or more environmental treatment module are coupled.Controlled environment transport module and one or more environmental treatment module are set to manage the 3rd surrounding environment.Therefore, this combining architecture can controllably be handled substrate in any of first, second or the 3rd surrounding environment.In an example, first, second and the 3rd surrounding environment are isolated by groove valve and load lock.When substrate passed the load lock transfer, the groove valve limited the isolation between each surrounding environment, wherein under the situation of the external oxygen environment that substrate is not exposed to combining architecture, can carry out dry plasma process and wet processed in combining architecture.
In another embodiment, disclosed the method for in combining architecture, handling substrate.This method comprises configuration laboratory environment transport module to connect one or more wet processed modules, and wherein each described transport module and described one or more wet processed module are moved under first surrounding environment.This method also is provided with the vacuum transport module and connects one or more plasma treatment modules, and wherein each described vacuum transport module and described one or more plasma treatment module move under second surrounding environment.In addition, this method comprises also and the controlled environment transport module is set to connect one or more coating modules that wherein each described controlled environment transport module and described one or more coating module are operated under the 3rd surrounding environment.According to this method, can in described combining architecture, between described first, second and the 3rd surrounding environment, change under the situation that does not contact outside uncontrolled environment.
In one embodiment, provide a kind of method that is used under controlled environment, filling substrate trenches.This method starts from first chamber of combination tool etched trench on substrate.In second chamber of combination tool, deposition is set for the barrier layer that prevents electron transfer above the exposed surface of this groove, fills this groove with the sealant that directly is deposited on the barrier layer afterwards in combination tool.
In another embodiment, provide a kind of method that need not on substrate, to use inculating crystal layer and carry out Gap filling technology.This method comprises and limits on the fluted substrate surface deposition first barrier layer within it.On this first barrier layer, deposit second barrier layer, and fill the open area of this groove with the lip-deep electric conducting material that directly is deposited on second barrier layer.
A kind of semiconductor device by a technology manufacturing, this technology comprise the operation of following method: in first chamber of combination tool on substrate etch features; Deposition is set to prevent that copper from diffusing into the barrier layer of the exposed surface of described feature in second chamber of combination tool; And fill described feature with the sealant that directly is deposited on the barrier layer.
By following in conjunction with the accompanying drawings, will make other aspects and advantages of the present invention apparent as the detailed description of principle of the invention example.
Description of drawings
By following detailed description with the accompanying drawing, the present invention will readily appreciate that, and similarly reference marker is pointed out similar structural detail.
Fig. 1 shows exemplary system diagram according to an embodiment of the invention and can manage the computer control of the system that is used for specially designed manufacturing operation.
Fig. 2 A-2D6 has illustrated example hardware according to an embodiment of the invention, and this example hardware can be realized the controlled environment treatment process.
Fig. 3-4 has illustrated exemplary process flow process according to an embodiment of the invention, and this example technological process can conversion gets easier carrying out between transport module and processing module by carrying out in controlled environment.
Fig. 5 has illustrated example flow diagram according to an embodiment of the invention, and it can be carried out when the decision of the conversion of the technology between the processing region of making the controlled environment module.
Fig. 6 is the rough schematic view of explanation according to an embodiment of the invention each layer of substrate of being used to handle.
Fig. 7 explanation has the layer that is etched in groove wherein.
Fig. 8 explanation is deposited over the rough schematic view of the conformal barrier on the exposed surface of the exposed surface of substrate and groove.
Fig. 9 is the rough schematic view that explanation is deposited over second conforma layer on the barrier layer.
Figure 10 explanation is carried out copper and is filled processing with the preparation copper cash in groove after executing planarizing process.
Figure 11 illustrates according to one embodiment of present invention, thereby illustrates be used for directly carrying out the FB(flow block) that Gap filling technology need not the method operation of PVD inculating crystal layer on the barrier layer.
Embodiment
Disclosed several exemplary embodiment, the method that it has defined the combining architecture of the example that is used to handle substrate and has been used for changing in each module of this combining architecture.In each stage of treatment process and the process transmitted between one or more transport modules, the treatment process of substrate moves under controlled environment.Limit and control in the diverse combined system and the integrated combining architecture of the ambient condition between them by providing, make different layers, different characteristic or different structure after executing other treatment process in can be in same total system at once, prevent substrate and uncontrolled environment (for example, than desirable have more polyoxy or other undesirable element and/or moisture) contact simultaneously.Should understand the present invention can be achieved in many ways, comprises technology, method, device or system.Below several creative embodiment of the present invention are described.What it will be apparent to those skilled in the art that is also can implement the present invention here under the situation of part or all detail of not setting forth.
The application that can benefit from the controlled environment of the embodiment that limited is the electroless deposition of metal level, and it highly depends on substrate surface characteristic and formation.For example, on such as the barrier metal surface of tantalum (Ta) or ruthenium (Ru) electroless plating copper attract to electroplate and in photoengraving pattern the inculating crystal layer before selective deposition copper (Cu) line form.
Subject matter (now being overcome by the embodiment that the present invention limited) is that the thin native metal oxide layer of atom level that forms under the situation that has oxygen (O2) suppresses electroless deposition process.Selectivity covering process on copper cash and other are used and are also had similar situation.Exemplary layer/material is the cobalt alloy cover layer that can comprise CoWP (phosphatization cobalt tungsten), CoWB (boronation cobalt tungsten) or CoWPB (cobalt tungsten boron phosphide).Cover layer is used to improve the adhesion of insulation barrier and copper cash, thereby improves the electron transfer performance of those copper cash.
Therefore, it is crucial suitably managing the design interface surface treatment procedure of depositing operation (for example prior to).This design interface can be layer, feature or material.Therefore, make the pure surface of preparation atom level and to keep pure interface easy by the controlled environment architecture that defines in the present invention, described controlled environment architecture provides suitable surface to prepare order in the controlled environment mode.For example, in the CoWBP covering process, formulate electrolytics to be provided at the selective deposition on the copper of exposing of contiguous medium top.
In some examples, before electroless plating, determine wafer surface and various different interfaces by process upstream (being generally CMP and back-CMP cleaning process).In both cases, by with BTA passivation Cu surface and form the Cu-BTA complex and control Jafani effect (galvanic effect) and corrosion.Before coating, this metal organic mixture must be removed, otherwise coating will be suppressed.In addition, dielectric surface is cupric and oxide thereof not, and the copper surface must not have Cu oxide.In one embodiment, controlled environment composite module (clustered modules) satisfies these conditions, and these modules prevent that unnecessary environment contact is in order to avoid may cause minus effect to required manufacturing operation.
An example difference between prior art system and the system of the present invention is not controlled at the interior environment of treatment chamber and transmission chamber all the time for module combinations structure before, so the interface is controlled and stable to maintenance between next process sequence at a process sequence.Do not having under the situation of controlled environment, even in the shortest stand-by period, the ready interface of institute is almost moment degraded or change also.
Consider above-mentioned description, referring now to the exemplary configurations configuration that can under controlled ambient, handle substrate.Fig. 1 has illustrated a kind of controlled environment combined system according to an embodiment of the invention (cluster system) 100.This controlled environment combined system 100 comprises some controlled environment processing station 102a, 102b and 102c.Each controlled environment handle station all be by keep each handle in station environment and between the different disposal station mode of controlled environment conversion interconnected.These controlled environment are handled in stations each all can be regarded as first, second or the 3rd surrounding environment.When the conversion between surrounding environment by advancing of transport module and processing module when the concrete method for making of selecting and design sequence decision, do not limit the order of first, second and the 3rd surrounding environment.
In one embodiment, controlled environment combined system 100 is set can accurately handle for example layer or the feature of the Semiconductor substrate of semiconductor wafer.Treat that layer or the feature made will depend on the processing station on particular wafer.For example, this treatment process can be FEOL (FEOL), any processing order or step between back-end process (BEOL) or two processing procedures.An example is provided now, and wherein controlled environment combined system 100 is used for prepared layer or feature under controlled ambient.
In operating procedure 110, determine layer to be prepared, thereby can prepare this layer by the different disposal station 102 of this controlled environment combined system 100.In case operating procedure 100 has been determined layer or feature, executable operations step 112 is to be arranged on the connection between the disparate modules in each controlled environment processing station, can carry out required processing so.Each controlled environment is handled station 102 all will comprise the main transport module that will practise physiognomy and connect with locally-attached processing module.For example, controlled environment is handled station 102c can comprise laboratory environment (lab-ambient) controlled processing module 104c, controlled environment is handled station 102b can comprise vacuum transport module 104b, and controlled environment is handled station 102a can comprise controlled environment transport module 104a.
Therefore, each transport module 104 will interconnect with controlled conversion (for example load lock), and the particular procedure station that is set in treatment process receives the different disposal module in order to interconnection with it according to processing layer or the necessary structure of feature.In operating procedure 114, defined a kind of method for making of connectivity module of the varying environment that is used to move around, and with its input user interface 116.
User interface 116 can be the computer with display and keyboard, is used for communicating by letter with controlled environment combined system 100.This user interface 116 can be the networked computer that is connected with other component computer, is used for and controlled environment combined system 100 remote interactions.This user interface 116 also can make the user import in operating procedure 114 the specific method for making of definition, be used for different transport modules 104 and with processing module that each transport module 104 is connected between mobile substrate.In specific embodiment, controlled environment combined system 100 will be present in subsequently will with clean room environment that equipment is connected in.As everyone knows, the equipment of clean room will be handled station 102 to each controlled environment necessary fluid, gas, pressure, cooling, heating, chemicals or the like will be provided.
In this example, loading module 106 is set substrate 105 is provided into controlled environment processing station 102c in the code direction that operates on the user interface 116, this controlled environment is handled the transfer of station 102c control substrate to controlled environment combined system 100.Unload module 108 can receive the substrate of handling through in controlled environment is handled station 102 105.Though accompanying drawing shows that loading module 106 and Unload module 108 are two discrete modules, is to be understood that loading module and Unload module can be the modules of same type, perhaps substrate is sent and is received by identical load port module.
In one embodiment, laboratory environment controlled transfer module 104 is set to receive substrate 105.In case substrate 105 is transferred to laboratory environment controlled transfer module 104c, this laboratory environment controlled transfer module 104c can move under being higher than the pressure that may be present in the uncontrolled environmental stress in the clean room a little.
So, when the pressure in the laboratory environment controlled transfer module 104c is high slightly, the handing-over of substrate 105 turnover laboratory environment controlled transfer module 104c will cause that little air flows out laboratory environment controlled transfer module 104c.When open one or more doors with substrate 105 is shifted/when going out laboratory environment controlled transfer module 104c, little air flows out particulate or other surrounding air that laboratory environment controlled transfer module 104c will guarantee to be present in the clean room can't infiltrate laboratory environment controlled transfer module 104c.
In one embodiment, laboratory environment controlled transfer module 104c moves in the inertia controlled environment alternatively.The inertia controlled environment can be discharged oxygen and be replaced oxygen with inert gas.For example, can pump into the gas example of replacing oxygen can be that argon gas, nitrogen or other do not produce the gas of bad reaction with treatment process.When optionally the inertia controlled environment being offered laboratory environment controlled transfer module 104c, this inertia controlled environment is connected with the processing module that is connected in laboratory environment controlled transfer module 104c.For example, with module that laboratory environment controlled transfer module 104c is connected in any wet-cleaning of carrying out also will be controlled in the inertia controlled environment.
Therefore, controlled environment transport module 104c will be moved into the substrate 105 that shifts out the various wet treatment system in controlled environment is handled station 102c and dock, and can will handle the substrate-transfer handled in the station 102c in controlled environment in vacuum transport module 104b.Conversion to vacuum transport module 104b will be carried out under controlled mode by one or more load lock.In case substrate is moved in the vacuum transport module 104b, substrate will be allowed to move in and out various plasma process modules can carry out required processing.Show that also vacuum transport module 104b and controlled environment transport module 104a are coupled.
In addition, can promote the transfer of substrate 105 between 104b and 104a to guarantee to keep the pressure globality of vacuum transport module 104b by one or more load lock, substrate 105 can be changed over to simultaneously in the controlled environment to avoid firm layer or the feature of in 104, handling to be exposed to the environment that may destroy or poorly change this layer or feature inadequately.In an example, when the substrate of handling in controlled environment is handled station 102b 105 is moved into controlled environment and handles station 102a, be exposed to may destroy feature that chemical modification had just been handled or layer uncontrolled environment not the plasma treated feature of entail dangers to or layer.
For example, controlled environment transport module 104a will operate under inert environments.As mentioned above, inert environments is full of the environment of inert gas, and it should be got rid of or reduce controlled environment and handle the interior maximum oxygen content of station 102a.For example, acceptable and oxygen level that be considered to be basic anaerobic is 3ppm (1,000,000/), or below.After surface treatment, before subsequent treatment or during in, some treatment process may require less than the control of the oxygen of 1ppm.By in controlled environment is handled station 102a, inert environments being set, can avoid the oxidation or the hydroxylating of firm feature that in controlled environment is handled station 102b or 102c, prepare of possibility or layer.In controlled environment transport module 104a, various processing modules will allow under the situation of the intermediate oxidation of no any layer or feature on substrate 105 controllably deposition, coating, coating or processing layer or feature.So, the layer that forms in the controlled environment processing module is handled station is controlled, and is called " design " in one embodiment, to avoid forming the unnecessary oxide of the performance that may reduce processed layer or processed feature.
At this moment, substrate 105 can be retracted vacuum transport module 104b and further handle, perhaps retract laboratory environment controlled transfer module 104c in order in connected module, to carry out extra processing in order to utilize plasma treatment module.Handle among station 102a, 102b and the 102c define method that the detailed process of mobile substrate 105 between any station will depend on affirmation operating procedure 114 in controlled environment, this define method by with computer that user interface 116 is connected on the program carried out controlled.
Fig. 2 A has illustrated the combining architecture 200 that comprises some transport modules and the processing module that is connected with these transport modules.This combining architecture 200 be one can with handle the concrete processing module example that station 102a, 102b are connected with various transport modules in the 102c in controlled environment.
This combining architecture 200 is described from left to right, wherein can be in loading module 106 and Unload module 108 the loading or unloading substrate.As mentioned above, loading module 106 and Unload module 108 generally can be known as platform, and it can be set up the wafer case 205 of holding one or more wafers in order to reception.This wafer case 205 transmits in the leading portion opening film magazine (FOUP) of wafer around can being comprised in and being used in the clean room.Hold the FOUP operable automatically of wafer case 205 or carry out manual operation by the operator.Therefore, be delivered to combining architecture 200 or when combining architecture 200 receives, substrate 105 will be comprised in the wafer case 205.As defined in this, clean room is non-controlled environment, settles or install combining architecture 200 in it.
Laboratory environment controlled transfer module 104c is defined by stretching transmission (stretch transfer) module 201 that comprises one or more end effector 201b.When track 201a moves, the end effector 201b that the exemplifies stretching transport module 201 that can move around.In one embodiment, stretching transport module 201 is maintained under the clean room pressure of standard.Perhaps, this pressure of may command is higher than or a little a shade below the ambient pressure of clean room.
If keep the pressure in the stretching transport module 201 to be higher than the clean room a little, the wafer entry/leave should will cause that this transport module had a small amount of gaseous emission to go into the clean room by the stretching transport module so.Therefore, this structure can prevent that particulate or air in the clean room from flowing into stretching transport module 201.
In another embodiment, migration between stretching transport module 201 and the clean room will be controlled by defining the air and/or the barrier of environment or the suitable filter and the air processor at interface, thereby prevent the interaction of the surrounding air between clean room and the stretching transport module 201.Mode by reference will be in the disclosed U.S. Patent No. 6 that is issued to assignee of the present invention on April 2nd, 2002, being used to of limiting in 364,762 (theming as " the wafer propagation in atmosphere module with controlled microenvironment ") controlled system's example at interface and incorporates into herein.
As shown in the figure, stretching transport module 201 docks with wet treatment system 202a and wet treatment system 202b.Each wet treatment system 202 can comprise the plurality of sub module, can handle substrate 105 in these submodules.In an example, in wet treatment system 202a, allow carriage 207 to move along track 203.When handling in each submodule in wet treatment system 202, this carriage 207 is configured to carry substrate 105.In an example, this wet treatment system 202a will comprise contiguous operating desk 204, then be contiguous operating desk 206, and subsequent again is brushing operating desk 208, be finally contiguous operating desk 210 afterwards.
The quantity of the submodule in wet treatment system 202a depends on concrete application and the number of the wet processed step that need carry out on specific substrate 105.Although in wet treatment system 202a, define four submodules, the example that two submodules are arranged in wet treatment system 202b also be provided.Contiguous operating desk 204 is made up of contiguous head system.When making substrate 105 when track 203 moves, this vicinity head system utilizes meniscus applying fluid, to remove fluid on substrate 105 surfaces, thereby can be at the whole surface applications meniscus of substrate 105.
In specific embodiment, contiguous operating desk can be set to use just DI water (deionized water), HF (hydrofluoric acid), amino cleaning solution, standard clean liquid 1 (SC1) and other etching and the cleaning chemistry reagent and/or the mixtures of liquids of cleaning.In specific embodiment, contiguous operating desk will comprise the proximity heads on two surfaces up and down of handling substrate 105.In another example, proximity heads may only be handled upper surface, and does not handle lower surface, and perhaps lower surface is handled by the roller of brushing operating desk.Therefore, the processing operative combination of carrying out in wet treatment system 102a will change according to substrate required treatment process in its preparation method.
Should be understood that, stretching transport module 201 is set, perhaps move into the single processing sub of wet treatment system 102a, remove at the end of wet treatment system 201 afterwards to allow the arbitrary specific submodule of substrate 105 turnover in wet treatment system 202.In order to increase output, wet treatment system 201 is arranged to a system that all is connected with the both sides of stretching transport module 201.Certainly, according to required output, available laboratory area or equipment and/or required processing, can comprise still less or more wet treatment system by stretching transport module 201 defined laboratory environment controlled transfer modules.
As shown in the figure, this stretching transport module 201 and load lock 218 and 219 couplings.Load lock 218 and 219 is set to allow between stretching transport module 201 and vacuum transport module 222, being converted to another pressure state from a pressure state in a controlled manner.Vacuum transport module 222 will comprise end effector 222a.This end effector 222a is set stretches out load lock 218 and 219 when groove valve 220a and 220b provide opening, to put in.The groove valve will be put the door of one or more unlatchings and closed vacuum transport module 222, thereby the pressure in the vacuum transport module is uninterrupted.Therefore, the door of groove valve 220a and 220b can be changed between load lock 218 and 219, and they are used for being controlled at the stretching transport module 201 that may be in the different pressures state and the transmission between the vacuum transport module 222.
As shown in drawings, vacuum transport module 222 also connects by groove valve 220c and 220d and plasma module 270.Plasma module 270 can be the module of any kind, but concrete example can be TCP etch module and downstream microwave etch module.Also can be in conjunction with the plasma module of other type.Some plasma module can comprise polytype deposition module, for example plasma gas phase deposition (PVD), ald (ALD) etc.Therefore, the material on any removal substrate surface or on substrate surface the dry process module of deposition materials all can incorporate and be connected to vacuum transport module 222 into.
Perhaps, can additionally use heat treatment module, perhaps replace plasma treatment module.In this case, operation vacuum transport module 222 is favourable under higher pressure, for example up to 400torr, to promote and the docking of heat treatment module.
When carrying out treatment process in one of these plasma modules 270, the vacuum transport module can merge cooling down operation platform 224.This cooling down operation platform 224 was cooled to substrate that certain is particularly useful when some before substrate is moved into the controlled environment station of a vicinity.In case substrate is cooled, if desired,, substrate can be moved in the load lock 228 by end effector 222a for the controlled environment transport module 232 of afterwards substrate being moved into.Controlled environment transport module 232 is by groove valve 230a and load lock 228 interconnection.
As shown in the figure, groove valve 230b, 230c, 230d and 230e and some processing module 240a, 240b, 240c and the 240d interconnection of controlled environment transport module 232 by being associated.In one embodiment, processing module 240 is controlled environment wet processed modules.This controlled environment wet processed module 240 is set in controlled inertia surrounding environment, to handle wafer surface.As mentioned above, controlled inertia surrounding environment is set to so: suction inert gas in controlled environment transport module 232, and from controlled environment transport module 232 discharge oxygen.
By removing all or most of oxygen from controlled environment transport module 232 and replace oxygen with inert gas, controlled environment transport module 232 will provide a conversion environment that does not expose the substrate of firm processing the (for example in plasma module 270) in a processing module 240 on handled surface or the feature before deposition, coating or the cambium layer.In specific embodiment, processing module 240 can be to electroplate module, electroless plating module, driedly advance to do (dry-in/dry-out) wet processed module, and perhaps other type can be in the just module of application above surface treated or the feature, formation or sedimentary deposit in plasma module before.
In addition, vacuum transport module and controlled environment transport module can be set and put upside down combination so that other technique process.
The result is just directly forming design level (engineered layer) on the surface treated, even and should not comprise the general oxide that oxygen also can form that extremely was exposed in the surface before coating.In a specific embodiment, can be in plasma module 270 the etching media layer with definition through hole and/or groove, and just in dielectric layer after definition through hole or the groove, transmission is undertaken by load lock 228 in vacuum transport module 222 immediately and is entered controlled environment transport module 232.This is transmitted under the situation that anaerobic contacts or almost anaerobic contacts and carries out.In some treatment process, can directly on the surface of design interface, prepare the barrier layer.For example, this barrier layer can comprise Ta, TaN, the composition of Ru or these materials etc.This barrier layer can be used for the copper electroless plating as inculating crystal layer, or directly be plated on the patterned substrate.
Fig. 2 B illustrates the block diagram that can be connected in the possible processing module of the various transport modules of combining architecture 200 ' interior.In this example, provide loading and unloading operating desk 106/108 with will serve as a contrast combining architecture 200 ' and the clean room between introduce or receive substrate.Substrate is introduced the laboratory environment controlled module 104c that can carry out the substrate wet processed.Be arranged on and carry out substrate wet processed and the substrate transport in laboratory environment controlled transfer module under the controlled environment, thereby guarantee under the controlled mode of the uncontrolled environment that substrate is not exposed to the clean room, to carry out the substrate wet processed.
Laboratory environment controlled transfer module is set will be in substrate under the drying regime and introduce each substrate wet processed module and to receive the substrate under the drying regime of being in after the substrate wet processed.In this embodiment, the wet type substrate processing is configured to adopt meniscus proximity heads system, and it can directly form fluid on substrate surface, and stays desiccated surface after handling substrate surface.The environment control of load lock 280 when guaranteeing the transmission between laboratory environment controlled transfer module 104c and vacuum transport module 104b is set.
Vacuum transport module 104b is set to be docked with dissimilar plasma chamber 270.May depend on concrete treatment process in the indoor processing of carrying out of plasma chamber, yet can after the processing of plasma chamber, in any one adjacent controlled environment is handled station 102, carry out required processing immediately.In one embodiment, can pass load lock 280 from vacuum transport module 104b wafer is moved into controlled environment transport module 104a.Thereby the controlled environment transport module will keep different coating or depositing system 240 and/or carry out effective transmission between the dried system of advancing to do wet-cleaning (or etching).
The another exemplary module that can be connected with controlled environment transport module 104a is supercritical carbon dioxide (CO 2) chamber.In other embodiments, can incorporate hot type (thermal-type) chamber into arbitrary transport module according to technological requirement.For example, a chamber can be overcritical chamber.But chamber can also be the electroless plating chamber of deposit cobalt cover layer, copper seed layer, metal level, barrier layer, block of metal packed layer and other conductive features, surface, connecting line, track etc.In one embodiment of the invention, the electroless plating chamber need not electrode (for example anode/cathode), and is to use surface-active reactive chemicals.In another enforcement, the vacuum transport module can only be connected with the controlled chamber of heat.In some cases, when operating the vacuum transport module in the pressure range at about 200-400torr, can connect the higher chamber of pressure.
Also illustrate and be set to the inert environments control system 273 that is coupled with transport module.In one embodiment, this inert environments control system 273 comprises metering and controls from pump, meter, controller and the valve of the oxygen of transport module extraction.The cleanroom facilities (not shown) also can with inert environments control system 273 coupling, thereby can with in the inert gas suction transmission chamber to replace before by the occupied space of oxygen.Supervision removes the pump of the inert gas in oxygen and the input transmission chamber, thereby can keep suitable environment setting in operating process.In some examples, pump also must move removing oxygen from processing module, thereby can all keep inert environments in transport module and processing module.On the other hand, for example N can be monitored and regulate to meter, Artificial Control and/or computer control 2, Ar, He, Ne, Kr, Xe etc. the pumping process of inert gas flow.
For also being for the controlled controlled environment module of inert gas, the temperature of transport module and processing module will change according to the type of the treatment process of carrying out.Yet in order to demonstrate, laboratory environment controlled transfer module 104c and wet type substrate processing station 202 can move in the temperature between about 15 degrees centigrade and about 30 degrees centigrade.In addition, go back the humidity in may command controlled transfer module 104c and the substrate wet processed station 202, and this humidity can be controlled between about 0% and 20%.
Vacuum transport module 104b can be about 10 -9With about 10 -4Operate under the pressure between the torr, and operating temperature is between about 15 degrees centigrade and 30 degrees centigrade.The temperature range that plasma treatment module moved, power bracket and employed process gas and concrete technology adapt, and the treatment conditions of the vacuum state compatibility of any like this and vacuum transport module 104 will work.For example, other parameter can comprise vacuum, temperature and power.In one embodiment, vacuum is about 1mT to 10T.In one embodiment, temperature is about 10 degrees centigrade to 400 degrees centigrade.In one embodiment, power is about 10W to 3000W.
Controlled environment transport module 104a (for example transport module shown in Fig. 2 A 232) can move under the pressure between about 500T and the about 800T, and temperature can be between about 15 degrees centigrade and about 30 degrees centigrade.But, provide and plating process, dried wet processed technology, the supercritical CO that advances to do thereby can control this temperature 2The compatibility of operation etc., this can be a processing module 240.In one embodiment, the temperature of transport module is set to the laboratory environment temperature, and processing module provides local temperature control.In another embodiment, the temperature of may command transport module is to keep the environment unanimity when wafer is changed between processing module and transport module.
The system diagram of Fig. 2 B has illustrated compound mode, illustrates the control at the interface of substrate between various controlled environments in addition.And, be to be understood that each transport module with and the compound mode of the different submodules that are used to handle that receive many kinds are arranged, in order to be easy to explanation, only provide the exemplary process module that connects with varying environment controlled processing module.
Fig. 2 C illustrates the exemplary configurations as the contiguous operating desk of discussing with reference to figure 2A 204.Contiguous operating desk 204 is included in the upside of substrate 105 and the proximity heads 260a on the downside.Substrate 105 will define as Fig. 2 A by being carried along the carriage 207 that track 203 moves.Between the surface of proximity heads 260a and the surface of substrate 105 (and surface of carriage 207), allow to form meniscus 242.
Meniscus 204 is the controlled fluid meniscus that form between the surface of proximity heads 260a and substrate surface, and the surface tension of liquid remains on the appropriate location with meniscus 242 and make it be in controlled form.In addition, guarantee to control meniscus 242 by the controlled delivery of liquid with removing, this makes and can controllably form meniscus 242 when forming this meniscus by fluid.Meniscus 242 can be used for cleaning, processing, etching or the surface of handling substrate 105.Therefore, on substrate 105, handle and to remove particulate or bad material by meniscus 240.
As mentioned above, control meniscus 240 by giving proximity heads 260a supply fluid and removing fluid by vacuum with controlled method.Alternatively, gas surface tension gradient reducer (reducer) can be offered proximity heads 260a, thereby reduce the surface tension between meniscus 242 and the substrate 105.The tension gradient reducer that is used for proximity heads 260a allows meniscus 242 to move on the surface of substrate 105 with the speed (therefore increasing throughput) that increases.The example of gas tension reducer can be the isopropyl alcohol (IPA/N that is mixed with nitrogen 2).Another example of gas tension reducing agent can be carbon dioxide (CO 2).Also can use the gas of other types, as long as this gas does not disturb the required processing of particular surface of substrate 105.
Provide Fig. 2 D-1 to 2D-6 with the not isostructure in any of the controlled environment wet processed module 240 of expressing the wet treatment system 202 to be combined in Fig. 2 A or Fig. 2 A.Although these concrete strength is provided, be to be understood that other structure also can be included in this system.
Fig. 2 D-1 illustrates the example of a upper surface of proximity heads 260a processing substrate 105 when brush 290 is handled the lower surface of substrate 105.Can in wet treatment system 202, carry out this treatment process, and the surface of this treatment process with cleaning or etch substrate 105 can be set.
Fig. 2 D-2 provides an example, wherein end brush 290 and brush 290 two surfaces that are configured to handle substrate 105, top.Employed brush can be polyvinyl alcohol (PVA) brush that liquid can be supplied with the surface of substrate 105 in rotation simultaneously.The liquid that is provided by brush 290 can be supplied by brush (TTB) core, and can be used for cleaning and/or etching according to this liquid of the application, and/or substrate surface is set is hydrophobic or hydrophilic.
Fig. 2 D-3 illustrates an example, and wherein the processing module 240 that can be connected with the controlled environment transport module 232 shown in Fig. 2 A is the coating system.This coating system can be set to the electroless plating system or the electroplating system that need contact with wafer.The structure of a coating machinery 260b can have many forms, and the kind of using according to performed coating, and the concrete form of coating machinery head will change.The result that applying cladding is handled stays on substrate 105 surfaces by plating surface 292.This is plated the surface and can be created in and make moment and need be plated in copper sedimentary deposit or other metal level on the substrate surface.
Fig. 2 D-4 illustrates another example of coating system, wherein two surfaces that coating machinery head is used to plate substrate 105.In this example, a coating machinery 260b is used as actual coating machinery head while another coating machinery 260b and is used as the Aided Machine head.The Aided Machine head will provide the definition anode-cathode to connect required electrical connection, in order to plate metal material at substrate surface.
Fig. 2 D-5 illustrates another example of a machinery 260c who can be used for wet treatment system 202.Wet treatment system 202 can be included in and form one or more Newtonian fluids on the surface of substrate 105.A non-newtonian fluid example is the soft condensed state matter that is in solid and liquid two ends intermediateness.Condensed state matter externally under the pressure easy deformation soft, and the example of soft condensed state matter comprises emulsion, gel, colloid, foam etc.It should be understood that emulsion is the immiscible mixtures of liquids, for example toothpaste, dip, oil-in-water etc.Colloid is the dispersion of polymer in water, and gelatin is an example of colloid.Foam is made up of the bubble that forms in fluid matrix, and shaving cream is a kind of example of types of foams.In this example, non-newtonian fluid 294 is illustrated as by a machinery 260c and applies.
Another material in the wet type chamber of controlled environment module is a tri-state body.Tri-state body comprises a part of gas, a part of solid and a part of liquid.
In addition, a machinery 260c also can be in conjunction with the delivery outlet and the input port that are used to provide together with the combination Newtonian fluid of non-newtonian fluid.
Fig. 2 D-6 illustrates the substrate 105 by roller 296 clampings.Roller 296 makes substrate move in the mode of rotating, and a machinery 260c is used for non-newtonian fluid (for example class A foam A material) is applied to substrate surface in a controlled manner.Can provide non-newtonian fluid to the machinery head in a controlled manner, and remove non-newtonian fluid, thereby make the substrate surface cleaning by the machinery head.In another embodiment, non-newtonian fluid can apply and makes it keep a period of time on substrate surface by a machinery 260c, and wherein nozzle can be used for spraying substrate surface and uses roller rotation substrate simultaneously.Do not provide illustrated another example can comprise SRD (centrifugal (spin) cleaning is also dry) module and other wet type commonly used or dry treatment system.When all these modules are connected with transport module, be maintained under the controlled environment, and dried wafer is written into this module, and after finishing processing, shift out from module.
According to one embodiment of present invention, Fig. 3 illustrates an exemplary flow from A to D.The illustrative preparation that the flow process 300 of Fig. 3 will be combined in selected lip-deep CoWBP (the cobalt tungsten boron phosphide) overlapping operation that exposes copper product is described.In Fig. 4, treatment process illustrates two executable potential technological processes: one has preferred result, and one has not preferred result.
When carrying out CoW (BP) covering process, formulate the electrolytics preparation and carry out selective deposition on the copper to be provided at exposing of contiguous dielectric top.Before electroless plating, by process upstream definite wafer surface and various interface prior to electroless plating.Normally chemico-mechanical polishing of process upstream (CMP) and back-CMP cleaning process.In both cases, by passivation Cu surface (utilizing BTA usually) and form the Cu-BTA composition control electrochemical effect (galvanic effects) and the corrosion.
In Fig. 4, the accompanying drawing upper left illustrates the result's of the clean operation that comprises copper feature and CMP and/or produce Cu-BTA compound 302 dielectric substance.Before coating, this metal organic mixture must be removed, otherwise plating process will be suppressed.In addition, dielectric surface must be no copper and oxide thereof, and the copper surface must no Cu oxide.In an operating procedure, the substrate that has Cu-BTA compound 302 by wet type precleaning operational processes is to remove the Cu-BTA compound on the dielectric surface.
Illustrate this operation in the operation A among Fig. 3 and Fig. 4.In a concrete example, can be that the clean cpd of tetramethyl ammonium chloride (TAMH) is used for removing substantially all Cu-BTA compounds 302.TAMH describes as just an example, and should be understood that, also can use other compound according to the layer for the treatment of to remove in pre-clean step.In one embodiment, the cleaning module of the part of room environmental controlled transfer module 104c and executable operations A by experiment.If use the precleaning operation in operation A to fail from surface removal Cu-BTA compound 302, this method will be passed in path B, C and the D shown in Fig. 4 top.
Operation B, the C, the D that are passed in Fig. 4 top will make covering copper tungsten coating on the whole surface of substrate, substrate comprise the dielectric portion of the target of a part and non-selective coating (deposition).So, one embodiment of the present of invention will illustrate the benefit of using controlled ambient system to handle operation A, B, C and D shown in row under Fig. 4.
In operation B, thereby under the oxygen environment, carry out downstream TCP operation oxidation and remove any residual organic pollution; In this step also with any copper that exposes of oxidation.At the lip-deep cupric oxide residue 304 of copper with residual, as the operation B shown in.Yet if do not carried out wet type precleaning, the cupric oxide residue will not only remain in the copper cash over top so, and it also remains on the upper surface of dielectric material, as this example flow process is desired.
Operation B preferably with a plasma module that vacuum transport module 104b is connected in carry out, and the next one operates in another plasma module that is connected with vacuum transport module 104b and carries out.In this example, carry out ensuing downstream TCP H 2Operation, thus the restoring operation of copper caused, as by shown in the layer 306.The latter half of Fig. 4 illustrates preferred flow, wherein only reduces cupric oxide residue above copper cash.The row of going up of Fig. 4 illustrates copper residue on the reduction insulating barrier.Alternatively, can use high temperature oxygen heat treatment is high temperature (150 to 400 degree) hydrogen and executable operations B and C then.
In case executable operations B and C can produce conversion, as shown in Figure 3 between operation C and D.This conversion makes it possible to pass load lock from the vacuum transport module and changes substrate over to the inert environments controlled environment.It is basic anaerobic that the inert atmosphere controlled environment is designed to, the over oxidation of the substrate before this will prevent to handle in the module that is connected in inert gas controlled environment shown in Figure 3.
In operation D, can carry out selectivity CoW covering coating above the copper feature and can above unwanted zone, not form CoW coating now, shown in the first half of Fig. 4 (operation D).Since the autocatalysis surface characteristic of copper can be only at selectivity coating CoW on the copper zone but not on the cleaning medium layer, selectivity coating CoW is able to summary on copper.This example has illustrated in the mode that exposes deposition CoW coating on the copper feature, but may carry out more preparation manipulation under controlled environment in the combining architecture that can transmit or handle.
Fig. 5 illustrates flow chart 500, and this flow chart has defined the modules configured in combining architecture and the control of the substrate in the module, thereby the transfer between disparate modules is carried out in controlled ambient.As mentioned above, be different from the prior art module, defined system is control and treatment chamber and the interior environment of transport module all the time, thereby interface (i.e. layer, feature etc.) keep controlled and stable from a process sequences to next process sequences.As do not have controlled environment, even within the stand-by period of minimum, also may almost degrade or change immediately at prepared interface, as the situation of prior art systems.
Method operation in Fig. 5 starts from confirming that the operation 502 of layer to be prepared in controlled environment or feature begins to carry out.In one embodiment, can prepare certain layer, for example the monoblock of barrier layer, lining, inculating crystal layer or copper deposition.In another embodiment, only prepare some feature, for example the feature of in the general selectivity coating operation of using plating and electroless plating system to realize, finishing.In case confirmed in operation 502 after layer or the feature, this method operation moves to operation 504, and module is connected with selected environmental treatment station in this operation 504.For example, this module is those modules that are connected with the different transport modules of Fig. 2 B.
In operation 504, in case suitably module is with after combining architecture is connected, this method operation moves to operation 506, has defined the substrate that is used to move around with the method for making in each environmental treatment station processing in this operation 506.This method for making will depend on the required result of processing, yet the consistent features of translate substrate is to control environment particularly to handle with the optimum of guaranteeing layer, feature or treatment process in each handles station back and forth.Then, this method moves to operation 508, and the supply substrate is used to handle layer or the feature through confirming in this operation 508.
Substrate can be possible also not have the semiconductor wafer of the certain layer of preparation thereon that form or previous thereon.In this handled station, the substrate that provides in operation 508 changed laboratory environment controlled transfer there module 510 over to.Thereby alternative this laboratory environment controlled transfer module 510 of control provides inert environments.For example, this inert environments can provide hypoxemia or oxygen-free environment.
In addition, low-oxygen environment will help may with arbitrary module in the wet processed module of laboratory environment controlled transfer module interconnects in substrate or its surface are not exposed oxygen supply when handling.Therefore, so place definition, " laboratory environment " will be constructed to comprise that two control environment by definition inert environments type, wherein this environment of vacuum-pumping and be full of this environment with inert gas subsequently.In laboratory environment controlled transfer module or connected module, carry out in the processing procedure, this environment is found time to remove oxygen or to remove institute's aerobic substantially.
Now, this method moves to operation 512, carries out wet processed in this operation in one or more and module that laboratory environment controlled transfer module is connected.Alternatively, some process sequences may not need wet processed before vacuumizing processing.Various as defined above wet processed operations can comprise that proximity heads meniscus management, SRD handle, brushing is handled and comprise any other type of process of using liquid (Newtonian fluid and non-newtonian fluid).
Now, in operation 514, treatment process moves to judging point to determine that handling substrate in dry process technology still moves on to the layer formation step of carrying out at inert environments.In this example, suppose to forward plasma treatment to, allow so to shift in operation 516.In operation 516, transfer may be carried out in the vacuum transport module.Wet processed to the transfer of vacuum transport module is: dried wafer transmits and imports into the vacuum transport module in wet processed.
In these shifted, load lock and valve can move wafer at intermodule.In operation 518, can in one or more and module that the vacuum transport module is connected, carry out plasma processing operation.As mentioned above, can carry out dissimilar plasma operations according to the unify type of chamber of the plasma based that is connected with transport module.In this, in operation 520, determine whether under laboratory environment, to carry out layer and form processing, perhaps whether wafer should be retracted the operation of wet-cleaning or Wet-type etching.
When needs carried out wet-cleaning or Wet-type etching operation, this method can go back to and carry out the operation 510 of passing transport module and entering the transfer of laboratory environment controlled transfer there module in it.When the layer under the needs execution inert environments forms, this method will be transferred to operation 522.In operation 522, make conversion in the controlled environment transport module, carry out.In the controlled environment transport module, substrate can move into multiple inert environments layer and form one of module.It is the module that is connected with the controlled environment transport module that the inert environments layer forms module.
The example that forms module at the controlled environment layer can be the coating module that adopts electroless plating technology or electroplating technology.Except that electrodeposited coating and electroless plating, also substrate can be moved into permission substrate is carried out the dried module of advancing to do processing.The dried example that advances to do processing can comprise the proximity heads processing that meniscus is applied to wafer surface.Therefore, in case the inert environments layer forms when carrying out processing in the module in operation 524, in step 526, just can carry out decision operation.
In step 526, determining need additionally change in the vacuum transport module 516 still is to return the laboratory environment controlled transfer module that enters in 510.In case produce some transmission between the controlled various transport modules of environmental properties, and finish according to using required layer or feature coating, this method stops operation so.Certainly, the termination of this method may only indicate the beginning of next manufacturing process order.
Though in the method operation of technology 500, mention and make certain layer, specific interface or feature, be to be understood that in order to make integrated circuit (IC)-components and may repeatedly repeat various layers, treatment process and manufacturing step.Afterwards, but packaging integrated circuit devices and being placed on may be used for handling in electronic equipment, stores, transmits, in the electronic component of demonstration or data delivery.
Fig. 6-11 is provided at the direct copper-plated exemplary embodiment in top, barrier layer, and this embodiment can carry out in the environment of basic anaerobic shown in Figure 2.Fig. 6 illustrates according to one embodiment of present invention, the rough schematic view of each layer of substrate that is used to handle.Layer 600 is arranged on substrate 602 tops.Be to be understood that layer 600 is interlayer dielectric (ILD).
Fig. 7 graphic extension has the layer 600 of etch features in it.This feature can be a kind of in contact, through hole, groove or other space that forms in semi-conducting material, and therefore metallising subsequently provides the interconnection with other device.In some technologies of for example dual damascene etching process, use a succession of through hole etching and groove be etched with before the metallising in dielectric layer the definition feature.In one embodiment, by known etch process technology etched voids 604 in layer 600.For example, plasma etching can be used to form hole 604 in layer 600.Plasma etching can be in the indoor execution of the plasma chamber in the composite module of Fig. 2, and this plasma chamber operates in the controlled environment under the vacuum condition.It should be noted that the commutative use of term space and feature.
Fig. 8 is the rough schematic view that graphic extension is deposited over the conformal barrier on the exposed surface in the exposed surface of substrate and space 604.According to one embodiment of present invention, by well known deposition techniques deposition conformal barrier 606.For example, this deposition can be carried out in the controlled environment module of combining architecture shown in Figure 2.That is, any module 240a-240d can be used for by the well known deposition techniques deposited barrier layer.Be to be understood that barrier layer 606 can be made by the heterocomplex (hybrid combination) of tantalum nitride (TaN), tantalum (Ta), ruthenium (Ru) or these materials.Although these materials are materials of considering usually, also can use other barrier material.Barrier material can be other refractory metal compound, its including but not limited to, especially titanium (Ti), tungsten (W), zirconium (Zr), hafnium (Hf), molybdenum (Mo), niobium (Nb), vanadium (V), ruthenium (Ru), iridium (Ir), platinum (Pt) and chromium (Cr) one of them.
Fig. 9 is the rough schematic view that graphic extension is deposited over second conforma layer on the barrier layer 606.According to one embodiment of present invention, layer 608 is tantalum layers.Be to be understood that tantalum nitride (TaN) has acceptable adherence for interlevel dielectric layer 600.Yet tantalum nitride does not adhere to copper that is used to fill space 604 subsequently and tantalum layer.Promptly deposit substituting of two barrier layers as Fig. 9, can handle tantalum nitride layer 606 so that the contiguous surface that is used to fill the copper in space 604 has abundant tantalum.In one embodiment, the individual layer of deposition functional layer or self-organizing above the barrier layer.
Be to be understood that can by the deposition module sedimentary deposit 606 on the controlled environment treatment system that is defined in Fig. 2 and 608 both.In Figure 10, in groove, carry out the copper calking after executing planarization process, to prepare copper cash 610.Graphic extension copper cash 610 is in the barrier layer 608 and 606 that is limited at interlayer dielectric 600.Should be appreciated that in Fig. 9, carry out the copper calking, follow planarization step afterwards with leveling upper surface acquisition line as shown in figure 10.In one embodiment, carry out in the controlled environment wet processed module that planarization process defines in Fig. 2.
Shown in Fig. 6-11, under the situation that need not the PCVD inculating crystal layer, carry out the copper Gap filling technology.Because the controlled ambient that defines in Fig. 1 can be eliminated the PVD inculating crystal layer can directly carry out the copper Gap filling technology on the barrier layer.Therefore, in one embodiment, can the directly place of deposition of tantalum execution copper calking above tantalum nitride barrier layer on barrier layer 608.In another embodiment, can be directly carry out the copper calking on barrier layer 606, wherein barrier layer 606 is by rich tantalumization, thereby the copper calking will suitably adhere to.
Figure 11 flow chart according to an embodiment of the invention, this block diagram illustration have illustrated the method that directly deposits sealant on the barrier layer and need not to prepare the PVD inculating crystal layer.This method starts from operating procedure 700, the etching space.Known lithographic technique arbitrarily can be adopted in this space.In one embodiment, come the etching space, make substrate be in the controlled environment atmosphere according to the system model described in Fig. 1 and 2.
So this method is carried out operating procedure 702 deposited barrier layer in groove.With regard to Fig. 7 to 10 described, this barrier layer can be tantalum nitride layer or is the material layer that stops electron transfer conversion phenomena noted earlier of any appropriate.Should be appreciated that in the defined system of Fig. 1 and 2 in order to deposit coating, substrate will be transferred to the controlled environment atmosphere zone from the controlled environment region of no pressure.In one embodiment, the deposition on barrier layer can be for depositing one deck tantalum nitride layer and then deposition one deck tantalum layer earlier.In another embodiment, also can deposit one deck tantalum nitride layer earlier, then with this layer as rich tantalumization recited above.In any case, for Gap filling technology limits the tantalum enriched layer, stick on the barrier layer suitably to guarantee copper.
Then, shown in operating procedure 704, sealant carries out in the place that copper directly is deposited in groove on the barrier layer.As previously mentioned, these technologies need not the PVD inculating crystal layer in the barrier layer.That is to say that copper can directly be filled on the barrier layer under the situation of inculating crystal layer not having.So shown in operating procedure 706, but the cover layer of leveling sealant comes to provide smooth upper surface for interlevel dielectric layer.
Control system and the electronic equipment of managing this combining structure module, automation etc. can be by computer controlled automatic.So aspects more of the present invention can be used for other system configuration, comprise the electronic product, microcomputer, mainframe computer of hand portable equipment, microprocessor system, little processing or programmable-consumer etc.The present invention also can be used for distributed computing environment (DCE), wherein can be executed the task by network medium-long range treatment facility.
In the embodiment previously described, should be appreciated that the present invention may use multiple computer realization operations such as comprising in the computer system storage.The physical operations of this action need physical quantity is handled.Usually, though there is no need, these physical quantitys can be stored, transmit, make up, relatively reached other operation with the form of the signal of telecommunication or magnetic signal.And these operations of execution are commonly considered as clear and definite, for example produce, discern, determine or compare.
Any operation described herein can be used as a part of the present invention, all is useful for machine operation.The present invention also can relate to a kind of these apparatus operating of execution or device.This device may be for certain essential purpose specially constructs, bearer network for example described above.Perhaps, this installs also a kind of general calculation machine, can calculation procedure activates selectively or disposes by installing within it.Especially, various general-purpose machinerys can adopt the computer program that writes according to instruction to operate, and perhaps, construct a more specific device and carry out action required facility more.
The present invention can be embodied as the computer-readable code on the computer readable media.This computer readable media is the arbitrary data memory device, and it can store data, and therefore these data also can read by computing system.The example of computer readable media comprises hard disk drive, network attached storage (NAS), read-only memory, random asccess memory, CD-ROM, CD-R, CD-RW, DVD, Flash, tape and other optics and non-optical data storage device.This computer readable media also can be along with computer system be distributed by network, so computer readable data can be stored and be carried out in distributed form.
Although described the present invention by several embodiment, those skilled in the art also will understand by read above-mentioned specification and analyze that accompanying drawing can be recognized various modifications, augments, replacement and equivalent thereof.Therefore, mean that this type of revises, augments, replaces and fall into true spirit of the present invention and the interior equivalent of scope to the present invention includes all.In the claims, member and or step do not hint any specific operation order, unless clearly statement in the claims.

Claims (40)

1. combining architecture that is used to handle substrate comprises:
Laboratory environment controlled transfer module with one or more substrate wet processed module couplings is provided with described laboratory environment controlled transfer module and described one or more substrate wet processed module to manage first surrounding environment;
Vacuum transport module with described laboratory environment controlled transfer module and the coupling of one or more plasma treatment module is provided with described vacuum transport module and described one or more plasma treatment module to manage second surrounding environment; With
Controlled environment transport module with described vacuum transport module and the coupling of one or more environmental treatment module is provided with described controlled environment module and described one or more environmental treatment module to manage the 3rd surrounding environment;
Wherein said combining architecture can controllably be handled described substrate under described first, second or the 3rd surrounding environment.
2. combining architecture as claimed in claim 1, wherein said the 3rd surrounding environment are the inert environments of basic anaerobic.
3. combining architecture as claimed in claim 1, wherein said first surrounding environment are the inert environments of basic anaerobic.
4. combining architecture as claimed in claim 1, wherein said second surrounding environment can be set in vacuo.
5. combining architecture as claimed in claim 1, the outside uncontrolled clean room environment isolation of wherein said first, second and the 3rd environment and described combining architecture.
6. combining architecture as claimed in claim 1, wherein said one or more environmental treatment modules comprise coat of metal system.
7. combining architecture as claimed in claim 6, wherein said coat of metal system comprises electroplates and the electroless plating system.
8. combining architecture as claimed in claim 1 further comprises
First load lock that can connect described laboratory environment controlled transfer module and described vacuum transport module; With
Second load lock that can connect described vacuum transport module and described controlled environment transport module.
9. combining architecture as claimed in claim 1, wherein the interface with described one or more substrate wet processed modules activates by handling the dried end effector that advances to do substrate in the order.
10. combining architecture as claimed in claim 9, wherein the proximity heads system is a substrate wet processed module.
11. combining architecture as claimed in claim 9, wherein non-newtonian fluid is used in one of described substrate wet processed module.
12. combining architecture as claimed in claim 1, wherein the brushing system is used for substrate wet processed module.
13. combining architecture as claimed in claim 1, wherein said laboratory environment controlled transfer module is used for substrate moved into and shifts out the track of described one or more substrate wet processed modules and the stretching module of end effector forms by having.
14. combining architecture as claimed in claim 1, wherein said first, second separated by groove valve and load lock with the 3rd surrounding environment, when providing described substrate to change by described load lock, described groove valve limits the isolation between described surrounding environment, wherein under the situation of the external oxygen environment that described substrate is not exposed to described combining architecture, can carry out dry plasma process and wet processed in described combining architecture inside.
15. a method that is used for handling at combining architecture substrate comprises:
Configuration laboratory environment transport module is to connect one or more wet processed modules, and each of wherein said transport module and described one or more wet processed modules moved under first surrounding environment;
Configuration vacuum transport module is to connect one or more plasma treatment modules, and each of wherein said vacuum transport module and described one or more plasma treatment modules moved under second surrounding environment;
Configuration controlled environment transport module is to connect one or more coating modules, and each of wherein said controlled environment transport module and described one or more coating modules operated under the 3rd surrounding environment; And
Can in described combining architecture, between described first, second and the 3rd surrounding environment, change under the situation that does not contact outside uncontrolled environment.
16. the method that is used for handling substrate in combining architecture as claimed in claim 15 further comprises:
A kind of method for making that is used to control the conversion between described first, second and the 3rd surrounding environment is set, and when this method for making definition is carried out and is handled at concrete one of described wet processed module, described plasma treatment module and described coating module.
17. the described method that is used for handling substrate in combining architecture of claim 15 wherein is provided with described first surrounding environment so that the pressure that has is higher than the pressure in the clean room of described combining architecture outside as described.
18. the described method that is used in combining architecture handling substrate of claim 15 as described, wherein said first surrounding environment is the environment that is full of the anaerobic or the basic anaerobic of inert gas.
19. the described method that is used in combining architecture handling substrate of claim 15 as described, wherein said second surrounding environment remains in the plasma process vacuum.
20. the described method that is used in combining architecture handling substrate of claim 19 as described, wherein said the 3rd surrounding environment is the environment that is full of the anaerobic or the basic anaerobic of inert gas.
21. the described method that is used in combining architecture handling substrate of claim 20 as described, wherein after plasma processing operation, substrate can be transferred to described controlled environment transport module in order to electroless plating from described vacuum transport module, and described substrate not introduced oxygen after described plasma processing operation.
22. the described method that is used in combining architecture handling substrate of claim 20 as described, wherein control the each conversion of described substrate between described laboratory environment transport module, vacuum transport module, controlled environment transport module, and described substrate is not exposed to uncontrolled environment in the clean room of the outside of described combining architecture.
23. the described method that is used in combining architecture handling substrate of claim 15 as described, wherein at least one described wet processed module operation is to form meniscus above described substrate surface, described meniscus is set above described substrate surface, forming and to move, can be dried advance and do processing thereby make advancing and go out described wet processed module.
24. the described method that is used in combining architecture handling substrate of claim 15 as described, wherein at least one described wet processed module operation is to form non-newtonian fluid above described substrate surface.
25. the described method that is used in combining architecture handling substrate of claim 15 as described, wherein said controlled environment transport module are also moved described substrate driedly advances to do processing.
26. one kind is used to handle the substrate combination architecture, comprises:
Laboratory environment controlled transfer module with one or more substrate wet processed module couplings is provided with described laboratory environment controlled module and described one or more substrate wet processed module to manage first surrounding environment;
Vacuum transport module with described laboratory environment controlled transfer module and the coupling of one or more plasma treatment module is provided with described vacuum transport module and described one or more plasma treatment module to manage second surrounding environment; With
Controlled environment transport module with described vacuum transport module and the coupling of one or more environmental treatment module is provided with described controlled environment module and described one or more environmental treatment module can be configured to the inert environments of basic anaerobic with management the 3rd surrounding environment;
Wherein said combining architecture can be in described first, second or the 3rd surrounding environment the described substrate of controlled processing, and in operating process, described first, second and the 3rd environment are set to isolate with the outside uncontrolled clean room environment of described combining architecture.
27. combining architecture as claimed in claim 26, wherein said first surrounding environment are the inert environments of basic anaerobic.
28. combining architecture as claimed in claim 26, wherein said one or more environmental treatment modules comprise coat of metal system.
29. combining architecture as claimed in claim 28, wherein said coat of metal system comprises electroplating system and electroless plating system.
30. combining architecture as claimed in claim 26 further comprises:
First load lock that can connect described laboratory environment controlled transfer module and described vacuum transport module; With
Second load lock that can connect described vacuum transport module and described controlled environment transport module.
31. combining architecture as claimed in claim 26 further comprises,
Be used to control the computer that move and move into, shift out the processing module that be connected in separately that described surrounding environment of described substrate between described first, second and the 3rd surrounding environment.
32. a method that is used for filling substrate feature under controlled environment comprises following method operation:
In first chamber of combination tool on described substrate etching one feature;
Deposited barrier layer in second chamber of described combination tool is provided with described barrier layer and diffuses into the exposure of described feature to prevent copper; And
Fill described feature with the sealant that directly is deposited on top, described barrier layer.
33. method as claimed in claim 32 further comprises:
Described sealant of leveling and the described barrier layer of enrichment are to increase the sticking property to described sealant.
34. method as claimed in claim 32 further comprises:
Another barrier layer of deposition above described barrier layer, wherein said barrier layer is a tantalum, described another barrier layer is a tantalum nitride.
35. method as claimed in claim 32, wherein said sealant is a copper.
36. method as claimed in claim 32, wherein each method operation is all carried out under controlled environment.
37. method as claimed in claim 32 further comprises:
Under the situation that is not exposed to uncontrolled environment, the described substrate of conversion between described first chamber and described second chamber.
38. a method that need not to use inculating crystal layer on substrate and carry out Gap filling technology comprises:
Define deposition first barrier layer, characteristic substrate surface top within it;
Deposition second barrier layer above described first barrier layer; And
Fill the open area of described feature with the electric conducting material that directly is deposited on described second barrier layer surface top, under the situation of using inculating crystal layer above described second barrier layer, do not carrying out described fill process, and the operation of described fill process is in the chamber that is different from other method operation;
Be under the environment of basic anaerobic, to carry out wherein in the conversion between different chamber.
39. method as claimed in claim 38, wherein said first barrier layer is a tantalum nitride, and described second barrier layer is a tantalum, and described electric conducting material is a copper.
40. method as claimed in claim 38 further comprises:
After having filled the open area of described feature, the surface of the described substrate of leveling.
CN2007800402135A 2006-08-30 2007-08-28 Combined system structure for processing substrate Active CN101529556B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201110044283.6A CN102347210B (en) 2006-08-30 2007-08-28 Substrate carries out the method for calking

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US11/513,634 2006-08-30
US11/513,634 US8771804B2 (en) 2005-08-31 2006-08-30 Processes and systems for engineering a copper surface for selective metal deposition
US11/611,758 US20080057182A1 (en) 2006-08-30 2006-12-15 Method for gap fill in controlled ambient system
US11/639,752 2006-12-15
US11/611,758 2006-12-15
US11/639,752 US9117860B2 (en) 2006-08-30 2006-12-15 Controlled ambient system for interface engineering
PCT/US2007/018924 WO2008027386A2 (en) 2006-08-30 2007-08-28 Controlled ambient system for interface engineering

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201110044283.6A Division CN102347210B (en) 2006-08-30 2007-08-28 Substrate carries out the method for calking

Publications (2)

Publication Number Publication Date
CN101529556A true CN101529556A (en) 2009-09-09
CN101529556B CN101529556B (en) 2012-05-30

Family

ID=39136542

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201110044283.6A Active CN102347210B (en) 2006-08-30 2007-08-28 Substrate carries out the method for calking
CN2007800402135A Active CN101529556B (en) 2006-08-30 2007-08-28 Combined system structure for processing substrate

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201110044283.6A Active CN102347210B (en) 2006-08-30 2007-08-28 Substrate carries out the method for calking

Country Status (6)

Country Link
JP (1) JP5417174B2 (en)
KR (2) KR101423350B1 (en)
CN (2) CN102347210B (en)
SG (2) SG10201501328WA (en)
TW (1) TWI447831B (en)
WO (1) WO2008027386A2 (en)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SG10201501328WA (en) * 2006-08-30 2015-04-29 Lam Res Corp Controlled ambient system for interface engineering
US9865501B2 (en) * 2013-03-06 2018-01-09 Lam Research Corporation Method and apparatus for remote plasma treatment for reducing metal oxides on a metal seed layer
TWI584370B (en) * 2013-08-27 2017-05-21 Tokyo Electron Ltd A substrate processing method, a substrate processing apparatus, and a memory medium
KR20170095829A (en) * 2014-12-23 2017-08-23 인텔 코포레이션 Decoupled via fill
US10249521B2 (en) * 2016-03-17 2019-04-02 Lam Research Ag Wet-dry integrated wafer processing system
US10443146B2 (en) 2017-03-30 2019-10-15 Lam Research Corporation Monitoring surface oxide on seed layers during electroplating
US10770314B2 (en) * 2017-05-31 2020-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, tool, and method of manufacturing
JP6538894B2 (en) * 2018-01-10 2019-07-03 エーファウ・グループ・エー・タルナー・ゲーエムベーハー How to bond substrates together
JP2019192892A (en) 2018-04-18 2019-10-31 東京エレクトロン株式会社 Processing system and processing method

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5627105A (en) * 1993-04-08 1997-05-06 Varian Associates, Inc. Plasma etch process and TiSix layers made using the process
JPH088318A (en) * 1994-06-16 1996-01-12 Hitachi Ltd Semiconductor production system
US5935395A (en) * 1995-11-08 1999-08-10 Mitel Corporation Substrate processing apparatus with non-evaporable getter pump
JPH09275142A (en) * 1995-12-12 1997-10-21 Texas Instr Inc <Ti> Method for filling cavity of semiconductor at low temperature and low pressure
US6017820A (en) * 1998-07-17 2000-01-25 Cutek Research, Inc. Integrated vacuum and plating cluster system
US6319831B1 (en) * 1999-03-18 2001-11-20 Taiwan Semiconductor Manufacturing Company Gap filling by two-step plating
US6337289B1 (en) * 1999-09-24 2002-01-08 Applied Materials. Inc Method and apparatus for integrating a metal nitride film in a semiconductor device
US6423200B1 (en) * 1999-09-30 2002-07-23 Lam Research Corporation Copper interconnect seed layer treatment methods and apparatuses for treating the same
US20030116427A1 (en) * 2001-08-30 2003-06-26 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
SG87187A1 (en) * 1999-10-18 2002-03-19 Applied Materials Inc Pvd-imp tungsten and tungsten nitride as a liner, barrier and/or seed layer for tungsten, aluminium and copper applications
JP2001196373A (en) * 2000-01-13 2001-07-19 Mitsubishi Electric Corp Producing method for semiconductor device and semiconductor device
JP3907151B2 (en) * 2000-01-25 2007-04-18 株式会社東芝 Manufacturing method of semiconductor device
US6777327B2 (en) * 2001-03-28 2004-08-17 Sharp Laboratories Of America, Inc. Method of barrier metal surface treatment prior to Cu deposition to improve adhesion and trench filling characteristics
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US7049226B2 (en) * 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US7067897B2 (en) * 2002-02-19 2006-06-27 Kabushiki Kaisha Toshiba Semiconductor device
JP3588612B2 (en) 2002-02-19 2004-11-17 株式会社東芝 Semiconductor device
US20040040504A1 (en) * 2002-08-01 2004-03-04 Semiconductor Energy Laboratory Co., Ltd. Manufacturing apparatus
US7093375B2 (en) 2002-09-30 2006-08-22 Lam Research Corporation Apparatus and method for utilizing a meniscus in substrate processing
FR2851258B1 (en) * 2003-02-17 2007-03-30 Commissariat Energie Atomique METHOD OF COATING A SURFACE, FABRICATION OF MICROELECTRONIC INTERCONNECTION USING THE SAME, AND INTEGRATED CIRCUITS
WO2004114398A1 (en) * 2003-06-13 2004-12-29 Applied Materials, Inc. Integration of ald tantalum nitride for copper metallization
US20060033678A1 (en) * 2004-01-26 2006-02-16 Applied Materials, Inc. Integrated electroless deposition system
US7118966B2 (en) * 2004-08-23 2006-10-10 Micron Technology, Inc. Methods of forming conductive lines
CN101507349B (en) * 2006-08-22 2012-08-22 株式会社Ntt都科摩 Radio resource opening/controlling method, radio base station and mobile station
SG10201501328WA (en) * 2006-08-30 2015-04-29 Lam Res Corp Controlled ambient system for interface engineering

Also Published As

Publication number Publication date
WO2008027386A2 (en) 2008-03-06
CN101529556B (en) 2012-05-30
CN102347210A (en) 2012-02-08
KR101455955B1 (en) 2014-10-31
SG174750A1 (en) 2011-10-28
CN102347210B (en) 2015-08-05
KR20140002811A (en) 2014-01-08
JP5417174B2 (en) 2014-02-12
KR20090069278A (en) 2009-06-30
TWI447831B (en) 2014-08-01
SG10201501328WA (en) 2015-04-29
JP2010503210A (en) 2010-01-28
TW200832586A (en) 2008-08-01
WO2008027386A3 (en) 2008-08-21
KR101423350B1 (en) 2014-07-24

Similar Documents

Publication Publication Date Title
CN101529556B (en) Combined system structure for processing substrate
US9117860B2 (en) Controlled ambient system for interface engineering
CN101558186B (en) Processes and integrated systems for engineering a substrate surface for metal deposition
US6939403B2 (en) Spatially-arranged chemical processing station
US6017820A (en) Integrated vacuum and plating cluster system
US7341633B2 (en) Apparatus for electroless deposition
US8916232B2 (en) Method for barrier interface preparation of copper interconnect
TWI453822B (en) Self assembled monolayer for improving adhesion between copper and barrier layer
US20140322446A1 (en) Processes and systems for engineering a copper surface for selective metal deposition
US20120269987A1 (en) Processes and Systems for Engineering a Barrier Surface for Copper Deposition
US7752996B2 (en) Apparatus for applying a plating solution for electroless deposition
CN101606230A (en) Be used for contained chemical surface-treated method and apparatus
CN101479406A (en) Apparatus for applying a plating solution for electroless deposition
CN107208295B (en) Forming cobalt interconnects on a substrate
KR20090058008A (en) Apparatus for manufacturing semiconductor, method for manufacturing semiconductor device, storage medium and computer program
CN101425471A (en) Manufacturing method of semiconductor integrated device
TWI496214B (en) Methods and systems for low interfacial oxide contact between barrier and copper metallization
US20080315422A1 (en) Methods and apparatuses for three dimensional integrated circuits
US20080057182A1 (en) Method for gap fill in controlled ambient system
US20060246727A1 (en) Integrated dual damascene clean apparatus and process
US11424158B2 (en) Metal liner passivation and adhesion enhancement by zinc doping
US20230010568A1 (en) Methods and apparatus for selective etch stop capping and selective via open for fully landed via on underlying metal
KR101487564B1 (en) Methods and apparatus for barrier interface preparation of copper interconnect
JP2002118086A (en) Method and apparatus for cleaning treatment

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C53 Correction of patent for invention or patent application
CB03 Change of inventor or designer information

Inventor after: Boyd John

Inventor after: Hemker David

Inventor after: Woods Carl

Inventor after: Horizontal large Alexandria permits

Inventor after: Ao Fuqia Leix, Alexandria

Inventor after: Dordi Yezdi

Inventor after: Arunagiri Tiruchirapalli

Inventor after: Mooring Benjamin W.

Inventor after: Parks John

Inventor after: Thie William

Inventor after: Redeker Fritz C

Inventor after: Howald Arthur M

Inventor after: Schoepp Alan

Inventor before: Boyd John

Inventor before: Hemker David

Inventor before: Dordi Yezdi

Inventor before: Arunagiri Tiruchirapalli

Inventor before: Mooring Benjamin W.

Inventor before: Parks John

Inventor before: Thie William

Inventor before: Redeker Fritz C

Inventor before: Howald Arthur M

Inventor before: Schoepp Alan

COR Change of bibliographic data

Free format text: CORRECT: INVENTOR; FROM: BOYD JOHN DORDI YEZDI ARUNAGIRI TIRUCHIRAPALLI MOORING BENJAMIN W. PARKS JOHN THIE WILLIAM REDEKER FRITZ C. HOWALD ARTHUR M. SCHOEPP ALAN HEMKER DAVID TO: BOYD JOHN DORDI YEZDI ARUNAGIRI TIRUCHIRAPALLI MOORING BENJAMIN W. PARKS JOHN THIE WILLIAM REDEKER FRITZ C. HOWALD ARTHUR M. SCHOEPP ALAN HEMKER DAVID WOODS CARL ALEXANDER YOON HYUNGSUK OFFCHALLES ALEXANDER