KR101375966B1 - 산화물 재료 제거 처리 시스템과 방법, 및 컴퓨터 판독 가능한 매체 - Google Patents

산화물 재료 제거 처리 시스템과 방법, 및 컴퓨터 판독 가능한 매체 Download PDF

Info

Publication number
KR101375966B1
KR101375966B1 KR1020097001431A KR20097001431A KR101375966B1 KR 101375966 B1 KR101375966 B1 KR 101375966B1 KR 1020097001431 A KR1020097001431 A KR 1020097001431A KR 20097001431 A KR20097001431 A KR 20097001431A KR 101375966 B1 KR101375966 B1 KR 101375966B1
Authority
KR
South Korea
Prior art keywords
substrate
temperature controlled
temperature
process chamber
heat treatment
Prior art date
Application number
KR1020097001431A
Other languages
English (en)
Other versions
KR20090023503A (ko
Inventor
마틴 켄트
에릭 제이 스트랭
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20090023503A publication Critical patent/KR20090023503A/ko
Application granted granted Critical
Publication of KR101375966B1 publication Critical patent/KR101375966B1/ko

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05BSPRAYING APPARATUS; ATOMISING APPARATUS; NOZZLES
    • B05B12/00Arrangements for controlling delivery; Arrangements for controlling the spray area
    • B05B12/08Arrangements for controlling delivery; Arrangements for controlling the spray area responsive to condition of liquid or other fluent material to be discharged, of ambient medium or of target ; responsive to condition of spray devices or of supply means, e.g. pipes, pumps or their drive means
    • B05B12/12Arrangements for controlling delivery; Arrangements for controlling the spray area responsive to condition of liquid or other fluent material to be discharged, of ambient medium or of target ; responsive to condition of spray devices or of supply means, e.g. pipes, pumps or their drive means responsive to conditions of ambient medium or target, e.g. humidity, temperature position or movement of the target relative to the spray apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05BSPRAYING APPARATUS; ATOMISING APPARATUS; NOZZLES
    • B05B12/00Arrangements for controlling delivery; Arrangements for controlling the spray area
    • B05B12/02Arrangements for controlling delivery; Arrangements for controlling the spray area for controlling time, or sequence, of delivery
    • B05B12/04Arrangements for controlling delivery; Arrangements for controlling the spray area for controlling time, or sequence, of delivery for sequential operation or multiple outlets
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05BSPRAYING APPARATUS; ATOMISING APPARATUS; NOZZLES
    • B05B13/00Machines or plants for applying liquids or other fluent materials to surfaces of objects or other work by spraying, not covered by groups B05B1/00 - B05B11/00
    • B05B13/02Means for supporting work; Arrangement or mounting of spray heads; Adaptation or arrangement of means for feeding work
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B7/00Halogens; Halogen acids
    • C01B7/19Fluorine; Hydrogen fluoride
    • C01B7/191Hydrogen fluoride
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/08Etching, surface-brightening or pickling compositions containing an inorganic acid containing a fluorine compound
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/02Local etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/12Gaseous compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • H01L21/02049Dry cleaning only with gaseous HF
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • H01L21/30612Etching of AIIIBV compounds
    • H01L21/30621Vapour phase etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Inorganic Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

건식 비플라즈마 처리 시스템 및 산화물 재료 제거 방법을 설명한다. 처리 시스템은 하나 이상의 기판을 화학적으로 처리하도록 구성되고, 각 기판은, 표면 온도 및 가스 압력을 포함한 제어 조건 하에서, HF와 선택적인 NH3를 포함한 기상 화학물에 노출된다. 또한, 처리 시스템은 각 기판을 열처리하도록 구성되며, 여기서 각 기판은, 각 기판의 화학적으로 처리된 표면을 제거하도록 열처리된다.

Description

산화물 재료 제거 처리 시스템과 방법, 및 컴퓨터 판독 가능한 매체{A TREATMENT SYSTEM AND METHOD FOR REMOVING OXIDE MATERIAL, AND A COMPUTER READABLE MEDIUM}
본원은 2006년 6월 22일자로 출원된 미국 특허 출원 제11/425,883호를 기초로 하며, 이 출원의 출원일의 이득을 얻고 있다. 본원은, 2003년 11월 12일자로 출원되고 발명의 명칭이 "Processing System and Method for Chemically Treating a Substrate"인 계류 중의 미국 특허 출원 제10/705,200호(대리인 도켓 번호 : 071469/0306773); 2003년 11월 12일자로 출원되고 발명의 명칭이 "Processing System and Method for Thermally Treating a Substrate"인 계류 중의 미국 특허 출원 제10/704,969호(대리인 도켓 번호 : 071469/0306775); 2003년 11월 12일자로 출원되고 발명의 명칭이 "Processing System and Method for Treating a Substrate"인 계류 중의 미국 특허 출원 제10/705,201호(대리인 도켓 번호 : 071469/0306772); 2006년 3월 28일자로 출원되고 발명의 명칭이 "Batch Processing System and Method for Performing Chemical Oxide Removal"인 계류 중의 미국 특허 출원 제11/390,470호(대리인 도켓 번호 : 313530-P0025); 2004년 6월 4일자로 출원되고 발명의 명칭이 "Method of Operating a Processing System for Treating a Substrate"인 계류 중의 미국 특허 출원 제10/859,975호(대리인 도켓 번호 : 071469-0309935); 2004년 6월 4일자로 출원되고 발명의 명칭이 "Processing System and Method for Treating a Substrate"인 계류 중의 미국 특허 출원 제10/860,149호(대리인 도켓 번호 : 071469-0309092)에 관한 것이며, 이들 출원의 전체 내용은 본원 명세서에 전체적으로 참고로 인용된다.
본 발명은 산화물을 제거하기 위하여 기판을 처리하는 건식 비플라즈마 처리 시스템 및 방법에 관한 것으로, 보다 구체적으로 기판의 화학처리 및 열처리를 위한 건식 비플라즈마 처리 시스템 및 방법에 관한 것이다.
재료 처리 방법에 있어서, 패턴 에칭은 포토레지스트와 같은 감광성 재료의 박층을 기판의 상면에 도포하는 것을 포함하고, 후속하여 이 박층을 패턴화하여 에칭 중에 그 패턴을 기부의 박막에 전사하기 위한 마스크를 제공한다. 일반적으로 감광성 재료의 패터닝은, 예컨대 마이크로-리소그래피 시스템을 이용하여 감광성 재료를 레티클(관련 광학 기구)을 통하여 복사원에 의해 노출시키는 것을 포함하며, 후속하여 (포지티브 포토레지스트의 경우에서와 같이) 감광성 재료의 조사 영역을 제거하거나, 현상 용매를 이용하여 (네거티브 레지스트의 경우에서와 같이) 비조사 영역을 제거한다.
또한, 박막의 피처를 에칭하기 위하여 다층의 하드 마스크를 구현할 수 있다. 예컨대, 하드 마스크를 이용하여 박막의 피처를 에칭할 때에, 감광성 층의 마스크 패턴은 박막용의 메인 에칭 이전의 별도의 에칭 단계를 이용하여 하드 마스크 층에 전사된다. 예컨대, 하드 마스크를 예컨대 이산화규소(SiO2), 질화규 소(Si3N4), 및 카본을 포함한 실리콘 처리를 위한 여러 재료로부터 선택할 수 있다.
박막에 형성된 피처 사이즈(feature size)를 감소시키기 위하여, 예컨대 하드 마스크 층의 표면 화학물질(chemistry)을 개질하기 위하여 하드 마스크 층의 노출면을 화학적으로 처리하는 것과, 개질된 표면 화학물질을 탈착하기 위하여 하드 마스크 층의 노출면을 후처리하는 것을 포함한 2 단계 공정을 이용하여 하드 마스크를 옆으로 트리밍할 수 있다.
본 발명은 기판을 처리하는 건식 비플라즈마 처리 시스템 및 방법에 관한 것이고, 구체적으로 기판을 화학처리 및 열처리하는 건식 비플라즈마 처리 시스템 및 방법에 관한 것이다.
이들 및/또는 다른 양태 중 임의의 것은 본 발명에 따라 산화물 재료를 제거하는 처리 시스템에 의해 제공될 수 있다. 일 실시예에 있어서, 기판 상의 산화물 재료를 제거하는 처리 시스템은 면 위에 산화물 재료가 있는 기판을 수용하도록 구성된 온도 제어된 공정 챔버를 포함한다. 공정 챔버 내에 장착되는 온도 제어된 기판 홀더가, 공정 챔버로부터 실질적으로 단열되고, 기판을 지지하도록 구성되어 있다. 공정 챔버에 진공 펌핑 시스템이 결합되어 있다. 공정 챔버에 결합되는 화학처리 시스템이, 초기 성분으로서의 HF와 선택적인 암모니아(NH3)를 포함하는 공정 가스를 공정 챔버에 도입하도록 구성되며, 공정 가스는 기판 상의 노출면 층을 화학적으로 개질시킨다. 공정 챔버에 결합되는 열처리 시스템은 기판의 온도를 상승시키도록 구성되며, 상승된 온도에 의해, 화학적으로 개질된 표면층을 증발시킨다. 컨트롤러는 기판에 도입된 공정 가스의 양 및 기판의 설정 온도를 제어하도록 구성된다.
다른 실시예에 있어서, 기판 상의 산화물 재료를 제거하는 방법 및 컴퓨터 판독 가능한 매체는 산화물 재료를 갖는 기판을 공정 챔버 내의 기판 홀더 상에 배치하는 배치 단계를 포함한다. 기판 홀더를 사용하여 기판의 온도를 100℃ 미만의 화학처리 온도로 설정하면서, 초기 성분으로서의 HF와 선택적인 암모니아(NH3)를 포함하는 가스 조성물에 기판을 노출시킴으로써 기판을 화학적으로 처리한다. 화학처리에 이어서, 기판을 화학처리 온도를 넘는 온도로 가열함으로써 열처리한다.
첨부 도면에 있어서,
도 1은 본 발명의 일 실시예에 따른 화학적 산화물 제거 공정을 실행하기 위한 건식 비플라즈마 처리 시스템의 블록도를 도시하고,
도 2는 본 발명의 다른 실시예에 따른 건식 비플라즈마 화학적 제거 공정을 실행하기 위한 건식 비플라즈마 처리 시스템을 도시하고,
도 3a 및 도 3b는 본 발명의 다른 실시예에 따른 건식 비플라즈마 화학적 제거 공정을 실행하기 위한 기판 홀더를 도시하고,
도 4a 및 도 4b는 본 발명의 다른 실시예에 따른 건식 비플라즈마 화학적 제거 공정을 실행하기 위한 기판 홀더를 도시하고,
도 5는 본 발명의 일 실시예에 따른 건식 비플라즈마 화학적 제거 공정을 실행하는 방법의 흐름도를 도시하고 있다.
이하의 설명에서는, 한정의 의도가 없는 설명을 목적으로, 처리 시스템의 특정 기하형상 및 다양한 요소 및 공정과 같은 특정의 세부 사항을 설명하고 있다. 그러나 본 발명을 이들 특정의 세부 사항으로부터 벗어나는 다른 실시예로 실행할 수도 있다는 것을 이해해야 한다.
일 실시예에 따르면, 도 1은, 예컨대 산화물 마스크를 트리밍하거나, 자연 산화물을 제거하거나, SiOx 함유 잔류물을 제거하기 위하여 화학적 산화물 제거 공정과 같은 건식 비플라즈마 처리 시스템을 이용하여 기판을 처리하는 처리 시스템(101)을 도시하고 있다. 예컨대, 처리 시스템(101)은 기판 상의 산화물 재료를 화학적으로 개질하는 화학처리 공정과 화학적으로 개질된 기판 재료를 탈착시키는 열처리 공정을 용이하게 하도록 구성되어 있다.
도 1은 기판 상의 산화물 재료를 처리하는 처리 시스템(101)의 블록도를 도시한다. 처리 시스템(101)은 기판을 처리하도록 구성된 공정 챔버(110)와, 공정 챔버(110)에 결합되고 공정 가스를 공정 챔버(110) 내에 장착된 기판에 도입하도록 구성된 화학처리 시스템(120)과, 공정 챔버(110)에 결합되고 기판의 온도를 상승시키도록 구성된 열처리 시스템(130)과, 공정 챔버(110), 화학처리 시스템(120) 및 열처리 시스템(130)에 결합되고 공정 레시피에 따라 처리 시스템(101)을 제어하도 록 구성된 컨트롤러(150)를 구비한다.
예컨대, 화학처리 시스템(120)은 초기 성분으로서 HF를 갖는 제1 기체 성분과 초기 성분으로서 암모니아(NH3)를 갖는 선택적인 제2 기체 성분을 포함하는 공정 가스를 도입하도록 구성된다. 두 기체 성분은 함께 도입될 수도 있고, 서로 독립적으로 도입될 수도 있다. 예컨대, 독립적인 가스/증기 이송 시스템을 사용하여 각 기체 성분을 도입할 수도 있다. 또한, 화학처리 시스템(120)은 공정 증기가 내부에서 응축되는 것을 방지하도록 증기 이송 시스템의 온도를 상승시키는 온도 제어 시스템을 더 구비할 수 있다.
또한, 어느 한 기체 성분, 또는 양 기체 성분이 불활성 가스와 같은 캐리어 가스와 함께 도입될 수 있다. 불활성 가스는 아르곤과 같은 희가스를 포함할 수 있다. 물론, 다른 가스가 공정 가스에 포함될 수도 있다. 기판 상의 산화물 재료를 두 기체 성분에 노출시킴으로써 산화물 재료를 화학적으로 처리함으로써, 산화물 재료의 표면을 자체-제한 깊이(self-limiting depth)로 화학적으로 개질시킨다. 기판 상의 산화물 재료를 화학적으로 처리하는 중에, 기판 온도를 제어할 수 있다. 예컨대, 기판 온도는 100℃ 미만의 화학처리 온도로 설정될 수 있다.
여전히 도 1을 참조하면, 열처리 시스템(130)은 기판의 온도를 화학처리 온도를 초과한 온도로, 또는 약 50℃ 내지 약 450℃의 온도 범위로 상승시킬 수 있고, 바람직하게는 기판 온도는 약 100℃ 내지 약 300℃의 범위일 수 있다. 예컨대, 기판 온도는 약 100℃ 내지 약 200℃의 범위일 수 있다. 화학적으로 개질된 산화물 표면층을 열처리함으로써, 이들 표면층이 증발된다.
컨트롤러(150)는 마이크로프로세서, 메모리 및 (잠재적으로 D/A 및/또는 A/D 컨버터를 포함하는) 디지털 I/O 포트를 포함하고, 이 I/O 포트는 공정 챔버(110), 화학처리 시스템(120) 및 열처리 시스템(130)과 통신하여, 이들 시스템으로부터의 출력을 모니터할 뿐 아니라, 이들 시스템으로의 입력을 작동시키기에 충분한 제어 전압을 발생시킬 수 있다. 저장된 공정 레시피에 따라 메모리에 저장된 프로그램을 활용하여 시스템(120, 130)과 상호 작용한다.
대안으로 또는 추가로, 컨트롤러(150)는 하나 이상의 추가의 컨트롤러/컴퓨터(도시 생략)에 결합될 수 있고, 컨트롤러(150)는 추가의 컨트롤러/컴퓨터로부터 셋업 및/또는 구성 정보를 얻을 수 있다.
도 1에 있어서, 단일의 처리 요소(120, 130)가 도시되어 있지만, 이는 본 발명에 필수적인 것은 아니다. 처리 시스템(101)은, 독립적인 처리 요소에 더하여 임의의 수의 관련 컨트롤러를 구비하는 임의의 수의 처리 요소를 포함할 수 있다.
컨트롤러(150)는 임의의 수의 처리 요소(120, 130)를 구성하도록 사용될 수 있고, 컨트롤러(150)는 처리 요소로부터의 데이터를 수집하고, 제공하고, 처리하고, 저장하고 표시할 수 있다. 컨트롤러(150)는 처리 요소 중 하나 이상을 제어하기 위하여 복수의 애플리케이션을 포함할 수 있다. 예컨대, 컨트롤러(150)는, 사용자가 하나 이상의 처리 요소를 모니터하거나 및/또는 제어할 수 있게 하는 인터페이스를 용이하게 사용할 수 있게 하는 GUI(graphic user interface) 요소(도시 생략)를 포함할 수 있다.
처리 시스템(101)은 압력 제어 시스템(도시 생략)을 또한 구비할 수 있다. 압력 제어 시스템은 공정 챔버(110)에 결합될 수 있지만, 이것이 필수적인 것은 아니다. 변형예에 있어서, 압력 제어 시스템은 상이하게 구성될 수 있고, 상이하게 결합될 수 있다. 압력 제어 시스템은 공정 챔버(110)를 배기시키기 위한 및/또는 공정 챔버(110) 내의 압력을 조절하기 위한 하나 이상의 압력 밸브(도시 생략)를 구비할 수 있다. 대안으로, 압력 제어 시스템은 하나 이상의 펌프(도시 생략)를 또한 구비할 수 있다. 예컨대, 하나의 펌프는 공정 챔버 내의 압력을 증가시키는데 사용될 수 있고, 다른 펌프는 공정 챔버를 배기시키는데 사용될 수 있다. 다른 실시예에서, 압력 제어 시스템은 공정 챔버를 밀봉하기 위한 시일을 포함할 수 있다.
또한, 처리 시스템(101)은 배기 제어 시스템을 포함할 수 있다. 배기 제어 시스템은 공정 챔버(110)에 결합될 수 있지만, 이것이 필수적인 것은 아니다. 변형예에 있어서, 배기 제어 시스템은 상이하게 구성될 수 있고, 상이하게 결합될 수 있다. 배기 제어 시스템은 배기가스 수집 용기(도시 생략)를 포함할 수 있고, 처리 유체로부터 오염물을 제거하는데 사용될 수 있다. 대안으로, 배기 제어 시스템은 공정 유체를 재순환시키는데 사용될 수 있다.
이제 도 2를 참조하면, 다른 실시예에 따른 처리 시스템(200)을 단순화한 블록도가 도시되어 있다. 처리 시스템(200)은 처리 챔버(210)와, 처리 챔버(210)와 실질적으로 단열되고 기판(225)을 지지하도록 구성된 온도 제어된 기판 홀더(220)와, 처리 챔버(210)를 배기시키도록 처리 챔버(210)에 결합된 진공 펌핑 시스템(250)과, 처리 챔버(210)에 결합되고 기판(225)을 화학적으로 처리하도록 공정 공간(245) 내로 공정 가스를 도입하도록 구성된 화학적 분배 시스템(240)과, 처리 챔버(210)에 결합되고 기판(225)을 열처리하도록 구성된 방사 가열 시스템(230)을 구비한다. 기판(225)은 기판 이송 시스템(도시 생략)을 이용하여 이송용 개구(도시 생략)를 통해 처리 챔버(210)의 내외로 이송될 수 있다.
화학적 분배 시스템(240)은, 예컨대 기판(225) 상의 산화물 재료를 화학적으로 개질시키도록 구성된 공정 가스를 도입하도록 구성 되어 있다. 화학적 분배 시스템(240)은, HF, NH3, N2, H2, O2, CO, CO2, NO, NO2, N2O, CxFy(여기서 x 및 y는 정수), CxHzFy(여기서 x, y, z는 정수) 등을 포함하지만, 이로 한정되는 것은 아닌 하나 이상의 공정 가스를 도입하도록 구성된다. 예컨대, 공정 가스는 초기 성분으로서 HF를 갖는 제1 기체 성분과 초기 성분으로서 암모니아(NH3)를 갖는 선택적인 제2 기체 성분을 포함할 수 있다. 두 기체 성분은 가스 공급 시스템(242)을 이용하여 함께 도입될 수도 있고, 서로 독립적으로 도입될 수도 있다. 예컨대, 독립적인 가스/증기 공급 시스템을 사용하여 각 기체 성분을 도입할 수도 있다. 또한, 화학적 분배 시스템(240)은 공정 증기가 내부에 응축되는 것을 방지하도록 화학적 분배 시스템(240)의 온도를 상승시키는 온도 제어 시스템을 더 포함할 수도 있다. 또한, 어느 한 기체 성분 또는 양 기체 성분은 불활성 가스와 같은 캐리어 가스와 함께 도입될 수 있다. 불활성 가스는 아르곤과 같은 희가스를 포함할 수 있다. 물론, 다른 기체를 포함할 수도 있다.
도 2에 도시된 바와 같이, 화학적 분배 시스템(240)은 기판(225)의 주변 단부를 넘어서 배치될 수 있다. 화학적 분배 시스템(240)은, 공정 공간(245)의 원주 둘레에 분포된 복수의 분사 오리피스 또는 노즐을 포함할 수도 있다. 또한, 하나 이상의 오리피스, 또는 노즐의 그룹을 번갈아 사용하여 각 기체 성분, 예컨대 HF 및 암모니아를 독립적으로 도입할 수 있다. 대안으로, 화학적 분배 시스템(240)은 방사 가열 시스템(230) 내에 배치될 수 있다. 대안으로, 화학적 분배 시스템(240)은 기판(225) 위의 상부 조립체 내에 배치될 수 있는 반면, 방사 가열 시스템(230)은 화학적 분배 시스템(240)의 주변 단부를 넘어서 여전히 기판(225)의 시야 내에 위치되어 있다. 화학적 분배 시스템(240)은 처리 챔버(210) 내의 멀티 존으로의 공정 가스 유동을 조정하는 멀티 존 유체 분배 시스템일 수 있다.
또한, 방사 가열 시스템(230)은 기판(225)을 가열하여, 예컨대 기판(225) 상의 화학적으로 개질된 산화물 재료를 탈착시키도록 구성된다. 방사 가열 시스템(230)은 하나 이상의 가열 램프를 포함할 수 있다. 각 가열 램프는 예컨대 텅스텐 할로겐 램프를 포함할 수도 있다. 하나 이상의 램프의 그룹으로 배치된 가열 램프를 사용하여 기판(225)의 가열을 공간적으로 조정할 수 있다. 방사 가열 시스템(230)은, 공정 챔버(210) 내의 진공 조건을 보존하도록 구성되고 적외선(IR) 전자기(EM) 방사에 실질적으로 투과성이 있는 창을 더 포함한다. 예컨대, 창은 석영, 또는 바람직하게는 사파이어로 이루어질 수 있다. (석영으로 제조된 때의) 창은 건식 비플라즈마 공정에서 소모될 수 있지만, 그 교체 빈도 및 관련 교체 비용을 줄이기 위하여 그 두께를 충분히 두껍게 선택할 수 있다.
여전히 도 2를 참조하면, 기판 홀더(220)는, 기판 홀더(220), 또는 기판(225)의 온도 또는 양자의 온도에 대한 모니터링, 조정, 제어 중 적어도 하나, 또는 이들의 2 이상의 조합을 실행하도록 구성된 기판 온도 제어 시스템(260)을 포함한다. 예컨대, 기판 홀더(220)와 기판 온도 제어 시스템(260)은, 기판(225)과 기판 홀더(220) 사이의 열 접촉을 향상시키기 위한 기판 클램핑 시스템(즉, 전기식 또는 기계식 클램핑 시스템)과, 가열 시스템과, 냉각 시스템과, 기판(225)과 기판 홀더(220) 사이의 열전도를 향상시키기 위한 기판 이면측 가스 공급 시스템과, 온도 센서 등을 포함할 수 있다.
또한, 기판 홀더(220)는, 공정 챔버(210) 내에서 기판 홀더(220)의 상면 및 이송면(transfer plane)에 대하여 기판(225)을 수직으로 이송하고, 공정 챔버(210) 내에서 기판 홀더(220)의 상면 및 가열면에 대하여 기판(225)을 수직으로 이송하도록 3개 이상의 상승 핀을 상승 및 하강시킬 수 있는 상승 핀 조립체(도시 생략)를 구비하는 기판 상승 시스템(262)을 포함한다. 또한, 기판 홀더(220)는 기판(225)의 이면측에 가스를 공급하도록 구성된 이면측 가스 공급 시스템(264)을 구비할 수 있다.
기판(225)의 화학처리 중에, 기판(225)은 기판 홀더(220) 상에 놓이고, 온도는 기판(225) 상의 산화물 재료를 화학적으로 개질시키도록 구성된 공정 가스에 기판(225)을 노출시킨 상태에서 약 100℃ 미만의 화학처리 온도로 제어된다. 화학처리 중에, 기판(225)은 기판 홀더(220)에 클램핑될 수 있고, 이면측 가스 공급 시스템(264)으로부터 이면측 가스의 유동이 개시되어 기판(225)과 기판 홀더(220) 사이 의 열전도 향상에 영향을 끼칠 수 있다.
기판(225)의 화학처리에 이어서, 화학적으로 개질된 산화물 재료를 탈착시키도록 방사 가열 시스템(230)을 이용하여 기판(225)의 온도를 상승시킨다. 기판(225)의 열처리 중에, 기판 상승 시스템(262)을 이용하여 기판(225)을 기판 홀더(220)로부터 실질적으로 열적으로 분리시키기에 충분한 거리만큼 기판(225)을 기판 홀더(220) 위로 상승시키고, 기판 홀더(220)로부터 가열면으로 변위시킬 수 있다. 또한, 가열 중에 다른 챔버 요소가 방사 가열 시스템(230)에 노출되는 크기를 줄이기 위하여 기판(225)을 방사 가열 시스템(230)에 매우 근접하게 상승시킬 수 있다. 바람직하게는, 다른 챔버 요소가 가열되지 않는 상태에서 기판(225)을 가열한다. 또한, 기판(225)이 기판 홀더(220) 위로 상승하면, 이면측 가스 공급 시스템(264)으로부터의 퍼지 가스의 선택적인 유동이 실행되어 탈착 공정 중에 기판(225)의 이면측의 오염을 저감할 수 있다.
이제 도 3a, 도 3b, 도 4a 및 도 4b를 참조하면, 다른 실시예에 따른 기판 홀더 조립체(300)가 도시되어 있다. 기판 홀더 조립체(300)는, 기판(325)을 지지하고 공정 챔버(310)에 결합되도록 구성되는 기판 홀더(320)를 구비한다. 기판 홀더 조립체(300)는 기판(325)을 기판 홀더(320)에 전기적으로 클램핑하도록 구성된 클램프 전극(382)을 갖는 정전 클램핑(ESC) 시스템(380)을 더 구비한다.
또한, 기판 홀더 조립체(300)는 기판 온도 제어 시스템(360)을 구비한다. 기판 온도 제어 시스템(360)은, 유입 유체 공급 라인(362)을 통하여 열전달 유체를 공급하고 유출 유체 공급 라인(364)을 통하여 열전달 유체를 수용함으로써 기판 홀 더(320) 내에 배치된 유체 채널(366)을 통하여 열전달 유체를 순환시키도록 구성된 열교환기를 구비한다. 열교환기 내의 유체 온도를 조정함으로써, 기판 홀더(320)의 온도를 조정할 수 있다. 단지 싱글 존 유체 순환 시스템이 도시되어 있지만, 순환 시스템은 멀티 유체 존을 포함할 수도 있다.
또한, 기판 홀더 조립체(300)는 공정 챔버(310) 내에서 기판 홀더(320)의 상면 및 이송면에 대하여 기판(325)을 수직으로 이송하도록 3개 이상의 상승 핀을 상승 및 하강시킬 수 있는 상승 핀 조립체를 갖는 기판 상승 시스템(370)을 포함하고 있다.
상승 핀 조립체에 있어서, 기판 상승 핀(372)은 공통의 상승 핀 요소에 결합될 수 있고, 기판 홀더(320)의 상면 아래로 하강될 수 있다. 예컨대 (전기식 스테퍼 모터 및 나사 형성 로드를 구비한) 전기식 구동 시스템 또는 (에어 실린더를 구비한) 공압식 구동 시스템을 이용한 구동 메커니즘이 공통의 상승 핀 요소를 상승 및 하강시키는 수단을 제공한다. 기판(325)은, 로봇 이송 시스템(도시 생략)을 이용하여, 이송면에 정렬된 게이트 밸브(도시 생략) 및 챔버 관통(feed-through) 통로를 통하여 공정 챔버(310)의 내외로 이송될 수 있고, 기판 상승 핀에 의해 수용될 수 있다. 일단 이송 시스템으로부터 기판(325)을 수용하면, 기판은 기판 상승 핀(372)을 하강시킴으로써 받침대(320)의 상면으로 하강할 수 있다(도 3a 및 도 4a 참조). 또한, 기판(325)은 기판(325)의 가열 중에 기판 홀더(320) 위로 상승될 수도 있다(도 3b 및 도 4b 참조). 기판 상승 핀(372)은, 기판(325)을 기판 상승 핀(372)으로부터 열적으로 분리시키도록 석영 또는 사파이어와 같은 단열 재료로 제조된 핀 캡(374)을 포함할 수도 있다.
또한, 기판 홀더 조립체(300)는 기판의 이면측에 열전달 가스, 또는 퍼지 가스, 또는 양자를 공급하도록 구성된 이면측 가스 공급 시스템(364)을 구비한다. 기판(325)의 화학처리 중에, 이면측 가스 공급 시스템(364)이 기판(325)과 기판 홀더(320) 사이의 열 접촉을 향상시키도록 헬륨과 같은 열전달 가스를 기판(325)의 이면측에 공급하는 상태에서, 기판(325)을 ESC 시스템(380)을 이용하여 기판 홀더(320)에 클램핑할 수 있다(도 3a 및 도 4a 참조). 그 후, 기판 온도 제어 시스템을 사용하여 기판(325)의 온도를 조정할 수 있다. 기판(325)의 열처리 중에, 이면측 가스 공급 시스템(364)이 기판 이면측의 오염을 저감하도록 기판(325)의 이면측에 퍼지 가스 유동(390)을 공급하는 상태에서 기판 상승 시스템(370)을 이용하여 기판(325)을 기판 홀더 위로 상승시킬 수 있다(도 3b 및 도 4b 참조).
기판(325)의 화학처리 중에, 기판(325)은 기판 홀더(320)에 놓이고, 온도는, 기판(325)이 기판(325) 상의 산화물 재료를 화학적으로 개질시키도록 구성된 공정 가스에 노출된 상태에서 약 100℃ 미만의 화학처리 온도로 제어된다. 화학처리 중에, ESC 시스템(380)을 이용하여 기판(325)을 기판 홀더(320)에 클램핑할 수도 있고, 이면측 가스 공급 시스템(364)으로부터 이면측 가스의 유동을 개시하여 기판(325)과 기판 홀더(320) 사이의 열전도 향상에 영향을 끼칠 수 있다(도 3a 및 도 4a 참조).
기판(325)의 화학처리에 이어서, 기판(325) 위의 방사 가열 시스템(330)을 이용하여 기판(325)의 온도를 상승시켜 화학적으로 개질된 산화물 재료를 탈착시킨 다. 기판(325)의 열처리 중에, 기판 상승 시스템(370)을 이용하여, 기판(325)을 기판 홀더(320)로부터 실질적으로 열적으로 분리시키기에 충분한 거리만큼 기판(325)을 기판 홀더(320) 위로 상승시키고, 기판 홀더(320)로부터 변위시킬 수 있다. 또한, 가열 중에 다른 챔버 요소가 방사 가열 시스템(330)에 노출되는 크기를 감소시키도록 기판(325)을 방사 가열 시스템(330)에 매우 근접하게 상승시킬 수도 있다. 바람직하게는, 다른 챔버 요소가 가열되지 않는 상태에서, 기판(325)을 가열한다. 또한, 기판(325)을 기판 홀더(320) 위로 상승시키면, 이면측 가스 공급 시스템(364)으로부터의 퍼지 가스의 선택적인 유동이 실행되어 탈착 공정 중에 기판(325)의 이면측의 오염을 저감할 수 있다(도 3b 및 도 4b 참조).
또한, 도 4a 및 도 4b를 참조하면, 기판(325)의 가열 중에 다른 챔버 요소의 가열을 줄이기 위하여 방사선 실드(332)를 활용할 수 있다. 기판(325)을 예컨대 방사선 실드(332)의 바닥에 매우 근접하게 상승시킬 수 있다. 방사선 실드(332)는 가열 중에 기판(325)으로부터 발생하는 기상 재료의 통과를 허용하도록 하나 이상의 개구(334)를 구비할 수도 있다. 또한, 기판(325)의 열처리 중에, 방사선 실드(332), 기판(325) 및 방사 가열 시스템(330)으로 둘러싸인 공간에 불활성 가스(예컨대 희가스, N2 등)와 같은 퍼지 가스를 도입할 수 있다. 또한, 방사선 실드는 공정 챔버(310)의 상부에 결합될 수도 있다. 방사선 실드는 예컨대, 베어 메탈 실드(bare metal shield) 또는 세라믹 실드일 수도 있고, 양극 처리된 메탈 실드 또는 피복 메탈 실드일 수도 있다.
다시 도 2를 참조하면, 진공 펌핑 시스템(250)은 챔버 압력을 조절하기 위한 게이트 밸브 및 진공 펌프를 포함할 수 있다. 진공 펌핑 시스템(250)은 예컨대 약 5000 리터/초( 및 그 이상)에 이르는 펌핑 속도가 가능한 터보 분자 진공 펌프(TMP)를 포함할 수 있다. 예컨대, TMP는 Seiko STP-A803 진공 펌프일 수도 있고, Ebara ET 1301W 진공 펌프일 수도 있다. TMP는 통상적으로 약 50 mTorr 미만의 저압 처리에 유용하다. 고압(즉, 약 100 mTorr 초과) 처리 또는 처리량이 적은 처리(즉, 가스 유동이 없는 처리)의 경우에는, 기계식 부스터 펌프 및 건식 러핑 펌프를 사용할 수 있다.
여전히 도 2를 참조하면, 처리 시스템(200)은 컨트롤러(270)를 더 포함할 수 있고, 이 컨트롤러는 마이크로프로세서, 메모리 및 디지털 I/O 포트를 구비하며, 이 I/O 포트는, 처리 시스템(200)과 통신하여, 온도 및 압력 검출 장치와 같은 처리 시스템(200)으로부터의 출력을 모니터할 뿐 아니라, 처리 시스템으로의 입력을 작동시키기에 충분한 제어 전압을 발생시킬 수 있다. 또한, 컨트롤러(270)는 기판 홀더(220), 화학적 분배 시스템(240), 가스 공급 시스템(242), 방사 가열 시스템(230), 진공 펌핑 시스템(250), 기판 온도 제어 시스템(260), 기판 상승 시스템(262) 및 이면측 가스 공급 시스템(264)에 결합되어, 이들 시스템과 정보를 교환할 수 있다. 예컨대, 메모리에 저장된 프로그램을 활용하여, 공정 레시피에 따라 처리 시스템(200)의 전술한 요소로의 입력을 작동시킬 수 있다. 컨트롤러(270)의 하나의 예로는, 미국 텍사스주 오스틴에 소재하는 Dell Corporation에서 판매하는 DELL PRECISION WORKSTATION 610TM이 있다.
컨트롤러(270)는, 컴퓨터 판독 가능한 매체에 저장된 하나 이상의 명령어의 하나 이상의 시퀀스를 실행시키는 컨트롤러(270)에 응답하여 기판 처리 장치로 하여금 본 발명의 처리 단계의 일부 또는 전부를 실행하도록 하는 범용 컴퓨터, 프로세서, 디지털 신호 프로세서 등으로서 구현될 수도 있다. 본 발명의 교시에 따라 프로그램된 명령어를 탑재하고 데이터 구조, 테이블, 레코드, 또는 기타 데이터를 탑재하는 컴퓨터 판독 가능한 매체 또는 메모리를 설명한다. 컴퓨터 판독 가능한 매체의 예로는, 콤팩트디스크, 하드디스크, 플로피디스크, 테이프, 광-자기 디스크, PROMs(EPROM, EEPROM, 플래시 EPROM), DRAM, SRAM, SDRAM, 또는 임의의 다른 자기 매체, 콤팩트디스크(예컨대, CD-ROM), 임의의 다른 광학 매체, 펀치 카드, 종이 테이프, 또는 구멍의 패턴을 갖는 다른 물리 매체, 반송파 또는 컴퓨터가 판독할 수 있는 임의의 다른 매체를 들 수 있다.
컨트롤러(270)는 처리 시스템(200)에 대하여 근거리에 위치될 수도 있고, 인터넷 또는 인트라넷을 통하여 처리 시스템(200)에 대하여 원거리에 위치될 수도 있다. 이에 따라, 컨트롤러(270)는, 직접 접속, 인트라넷 및 인터넷 중 적어도 하나를 이용하여 처리 시스템(200)과 데이터를 교환할 수 있다. 컨트롤러(270)는 커스토모 사이트(즉, 디바이스 메이커 등)의 인트라넷에 결합될 수도 있고, 벤더 사이트(즉, 장비 제작자)의 인트라넷에 결합될 수도 있다. 또한, 다른 컴퓨터(즉, 컨트롤러, 서버 등)가 컨트롤러(270)에 액세스하여 직접 접속, 인트라넷 및 인터넷 중 적어도 하나를 통하여 데이터를 교환할 수 있다.
이제 도 5를 참조하면, 일 실시예에 따라 기판의 건식 비플라즈마 처리를 실행하는 방법이 도시되어 있다. 처리 공정은 예컨대 기판 상의 산화물 재료를 제거하는 공정을 포함할 수 있다. 건식 비플라즈마 처리 공정은, 산화물 재료가 있는 기판의 노출면을, 초기 성분으로서 HF, 또는 암모니아(NH3), 또는 HF와 NH3 모두를 포함하는 공정 가스에 의해 화학적으로 처리하는 화학처리 공정을 포함한다. 초기의 HF 및/또는 NH3에 노출되면, 산화규소(또는 SiOx)와 같은 산화물 재료를 제거할 수 있고, 및/또는 그러한 재료를 화학적으로 처리된 재료로 치환함으로써 산화물 재료를 소모할 수 있다. 공정 재료에 대한 노출이 진행됨에 따라 산화물 재료의 제거 및/또는 화학적 개질의 감소율로부터 자체-제한 피처(self-limiting feature)가 발생된다.
화학처리 공정에 이어서, 화학적으로 개질된 표면층을 제거하기 위하여 탈착 공정을 실시한다. 화학처리 공정의 자체-제한 피처로 인하여, 비플라즈마 에칭과 후속 탈착 공정을 번갈아 실시하는 것이 바람직할 수 있는데, 이로써 제거 공정을 정밀하게 제어할 수 있다. 탈착 공정은, 기판의 온도가 화학적으로 개질된 표면층을 휘발시킬 수 있게 충분히 높게 상승하는 열처리 공정을 포함할 수 있다.
이 방법은 화학처리 공정과 탈착 공정을 용이하게 하도록 구성된 처리 시스템 내에 기판을 배치하는 단계 510에서 시작하는 흐름도(500)를 포함한다. 예컨대, 처리 시스템은 도 1 또는 도 2에 도시된 시스템 중 하나를 포함한다.
단계 520에서, 기판 상의 산화물 재료를 화학적으로 처리한다. 건식 비플라즈마 처리의 화학처리 공정 중에, 공정 가스의 각 성분은 함께(즉, 혼합되어) 도입될 수도 있고, 서로 개별적으로 도입(즉, HF가 NH3와 독립적으로 도입)될 수도 있다. 또한, 공정 가스는 희가스(즉, 아르곤)와 같은 불활성 가스를 더 포함할 수 있다. 불활성 가스는 HF와 NH3 중 어느 하나와 함께 도입될 수도 있고, 전술한 기체 성분 각각과 독립적으로 도입될 수도 있다. 이산화규소의 제거를 제어하기 위하여 NH3와 함께 희가스를 도입하는 것과 관련한 추가의 상세 사항은, 발명의 명칭이 "Processing System and Method For treating a Substrate"인 계류 중의 미국 특허 출원 제10/812,347호에 기재되어 있고, 이 특허 출원의 전체 내용은 본원 명세서에 전체적으로 참고로 인용된다.
또한, 화학처리 공정 중에, 제거되는 산화물 재료의 양에 영향을 끼치는 공정 압력을 선택할 수 있다. 공정 압력은 약 1 mTorr 내지 약 100 Torr의 범위일 수 있다. 또한, 화학처리 공정 중에, 제거되는 산화물 재료의 양에 영향을 끼치는 기판 온도를 선택할 수 있다. 기판 온도는 약 10℃ 내지 약 200℃의 범위일 수도 있고, 기판 온도는 100℃ 미만일 수도 있다. 예컨대, 온도는 약 10℃ 내지 50℃의 범위일 수 있다. 제거량을 제어하기 위하여 기판 온도를 설정하는 것과 관련한 추가의 상세 사항은, 발명의 명칭이 "Method and System For Performing a Chemical Oxide Removal Process"인 계류 중의 미국 특허 출원 제10/817,417호에 기재되어 있고, 이 특허 출원의 전체 내용은 본원 명세서에 전체적으로 참고로 인용된다.
단계 530에서, 기판 상의 화학적으로 개질된 산화물 재료를 열처리한다. 열처리 공정 중에, 기판 온도는 약 50℃를 초과하여 상승될 수도 있고, 약 100℃를 초과하여 상승될 수도 있다. 또한, 기판의 열처리 중에 불활성 가스를 도입할 수도 있다. 불활성 가스는 희가스 또는 질소를 포함할 수 있다.
또한, 기판의 화학처리 및 열처리 중에, 공정 챔버는 약 10℃ 내지 약 450℃의 범위의 온도를 위하여 구성될 수 있다. 대안으로, 챔버 온도는 약 30℃ 내지 약 60℃의 범위일 수 있다. 기판의 온도는 약 10℃ 내지 약 450℃의 범위일 수 있다. 대안으로, 기판 온도는 약 30℃ 내지 약 60℃의 범위일 수 있다.
일례에 있어서, 화학적 산화물 제거 공정을 이용하여 기판 상의 자연 산화물 막과 같은 산화물 막의 일부 또는 전부를 제거한다. 다른 예로서, 화학적 산화물 제거 공정을 이용하여 기판 상의 산화물 하드 마스크와 같은 산화물 막의 일부 또는 전부를 트리밍한다. 산화물 막은 예컨대 이산화규소(SiO2), 보다 일반적으로 SiOx를 포함할 수 있다. 다른 예로서, 기판 상의 SiOx 함유 잔류물의 일부 또는 전부를 제거한다.
이상, 본 발명의 특정의 예시적인 실시예만을 상세하게 설명하였지만, 당업자는 이러한 발명의 신규한 교시 내용 및 이점으로부터 실질적으로 벗어나지 않으면서 실시예에 있어서 많은 변형이 가능하다는 것을 쉽게 이해할 수 있다. 따라서 이러한 모든 변형예는 본 발명의 범위 내에 포함되는 것으로 한다.

Claims (24)

  1. 기판 상의 재료를 제거하기 위한 처리 시스템으로서,
    공정 공간의 기판을 화학적 및 열적으로 처리하도록 구성되고, 상기 공정 공간의 비플라즈마 환경을 가능케 하도록 구성된 온도 제어된 공정 챔버와,
    상기 온도 제어된 공정 챔버의 하부 내에 장착되고, 상기 공정 공간 내의 상기 기판을 지지하도록 구성된 온도 제어된 기판 홀더로서, 상기 기판이 상기 온도 제어된 기판 홀더의 상부면 상에 놓여 있을 때 상기 기판의 온도를 제어하도록 구성되는 상기 온도 제어된 기판 홀더와,
    상기 온도 제어된 공정 챔버에 결합되고 상기 공정 공간을 배기시키도록 구성된 진공 펌핑 시스템과,
    상기 온도 제어된 공정 챔버에 결합되고, 공정 가스를 상기 온도 제어된 공정 챔버의 상기 공정 공간에 도입하도록 구성된 화학처리 시스템으로서, 상기 공정 가스는 상기 기판 상의 노출된 표면층을 화학적으로 개질시키는 것인 상기 화학처리 시스템과,
    상기 온도 제어된 기판 홀더로부터 떨어져 있고, 상기 온도 제어된 공정 챔버와 결합되며, 상기 온도 제어된 공정 챔버의 상기 공정 공간 내의 상기 기판의 온도를 상승시키도록 구성된 열처리 시스템으로서, 그 상승된 온도에 의해 상기 화학적으로 개질된 표면층의 증발을 가능하게 하는 상기 열처리 시스템과,
    상기 온도 제어된 기판 홀더, 상기 화학처리 시스템, 및 상기 열처리 시스템에 결합되고, 상기 기판에 도입된 상기 공정 가스의 양 및 상기 기판에 설정된 온도를 제어하도록 구성되는 컨트롤러
    를 포함하는 재료 제거 처리 시스템.
  2. 제1항에 있어서, 상기 열처리 시스템은 하나 이상의 방사 가열 램프(heat lamp)를 포함하는 것인 재료 제거 처리 시스템.
  3. 제1항에 있어서,
    상기 온도 제어된 기판 홀더에 결합되고, 상기 열처리 시스템을 이용하여 상기 기판을 가열하는 경우, 상기 온도 제어된 기판 홀더의 상기 상부면 및, 상기 온도 제어된 기판 홀더의 상기 상부면 상에 위치한 가열면에 대하여 상기 기판을 수직으로 이송하도록 구성된 기판 상승 시스템을 더 포함하는 재료 제거 처리 시스템.
  4. 제3항에 있어서,
    상기 온도 제어된 기판 홀더에 결합되고, 상기 기판을 상기 온도 제어된 기판 홀더의 상부면 위로 상승시킬 때에 상기 기판의 이면측에 퍼지 가스를 공급하여 상기 기판의 이면측의 오염을 저감하도록 구성된 이면측 가스 공급 시스템을 더 포함하는 재료 제거 처리 시스템.
  5. 제3항에 있어서,
    상기 온도 제어된 공정 챔버에 결합되고, 상기 열처리 시스템의 주변 단부를 둘러싸도록 구성된 방사선 실드로서, 상기 방사선 실드, 상기 열처리 시스템 및 상승된 위치의 상기 기판이 폐쇄 공간을 형성하는 방사선 실드를 더 포함하고,
    상기 열처리 시스템은 상기 기판 위에 배치된 하나 이상의 방사 가열 램프를 포함하는 것인 재료 제거 처리 시스템.
  6. 제5항에 있어서, 상기 방사선 실드는 가스의 통과를 허용하도록 하나 이상의 관통 개구를 구비하는 것인 재료 제거 처리 시스템.
  7. 제1항에 있어서, 상기 화학처리 시스템은 상기 공정 가스와 함께 캐리어 가스를 공급하도록 더 구성되는 것인 재료 제거 처리 시스템.
  8. 제7항에 있어서, 상기 캐리어 가스는 불활성 가스를 포함하는 것인 재료 제거 처리 시스템.
  9. 제1항에 있어서, 상기 공정 가스는 초기 성분으로서의 HF와 암모니아(NH3)를 포함하고, 상기 HF는 상기 암모니아와 독립적으로 도입되는 것인 재료 제거 처리 시스템.
  10. 제9항에 있어서, 상기 HF는 아르곤과 함께 도입되는 것인 재료 제거 처리 시스템.
  11. 제9항에 있어서, 상기 암모니아는 아르곤과 함께 도입되는 것인 재료 제거 처리 시스템.
  12. 제1항에 있어서, 상기 열처리 시스템은 멀티 존 램프 가열 시스템을 포함하는 것인 재료 제거 처리 시스템.
  13. 제1항에 있어서, 상기 컨트롤러는,
    상기 기판을 화학적으로 처리하고, 그 화학처리에 후속하여 상기 온도 제어된 공정 챔버 내에서 기판을 열적으로도 처리하도록 상기 처리 시스템을 동작시키도록 구성되고,
    상기 화학처리와 상기 열처리 동안, 상기 컨트롤러는 상기 온도 제어된 공정 챔버 내에서의 상기 기판의 온도 또는 상기 공정 가스의 양의 모니터, 조절, 또는 제어, 또는 이들의 조합을 행하도록 구성되는 것인 재료 제거 처리 시스템.
  14. 제1항에 있어서, 상기 기판은 산화물 막을 포함하고, 상기 기판 상의 상기 산화물 막은 이산화규소(SiO2)를 포함하는 것인 재료 제거 처리 시스템.
  15. 제1항에 있어서, 상기 화학처리 시스템은 상기 온도 제어된 공정 챔버 내의 멀티 존으로의 상기 공정 가스의 유동을 조정하도록 구성된 멀티 존 유체 분배 시스템을 포함하는 것인 재료 제거 처리 시스템.
  16. 기판 상의 재료를 제거하는 제거 방법으로서,
    기판을 온도 제어된 공정 챔버 내에 장착된 온도 제어된 기판 홀더 상에 배치하는 배치 단계로서, 상기 온도 제어된 공정 챔버는, 공정 공간 내의 기판을 화학적 및 열적으로 처리하도록 구성되고 상기 공정 공간 내에서 비플라즈마 환경을 가능하게 하도록 구성되며, 상기 온도 제어된 기판 홀더는 상기 공정 공간의 상기 기판을 지지하도록 구성되며, 상기 기판이 상기 온도 제어된 기판 홀더의 상부면 상에 놓여 있는 경우에 상기 기판의 온도를 제어하도록 구성되는 것인 상기 배치 단계와,
    상기 온도 제어된 공정 챔버에 결합되는 펌핑 시스템을 사용하여 상기 온도 제어된 공정 챔버의 상기 공정 공간을 배기하는 단계와,
    상기 공정 공간에서 공정 가스 조성물에 상기 기판을 노출시킴으로써 상기 온도 제어된 공정 챔버에 결합된 화학처리 시스템을 사용하여 상기 기판을 화학처리하는 화학처리 단계로서, 상기 공정 가스 조성물은 상기 기판 상의 노출된 표면층을 화학적으로 개질하도록 선택되는 것인 상기 화학처리 단계와,
    상기 화학처리 단계에 이어서, 열처리 시스템을 사용하여 상기 화학적으로 개질된 표면층을 증발시키기에 충분한 온도로 상기 공정 공간의 상기 기판을 가열함으로써 상기 기판을 열처리하는 열처리 단계로서, 상기 열처리 시스템은 상기 온도 제어된 기판 홀더로부터 떨어져 있고 상기 온도 제어된 공정 챔버에 결합되는 것인 상기 열처리 단계
    를 포함하는 재료 제거 방법.
  17. 제16항에 있어서, 상기 화학처리 단계는 초기 성분으로서의 HF와 암모니아(NH3)를 포함하는 공정 가스를 도입하는 도입 단계를 포함하고, 상기 HF와 상기 암모니아는 서로 독립적으로 도입되는 것인 재료 제거 방법.
  18. 제17항에 있어서, 상기 공정 가스를 도입하는 상기 도입 단계는 상기 암모니아와 함께 불활성 가스를 도입하는 도입 단계를 포함하는 것인 재료 제거 방법.
  19. 제16항에 있어서, 상기 기판을 열처리하는 열처리 단계는 상기 기판의 온도를 100℃를 초과하여 상승시키는 단계를 포함하는 것인 재료 제거 방법.
  20. 제16항에 있어서,
    상기 기판을 열처리하는 열처리 단계 이전에, 상기 기판을 상기 기판 홀더와 열적으로 접촉하고 있는 상태로부터 상승 위치로 변위시키는 단계를 더 포함하는 재료 제거 방법.
  21. 제20항에 있어서,
    상기 열처리 시스템의 주변 단부를 둘러싸도록 구성되는 방사선 실드를 상기 온도 제어된 공정 챔버 내에 배치하는 배치 단계로서, 상기 열처리 시스템은 방사 가열 시스템을 포함하는 것인 상기 배치 단계와,
    상기 방사 가열 시스템, 상기 방사선 실드 및 상기 상승 위치의 상기 기판에 의해 구획되는 폐쇄 공간을 형성하는 형성 단계
    를 더 포함하는 재료 제거 방법.
  22. 제20항에 있어서, 상기 기판을 열처리하는 열처리 단계 중에, 상기 기판의 이면측으로 오염물이 이동하는 것을 저감하기 위하여 상기 기판과 상기 온도 제어된 기판 홀더 사이에서 상기 기판의 이면측에 퍼지 가스를 도입하는 도입 단계를 더 포함하는 재료 제거 방법.
  23. 제22항에 있어서, 상기 퍼지 가스를 도입하는 상기 도입 단계는 불활성 가스를 도입하는 도입 단계를 포함하는 것인 재료 제거 방법.
  24. 기판 처리 시스템에 의한 실행 시에, 기판 처리 시스템으로 하여금,
    기판을 온도 제어된 공정 챔버 내에 장착된 온도 제어된 기판 홀더 상에 배치하는 배치 단계로서, 상기 온도 제어된 공정 챔버는, 공정 공간 내의 기판을 화학적 및 열적으로 처리하도록 구성되고 상기 공정 공간 내에서 비플라즈마 환경을 가능하게 하도록 구성되며, 상기 온도 제어된 기판 홀더는 상기 공정 공간의 상기 기판을 지지하도록 구성되며, 상기 기판이 상기 온도 제어된 기판 홀더의 상부면 상에 놓여 있는 경우에 상기 기판의 온도를 제어하도록 구성되는 것인 상기 배치 단계와,
    상기 온도 제어된 공정 챔버에 결합되는 펌핑 시스템을 사용하여 상기 온도 제어된 공정 챔버의 상기 공정 공간을 배기하는 단계와,
    상기 공정 공간에서 공정 가스 조성물에 상기 기판을 노출시킴으로써 상기 온도 제어된 공정 챔버에 결합된 화학처리 시스템을 사용하여 상기 기판을 화학처리하는 화학처리 단계로서, 상기 공정 가스 조성물은 상기 기판 상의 노출된 표면층을 화학적으로 개질하도록 선택되는 것인 상기 화학처리 단계와,
    상기 화학처리 단계에 이어서, 열처리 시스템을 사용하여 상기 화학적으로 개질된 표면층을 증발시키기에 충분한 온도로 상기 공정 공간의 상기 기판을 가열함으로써 상기 기판을 열처리하는 열처리 단계로서, 상기 열처리 시스템은 상기 온도 제어된 기판 홀더로부터 떨어져 있고 상기 온도 제어된 공정 챔버에 결합되는 것인 상기 열처리 단계
    를 실행하도록 하는, 기판 처리 시스템 상에서의 실행을 위한 프로그램 명령어를 포함하는 컴퓨터 판독 가능한 매체.
KR1020097001431A 2006-06-22 2007-04-26 산화물 재료 제거 처리 시스템과 방법, 및 컴퓨터 판독 가능한 매체 KR101375966B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/425,883 US7718032B2 (en) 2006-06-22 2006-06-22 Dry non-plasma treatment system and method of using
US11/425,883 2006-06-22
PCT/US2007/067479 WO2007149627A2 (en) 2006-06-22 2007-04-26 A dry non-plasma treatment system and method of using

Publications (2)

Publication Number Publication Date
KR20090023503A KR20090023503A (ko) 2009-03-04
KR101375966B1 true KR101375966B1 (ko) 2014-03-18

Family

ID=38834188

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020097001431A KR101375966B1 (ko) 2006-06-22 2007-04-26 산화물 재료 제거 처리 시스템과 방법, 및 컴퓨터 판독 가능한 매체

Country Status (5)

Country Link
US (4) US7718032B2 (ko)
JP (2) JP5528106B2 (ko)
KR (1) KR101375966B1 (ko)
CN (2) CN101473419B (ko)
WO (1) WO2007149627A2 (ko)

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7795148B2 (en) * 2006-03-28 2010-09-14 Tokyo Electron Limited Method for removing damaged dielectric material
US7718032B2 (en) * 2006-06-22 2010-05-18 Tokyo Electron Limited Dry non-plasma treatment system and method of using
JP4939864B2 (ja) * 2006-07-25 2012-05-30 東京エレクトロン株式会社 ガス供給装置、ガス供給方法、薄膜形成装置の洗浄方法、薄膜形成方法及び薄膜形成装置
JP4776575B2 (ja) * 2007-03-28 2011-09-21 株式会社東芝 表面処理方法、エッチング処理方法および電子デバイスの製造方法
US20090035916A1 (en) * 2007-08-03 2009-02-05 Kim Jung Nam Method for manufacturing semiconductor device having fin gate
US8323410B2 (en) * 2008-07-31 2012-12-04 Tokyo Electron Limited High throughput chemical treatment system and method of operating
US8303715B2 (en) * 2008-07-31 2012-11-06 Tokyo Electron Limited High throughput thermal treatment system and method of operating
JP5356522B2 (ja) * 2008-07-31 2013-12-04 東京エレクトロン株式会社 化学処理及び熱処理用高スループット処理システム及びその動作方法
US8303716B2 (en) * 2008-07-31 2012-11-06 Tokyo Electron Limited High throughput processing system for chemical treatment and thermal treatment and method of operating
US8115140B2 (en) * 2008-07-31 2012-02-14 Tokyo Electron Limited Heater assembly for high throughput chemical treatment system
US8287688B2 (en) * 2008-07-31 2012-10-16 Tokyo Electron Limited Substrate support for high throughput chemical treatment system
JP2012089805A (ja) * 2010-10-22 2012-05-10 Toshiba Corp エッチング装置およびエッチング方法
KR101946296B1 (ko) * 2011-11-28 2019-04-26 삼성전자 주식회사 반도체 장치의 제조 방법
JP5964626B2 (ja) * 2012-03-22 2016-08-03 株式会社Screenホールディングス 熱処理装置
WO2013175872A1 (ja) * 2012-05-23 2013-11-28 東京エレクトロン株式会社 ガス処理方法
JP6435667B2 (ja) * 2014-07-01 2018-12-12 東京エレクトロン株式会社 エッチング方法、エッチング装置及び記憶媒体
US10373850B2 (en) * 2015-03-11 2019-08-06 Asm Ip Holding B.V. Pre-clean chamber and process with substrate tray for changing substrate temperature
KR102485541B1 (ko) 2015-06-26 2023-01-05 도쿄엘렉트론가부시키가이샤 다른 필름 또는 마스크에 대한 실리콘-함유 반사 방지 코팅 또는 실리콘 산질화물의 제어 가능한 식각 선택비에 따른 기상 식각
CN107851559B (zh) * 2015-06-26 2022-04-26 东京毅力科创株式会社 气相蚀刻***和方法
CN114879456A (zh) * 2015-12-25 2022-08-09 中微半导体设备(上海)股份有限公司 一种晶片处理***
WO2017139391A1 (en) * 2016-02-08 2017-08-17 Mtpv Power Corporation Radiative micron-gap thermophotovoltaic system transparent emitter
US10460977B2 (en) * 2016-09-29 2019-10-29 Lam Research Corporation Lift pin holder with spring retention for substrate processing systems
JP6796559B2 (ja) * 2017-07-06 2020-12-09 東京エレクトロン株式会社 エッチング方法および残渣除去方法
EP3450809A1 (de) * 2017-08-31 2019-03-06 VAT Holding AG Verstellvorrichtung mit spannzangenkupplung für den vakuumbereich
JP7018801B2 (ja) * 2018-03-29 2022-02-14 東京エレクトロン株式会社 プラズマ処理装置、及び被処理体の搬送方法
CN110942987A (zh) * 2018-09-21 2020-03-31 长鑫存储技术有限公司 一种半导体结构的形成方法
WO2021040823A1 (en) 2019-08-23 2021-03-04 Tokyo Electron Limited Non-plasma etch of titanium-containing material layers with tunable selectivity to alternate metals and dielectrics
KR20220028445A (ko) 2020-08-28 2022-03-08 삼성전자주식회사 웨이퍼 처리 장치 및 이를 이용한 웨이퍼 처리 방법
CN111933566A (zh) * 2020-09-24 2020-11-13 晶芯成(北京)科技有限公司 浅沟槽隔离结构的形成方法
CN112992759B (zh) * 2020-10-16 2022-04-19 重庆康佳光电技术研究院有限公司 一种器件转移设备及其制备方法、器件转移方法
CN114904692B (zh) * 2022-05-27 2023-07-28 苏州光宝科技股份有限公司 一种带有自辨别自检测效果的高精度晶圆喷涂设备

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050269291A1 (en) * 2004-06-04 2005-12-08 Tokyo Electron Limited Method of operating a processing system for treating a substrate

Family Cites Families (66)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3175576D1 (en) 1980-12-11 1986-12-11 Toshiba Kk Dry etching device and method
KR900002143B1 (ko) 1985-03-29 1990-04-02 미쯔비시 덴끼 가부시기가이샤 덕트식 멀티조온 공조시스템
JPH0834205B2 (ja) 1986-11-21 1996-03-29 株式会社東芝 ドライエツチング装置
DE3856483T2 (de) * 1987-03-18 2002-04-18 Kabushiki Kaisha Toshiba, Kawasaki Verfahren zur Herstellung von Dünnschichten
US5030319A (en) * 1988-12-27 1991-07-09 Kabushiki Kaisha Toshiba Method of oxide etching with condensed plasma reaction product
TW204411B (ko) 1991-06-05 1993-04-21 Tokyo Electron Co Ltd
US5273588A (en) 1992-06-15 1993-12-28 Materials Research Corporation Semiconductor wafer processing CVD reactor apparatus comprising contoured electrode gas directing means
JPH0610144A (ja) * 1992-06-29 1994-01-18 Matsushita Electric Ind Co Ltd 低蒸気圧材料供給装置
US5282925A (en) 1992-11-09 1994-02-01 International Business Machines Corporation Device and method for accurate etching and removal of thin film
JPH0799162A (ja) * 1993-06-21 1995-04-11 Hitachi Ltd Cvdリアクタ装置
US5622639A (en) 1993-07-29 1997-04-22 Tokyo Electron Kabushiki Kaisha Heat treating apparatus
JPH0786174A (ja) 1993-09-16 1995-03-31 Tokyo Electron Ltd 成膜装置
KR960002534A (ko) 1994-06-07 1996-01-26 이노우에 아키라 감압·상압 처리장치
US5928427A (en) * 1994-12-16 1999-07-27 Hwang; Chul-Ju Apparatus for low pressure chemical vapor deposition
US5733426A (en) 1995-05-23 1998-03-31 Advanced Micro Devices, Inc. Semiconductor wafer clamp device and method
US5822925A (en) * 1995-11-07 1998-10-20 Maytag Corporation Hook-mounted hinge mechanism for oven doors
US5758324A (en) * 1995-12-15 1998-05-26 Hartman; Richard L. Resume storage and retrieval system
US5802856A (en) 1996-07-31 1998-09-08 Stanford University Multizone bake/chill thermal cycling module
US5838055A (en) 1997-05-29 1998-11-17 International Business Machines Corporation Trench sidewall patterned by vapor phase etching
US6074951A (en) 1997-05-29 2000-06-13 International Business Machines Corporation Vapor phase etching of oxide masked by resist or masking material
US5876879A (en) 1997-05-29 1999-03-02 International Business Machines Corporation Oxide layer patterned by vapor phase etching
US6258170B1 (en) 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
US6527865B1 (en) 1997-09-11 2003-03-04 Applied Materials, Inc. Temperature controlled gas feedthrough
JP4124543B2 (ja) * 1998-11-11 2008-07-23 東京エレクトロン株式会社 表面処理方法及びその装置
US6383300B1 (en) 1998-11-27 2002-05-07 Tokyo Electron Ltd. Heat treatment apparatus and cleaning method of the same
US20020011216A1 (en) 1999-06-04 2002-01-31 Tue Nguyen Integral susceptor-wall reactor system and method
WO2001070517A1 (en) 2000-03-20 2001-09-27 Tokyo Electron Limited High speed stripping for damaged photoresist
KR100338768B1 (ko) * 1999-10-25 2002-05-30 윤종용 산화막 제거방법 및 산화막 제거를 위한 반도체 제조 장치
US6284006B1 (en) 1999-11-15 2001-09-04 Fsi International, Inc. Processing apparatus for microelectronic devices in which polymeric bellows are used to help accomplish substrate transport inside of the apparatus
US20010016226A1 (en) 1999-12-15 2001-08-23 International Business Machines Corporation Method for preparing the surface of a dielectric
JP4808889B2 (ja) 2000-01-05 2011-11-02 東京エレクトロン株式会社 透過分光を用いるウェハ帯域エッジの測定方法、及びウェハの温度均一性を制御するためのプロセス
US6245619B1 (en) 2000-01-21 2001-06-12 International Business Machines Corporation Disposable-spacer damascene-gate process for SUB 0.05 μm MOS devices
EP1124252A2 (en) 2000-02-10 2001-08-16 Applied Materials, Inc. Apparatus and process for processing substrates
US6271094B1 (en) 2000-02-14 2001-08-07 International Business Machines Corporation Method of making MOSFET with high dielectric constant gate insulator and minimum overlap capacitance
US6335261B1 (en) 2000-05-31 2002-01-01 International Business Machines Corporation Directional CVD process with optimized etchback
WO2002020864A2 (en) 2000-06-16 2002-03-14 Applied Materials, Inc. System and method for depositing high dielectric constant materials and compatible conductive materials
JP4672113B2 (ja) 2000-07-07 2011-04-20 東京エレクトロン株式会社 誘導結合プラズマ処理装置
US6936134B2 (en) 2000-11-14 2005-08-30 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
US6926843B2 (en) 2000-11-30 2005-08-09 International Business Machines Corporation Etching of hard masks
CN1322556C (zh) * 2001-02-15 2007-06-20 东京毅力科创株式会社 被处理件的处理方法及处理装置
US20020195201A1 (en) 2001-06-25 2002-12-26 Emanuel Beer Apparatus and method for thermally isolating a heat chamber
US6818493B2 (en) * 2001-07-26 2004-11-16 Motorola, Inc. Selective metal oxide removal performed in a reaction chamber in the absence of RF activation
US6540556B1 (en) * 2001-12-17 2003-04-01 Speed Tech Corp. Electric connector
JP2003282530A (ja) * 2002-03-26 2003-10-03 Hitachi Kokusai Electric Inc 基板処理装置、及び半導体装置の製造方法
US20050142885A1 (en) * 2002-08-30 2005-06-30 Tokyo Electron Limited Method of etching and etching apparatus
US20040050326A1 (en) * 2002-09-12 2004-03-18 Thilderkvist Karin Anna Lena Apparatus and method for automatically controlling gas flow in a substrate processing system
JP2004221155A (ja) * 2003-01-10 2004-08-05 Tokyo Electron Ltd 酸化膜の除去方法、加熱方法、及び処理装置
US7029536B2 (en) 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate
US20040182315A1 (en) 2003-03-17 2004-09-23 Tokyo Electron Limited Reduced maintenance chemical oxide removal (COR) processing system
US6951821B2 (en) 2003-03-17 2005-10-04 Tokyo Electron Limited Processing system and method for chemically treating a substrate
US7079760B2 (en) 2003-03-17 2006-07-18 Tokyo Electron Limited Processing system and method for thermally treating a substrate
US7877161B2 (en) 2003-03-17 2011-01-25 Tokyo Electron Limited Method and system for performing a chemical oxide removal process
KR100498494B1 (ko) * 2003-04-08 2005-07-01 삼성전자주식회사 회전 이동 방식의 원격 플라즈마 강화 세정 장치
KR101046523B1 (ko) 2003-04-22 2011-07-04 도쿄엘렉트론가부시키가이샤 케미컬 산화막의 제거 방법
US20050227494A1 (en) 2004-03-30 2005-10-13 Tokyo Electron Limited Processing system and method for treating a substrate
US20050218113A1 (en) 2004-03-30 2005-10-06 Tokyo Electron Limited Method and system for adjusting a chemical oxide removal process using partial pressure
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7049612B2 (en) * 2004-03-02 2006-05-23 Applied Materials Electron beam treatment apparatus
US20050211264A1 (en) 2004-03-25 2005-09-29 Tokyo Electron Limited Of Tbs Broadcast Center Method and processing system for plasma-enhanced cleaning of system components
US20050218114A1 (en) 2004-03-30 2005-10-06 Tokyo Electron Limited Method and system for performing a chemical oxide removal process
US7651583B2 (en) 2004-06-04 2010-01-26 Tokyo Electron Limited Processing system and method for treating a substrate
US7344983B2 (en) * 2005-03-18 2008-03-18 International Business Machines Corporation Clustered surface preparation for silicide and metal contacts
JP2005303329A (ja) * 2005-06-23 2005-10-27 Hitachi Ltd プラズマエッチング装置
US20070238301A1 (en) 2006-03-28 2007-10-11 Cabral Stephen H Batch processing system and method for performing chemical oxide removal
JP2007266455A (ja) * 2006-03-29 2007-10-11 Tokyo Electron Ltd 基板処理装置、基板処理方法及び記憶媒体
US7718032B2 (en) * 2006-06-22 2010-05-18 Tokyo Electron Limited Dry non-plasma treatment system and method of using

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050269291A1 (en) * 2004-06-04 2005-12-08 Tokyo Electron Limited Method of operating a processing system for treating a substrate

Also Published As

Publication number Publication date
US20140360979A1 (en) 2014-12-11
JP5528106B2 (ja) 2014-06-25
US11745202B2 (en) 2023-09-05
WO2007149627A2 (en) 2007-12-27
US8828185B2 (en) 2014-09-09
CN102176408B (zh) 2013-05-08
WO2007149627A3 (en) 2008-09-18
CN101473419A (zh) 2009-07-01
US20100237046A1 (en) 2010-09-23
JP2013141013A (ja) 2013-07-18
CN101473419B (zh) 2011-05-25
US7718032B2 (en) 2010-05-18
US20070298972A1 (en) 2007-12-27
KR20090023503A (ko) 2009-03-04
CN102176408A (zh) 2011-09-07
US9115429B2 (en) 2015-08-25
JP2009542000A (ja) 2009-11-26
US20150314313A1 (en) 2015-11-05

Similar Documents

Publication Publication Date Title
KR101375966B1 (ko) 산화물 재료 제거 처리 시스템과 방법, 및 컴퓨터 판독 가능한 매체
JP5014985B2 (ja) 基材を処理するためのプロセス加工システムおよび方法
US20070238301A1 (en) Batch processing system and method for performing chemical oxide removal
KR101313426B1 (ko) 기판 상의 잔류물을 제거하기 위한 에칭후 처리 시스템
US8034176B2 (en) Gas distribution system for a post-etch treatment system
JP2008502134A (ja) 基材を処理するためのプロセス加工システムを動作させる方法
US7759249B2 (en) Method of removing residue from a substrate
US20050211386A1 (en) Processing system and method for chemically treating a substrate
KR20090115138A (ko) 처리 시스템용 다구역 가스 분배 시스템
WO2004082821A2 (en) Processing system and method for thermally treating a substrate
US20090212014A1 (en) Method and system for performing multiple treatments in a dual-chamber batch processing system
TWI784770B (zh) 用於處理基板之支撐單元、設備及用於處理基板之方法
KR102323579B1 (ko) 기판 처리 방법 및 기판 처리 장치
TW202221789A (zh) 處理基板之方法與設備
JPS63271933A (ja) アツシング方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20170221

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20180219

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20190218

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20200302

Year of fee payment: 7