KR101343025B1 - 회전 기판 지지부 이용 방법 - Google Patents

회전 기판 지지부 이용 방법 Download PDF

Info

Publication number
KR101343025B1
KR101343025B1 KR1020117010620A KR20117010620A KR101343025B1 KR 101343025 B1 KR101343025 B1 KR 101343025B1 KR 1020117010620 A KR1020117010620 A KR 1020117010620A KR 20117010620 A KR20117010620 A KR 20117010620A KR 101343025 B1 KR101343025 B1 KR 101343025B1
Authority
KR
South Korea
Prior art keywords
substrate
substrate support
shaft
coupled
rotating
Prior art date
Application number
KR1020117010620A
Other languages
English (en)
Other versions
KR20110058914A (ko
Inventor
자콥 스미쓰
알렉산더 탐
알 설야나라야난 아이어
션 셰터
빈 트란
니르 메리
아담 브라이러브
로버트 시도 주니어.
로버트 앤드류
프랭크 로버트
테오도레 스믹크
제프리 리딩
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20110058914A publication Critical patent/KR20110058914A/ko
Application granted granted Critical
Publication of KR101343025B1 publication Critical patent/KR101343025B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/01Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour 
    • G02F1/13Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on liquid crystals, e.g. single liquid crystal display cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Nonlinear Science (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Optics & Photonics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

회전 기판 지지부를 이용하는 기판 프로세싱 장치 및 방법이 개시된다. 일 실시예에서, 기판 프로세싱 장치가 챔버내에 배치된 기판 지지부 조립체를 구비한다. 기판 지지부 조립체는 지지 표면 및 그 지지 표면 아래에 배치된 히터를 구비하는 기판 지지부를 포함한다. 샤프트가 기판 지지부에 결합되고, 모터가 로터를 통해 샤프트에 결합되어 기판 지지부로 회전 운동을 제공한다. 시일 블록이 로터 주위로 제공되어 그 사이에 시일을 형성한다. 시일 블록은 시일 블록과 샤프트 사이의 경계를 따라 배치되는 하나 이상의 채널 및 하나 이상의 시일을 구비한다. 포트가 각 채널에 결합되어 펌프로 연결시킨다. 승강 기구가 샤프트에 결합되어 기판 지지부를 상승 및 하강시킨다.

Description

회전 기판 지지부 이용 방법{METHODS FOR USING A ROTATING SUBSTRATE SUPPORT}
본 출원은 대략적으로 반도체 기판을 프로세싱하는 것에 관한 것이며, 특히 반도체 기판상에 물질을 증착하는 것에 관한 것이다. 보다 구체적으로, 본 발명은 단일-기판 증착 챔버내에서 이용되는 회전 기판 지지부에 관한 것이다.
집적 회로는 화학기상증착을 포함하는 다양한 기술에 의해 부착(deposit; 일반적으로 '증착' 이라 함)된 물질의 다수의 층을 포함한다. 그와 같이, 화학기상증착 또는 CVD를 통해 반도체 기판상에 물질을 증착하는 것은 집적 회로 제조 프로세스에서 중요한 단계이다. 통상적인 CVD 챔버는 프로세싱 중에 기판을 가열하기 위한 가열식 기판 지지부, 챔버내로 프로세스 가스를 도입하기 위한 가스 포트, 그리고 챔버내에서 프로세싱 압력을 유지하고 과다한 가스 또는 프로세싱 부산물을 제거하기 위한 펌핑 포트를 구비한다. 프로세스 챔버내로 도입된 가스의 펌핑 포트를 향하는 유동 패턴으로 인해, 기판상에서 균일한 증착 프로파일을 유지하는 것이 곤란하다. 또한, 내부 챔버 부품의 방사율 편차(variance in the emissivity)로 인해, 챔버내에서 그에 따라 기판상에서, 불균일한 열 분산 프로파일이 초래된다. 또한, 기판의 표면에 걸친 그러한 열 분산 프로세스의 불균일도로 인해, 기판상에 증착된 물질의 불균일도가 초래된다. 다시, 이는, 추가적인 프로세싱 전의 기판의 평탄화 또는 기타 다른 복구 작업으로 인한 추가적인 비용을 초래하고, 또는 전체 집적회로의 불량을 초래할 수도 있다.
이와 같이, CVD 챔버에서 기판상에 물질을 균일하게 증착하기 위한 개선된 장치가 요구되고 있다.
회전 기판 지지부를 이용하는 기판 프로세싱 장치 및 방법이 개시된다. 일 실시예에서, 기판 프로세싱 장치가 챔버내에 배치된 기판 지지부 조립체를 구비한다. 기판 지지부 조립체는 지지 표면 및 그 지지 표면 아래에 배치된 히터를 구비하는 기판 지지부를 포함한다. 샤프트가 기판 지지부에 결합되고, 모터가 로터(rotor)를 통해 샤프트에 결합되어 기판 지지부로 회전 운동을 제공한다. 시일 블록(seal block)이 로터 주위로 제공되어 그 사이에 시일을 형성한다. 시일 블록은 시일 블록과 샤프트 사이의 경계를 따라 배치되는 하나 이상의 채널 및 하나 이상의 시일을 구비한다. 포트가 각 채널에 결합되어 펌프로 연결시킨다. 승강 기구가 샤프트에 결합되어 기판 지지부를 상승 및 하강시킨다.
본 발명의 다른 측면에서, 회전 기판 지지부를 이용하는 다양한 기판 프로세싱 방법이 제공된다. 일 실시예에서, 기판 지지부 조립체를 이용하여 프로세싱 챔버내에서 기판을 프로세싱하는 방법은 프로세싱될 기판을 기판 지지부상에 위치시키는 단계 및 프로세스 사이클을 통해 360도 곱하기 정수(in a whole number multiple)로 기판을 회전시키는 단계를 포함한다. 다른 실시예에서, 기판상에 형성될 물질 층의 증착 속도를 결정하고, 물질 층의 최종 증착 프로파일을 제어하기 위해 상기 결정된 증착 속도에 응답하여 기판의 회전 속도를 제어한다. 다른 실시예에서, 특정 변수 또는 변수들에 응답하여 기판의 회전 속도를 제어한다. 변수들은 온도, 압력, 계산된 증착 속도, 또는 측정된 증착 속도들 중 하나 이상이 될 수 있다. 다른 실시예에서, 기판은 제 1 배향(orientation) 상태에서 제 1 기간 동안 프로세싱되고, 이어서 제 2 배향 상태에서 제 2 기간 동안 프로세싱될 수 있다.
*본 발명의 상기 특징들을 보다 잘 이해할 수 있도록, 첨부 도면들에 일부가 도시된 실시예들을 참조하여, 앞서서 간략하게 설명한 본 발명을 보다 구체적으로 설명한다. 그러나, 첨부 도면들은 단지 본 발명의 통상적인 실시예를 도시한 것이며, 그에 따라 본 발명의 범위를 제한하지 않으며, 본 발명은 다른 균등한 실시예들도 포함할 것임을 주지하여야 한다.
도 1은 본 발명의 회전 기판 지지부를 가지는 예시적인 화학기상증착 챔버의 개략적인 단면도이다.
도 2는 도 1에 도시된 회전 기판 지지부의 개략적인 단면도이다.
도 3은 회전 기판 지지부의 로터 및 지지부 샤프트 사이의 경계의 일 실시예를 도시한 부분 단면도이다.
도 4 및 도 5는 회전 및 비-회전 기판에 대한 필름 두께 불균일도를 나타내는 그래프이다.
도 6a 및 도 6b는 비-회전 및 회전 기판상에 각각 형성된 필름에 대한 필름 두께 변화 플롯(plot)을 도시한 도면이다.
본 명세서에 개시된 회전 기판 지지부와 함께 이용하기에 적합한 하나의 예시적인 프로세스 챔버는, 예를 들어, 미국 캘리포니아 산타클라라에 소재하는 Applied Materials, Inc.가 제공하는 SiNgen 챔버와 같은 저압 열적 화학기상증착 반응기이다. 또한, 다른 프로세스 챔버들에서도 본 명세서에 기재된 회전 기판 지지부를 유리하게 이용할 수 있을 것이다.
도 1은 적합한 반응기(100)의 일 실시예를 도시한다. 반응기(100)는 반응 챔버 또는 프로세스 체적부(volume; 108)를 형성하며 챔버 본체(105)라고도 통칭되는 베이스(104), 벽(102), 및 리드(lid; 106)를 포함하며, 상기 프로세스 체적부내에서는 프로세스 가스, 전구체(precursor) 가스, 또는 반응물(reactant) 가스들이 열적으로 분해되어 기판(도시하지 않음)상에 물질 층을 형성한다.
하나 이상의 포트(134)가 리드내에 형성되고, 프로세스 체적부(108)로 하나 이상의 가스를 공급하는 가스 패널(128)에 결합된다. 통상적으로, 가스 분배 플레이트, 또는 샤워헤드(120)가 리드(106)의 아래쪽에 배치되어 포트(134)를 통해 유입되는 프로세스 가스들을 프로세스 체적부(108)를 통해 보다 균일하게 분산시킨다. 하나의 예시적인 실시예에서, 프로세싱 또는 증착이 준비되었을 때, 가스 패널(128)에 의해 제공되는 프로세스 가스 또는 전구체 가스가 프로세스 체적부(108)내로 도입된다. 프로세스 가스는 샤워헤드(120)내의 다수의 홀(도시하지 않음)을 통해 포트(134)로부터 분포된다. 샤워헤드(120)는 프로세스 가스를 프로세스 체적부(108)내로 균일하게 분포시킨다.
펌핑 포트(126)가 챔버 본체(105)내에 형성되고, 밸브, 펌프 등과 같은 펌핑 설비(도시하지 않음)에 결합되어 챔버 본체(105)내의 프로세싱 압력을 필요한 압력으로 선택적으로 유지시킨다. 압력 조정기(도시하지 않음), 센서(도시하지 않음) 등과 같은 다른 부품들을 이용하여 프로세스 체적부(108)내의 프로세싱 압력을 모니터링할 수 있을 것이다. 챔버 본체(105)는 챔버가 약 10 내지 약 350 Torr 사이의 압력을 유지할 수 있게 허용하는 물질로 구성된다. 하나의 예시적인 실시예에서, 챔버 본체(105)는 알루미늄 합금 물질로 구성된다.
챔버 본체(105)는 그 챔버 본체(105)를 냉각시키기 위해 관통 펌핑되는 온도 제어 유체를 위한 통로(도시하지 않음)를 포함할 수 있다. 그러한 온도 제어 유체 통로를 구비하는 경우에, 반응기(100)는 "저온-벽" 또는 "웜-벽(warm-wall)" 반응기라고 지칭한다. 챔버 본체(105)를 냉각시키는 것은, 반응성 종(species)의 존재 및 높은 온도로 인해, 챔버 본체(105)를 형성하는데 사용된 물질이 부식되는 것을 방지한다. 챔버 본체(105)의 내부를 또한 온도-제어되는 라이너 또는 절연 라이너(도시하지 않음)로 라이닝하여 챔버 본체(105)의 내측 표면에 입자가 바람직하지 못하게 응축되는 것을 방지할 수도 있다.
반응기(100)는 또한 반응기(100)의 프로세스 체적부(108)내에서 기판을 지지하기 위한 회전 승강 조립체(150)를 더 포함한다. 상기 승강 조립체(150)는 기판 지지부(110), 샤프트(112), 및 기판 지지부 모션(motion) 조립체(124)를 포함한다. 기판 지지부(110)는 통상적으로 승강 핀(114)을 수용하며, 가열 요소, 전극, 열전쌍, 후면(backside) 가스 홈 등(간단명료한 도시를 위해 모두 도시하지 않았다)을 추가로 포함할 수 있다.
도 1에 도시된 실시예에서, 기판 지지부(110)는 기판 수용 포켓(116) 아래쪽에 배치된 히터(136)를 포함한다. 기판 수용 포켓(116)은 통상적으로 기판의 두께와 대략적으로 동일한 두께를 가진다. 기판 수용 포켓(116)은 그 기판 수용 포켓(116) 표면의 약간 위쪽에서 기판을 유지하는 "범프(bumps)" 또는 스탠드-오프(stand-off)(도시하지 않음)와 같은 다수의 피쳐(features)를 구비할 수 있다.
필름 형성을 촉진하기 위해서, 프로세싱 중에, 히터(136)를 이용하여 기판 지지부(110)상에 위치된 기판의 온도를 제어할 수 있다. 일반적으로, 히터(136)는 전도성 본체내에 매립된 하나 이상의 저항 코일(도시하지 않음)을 포함한다. 저항 코일은 독립적으로 제어되어 히터 영역을 생성할 수 있을 것이다. 온도 표시부(도시하지 않음)가 제공되어 챔버 본체(105) 내부의 프로세스 온도를 모니터링할 수 있게 할 수도 있다. 일 예에서, 온도 표시부가 열전쌍(도시하지 않음)일 수 있으며, 그 열전쌍은 기판 지지부(110)의 표면에서의 온도 (또는 기판 지지부(110)에 의해 지지되는 기판의 표면에서의 온도)와 관련된 데이터를 제공하도록 위치될 수 있다.
기판 지지부 모션 조립체(124)는, 화살표(131, 132)로 표시한 바와 같이, 기판 지지부(110)를 수직방향을 따라 상하로, 그리고 회전방향으로 이동시킨다. 회전 승강 조립체(150)의 수직 운동은 기판을 챔버 본체(105) 내외로 이송하는 것과 기판을 프로세스 체적부(108)내에 정위치시키는 것(positioning)을 용이하게 한다.
예를 들어, 로봇 이송 기구(도시하지 않음)에 의해, 기판이 챔버 본체(105)의 벽(102)에 형성된 포트(122)를 통해 기판 지지부(110)상에 통상적으로 위치될 수 있다. 기판 지지부 모션 조립체(124)는 기판 지지부(110)의 지지 표면이 포트(122) 보다 낮아지도록 기판 지지부(110)를 하강시킨다. 이송 기구가 포트(122)를 통해 기판을 삽입하여 기판 지지부(110) 위쪽에 위치시킨다. 이어서, 반응기(100)의 베이스(104)에 대해 이동가능하게 결합되는 접촉 승강 플레이트(118)를 상승시킴으로써, 기판 지지부(110)내의 승강 핀(114)이 상승된다. 승강 핀(114)은 기판을 이송 기구로부터 상승시키고, 이어서 상기 이송 기구가 회수된다. 이어서, 접촉 승강 플레이트(118) 및 승강 핀(114)이 하강되어 기판을 기판 지지부(110)상에 위치시킨다.
기판이 로딩되고 이송 기구가 후퇴되면, 포트(122)가 실링되고, 기판 지지부 모션 조립체(124)가 기판 지지부(110)를 프로세싱 위치로 상승시킨다. 하나의 예시적인 실시예에서, 웨이퍼 기판이 샤워헤드(120)로부터 짧은 거리(예를 들어, 400-900 mils)에 있을 때 진행이 정지된다. 상기 단계들을 반대로 실시하여 기판을 챔버로부터 제거할 수 있을 것이다.
회전 승강 조립체(150)의 회전 운동은, 프로세싱 중에 기판상에서의 불균질한 온도 분포를 매끄럽게(smoothing), 또는 보다 균일하게 만들 수 있으며, 이하에서 설명하는 바와 같이 여러 가지 다른 프로세싱 이점을 제공한다.
도 2는 회전 승강 조립체(150)의 일 실시예의 단순화된 단면을 도시한다. 일 실시예에서, 회전 승강 조립체(150)는 반응기(100)의 베이스(104) 아래쪽에 배치된 지지부(202)에 이동가능하게 결합되는 프레임(204)을 포함한다. 프레임(204)은 선형 베어링 등과 같은 적합한 수단에 의해 지지부(202)에 이동가능하게 결합될 수 있다. 프레임은 샤프트(112)를 통해 기판 지지부(110)를 지지하며, 상기 샤프트는 반응기(100)의 베이스(104)내의 개구부를 통해 연장한다.
승강 기구(206)가 프레임(204)에 결합되고 지지부(202)내에서 프레임(204)을 이동시키며, 그에 따라 반응기(100)내에서 기판 지지부(110)의 상승 및 하강 운동 범위를 제공한다. 승강 기구(206)는 기판 지지부(110)에 대한 희망 운동 범위를 제공하기 위한 스텝퍼 모터 또는 다른 적합한 기구일 수 있다.
프레임(204)은 샤프트(112) 및 기판 지지부(110)와 동축적으로 정렬되는 모터(208)를 지지하는 하우징(230)을 추가로 포함한다. 모터(208)는 상기 모터(208)의 샤프트(209)에 결합된 로터(210)를 통해 기판 지지부(110)로 회전 운동을 제공한다. 냉각수, 전력, 열전쌍 신호, 등이 모터(208)를 통해 동축적으로 통과할 수 있도록 허용하기 위해, 샤프트(209)가 중공형일 수 있다. 드라이브(232)가 결합되어 모터(208)에 대한 제어를 제공할 수 있다.
통상적으로, 모터(208)는 분당 약 0 내지 약 60 회전(rpm)의 범위내에서 작동되고 약 1 퍼센트의 안정상태 회전 속도 변동 값을 갖는다. 일 실시예에서, 모터(208)는 약 1 내지 약 15 rpm으로 회전된다. 모터(208)는 정확하게 회전 제어되고 약 1도 이내로 인덱스(index)될 수 있다. 그러한 회전 제어로 인해, 프로세싱 중에 기판을 배향시키는데 사용되는 기판상에 형성된 노치 또는 기판의 평평한 부분과 같은 피쳐가 정렬될 수 있다. 또한, 그러한 회전 제어는 반응기(100) 내부의 고정 좌표에 대한 기판의 임의 지점의 정위치(position) 상태를 알 수 있게 허용한다.
기판 지지부(110)는 샤프트(112) 및 로터(210)를 통해 모터(208)에 의해 지지되며, 모터(208)의 베어링이 기판 지지부(110)를 지지하고 정렬한다. 기판 지지부(110)가 모터(208)에 장착되고 그 모터(208)에 의해 지지됨에 따라, 부품의 개체수가 최소화될 수 있고 다수의 베어링 세트들 사이의 정렬 및 결합 문제가 감소되거나 해소될 수 있다. 그 대신에, 기판 지지부(110)를 회전시키기 위한 기어, 벨트, 풀리 등을 이용하여, 모터(208)를 기판 지지부(110)로부터 오프셋(offset)시킬 수도 있다.
선택적으로, 광학 센서와 같은 센서(도시하지 않음)를 제공하여, 승강 핀(114)이 승강 플레이트(118)(도 1에 도시됨)와 결합되었을 때 기판 지지부(110)가 회전하는 것을 방지한다. 예를 들어, 광학 센서가 회전 승강 조립체(150)의 외부에 배치되고 그 조립체가 소정 높이(예를 들어, 상승된 프로세싱 위치 또는 하강된 기판 이송 위치)에 있을 때를 감지하도록 구성될 수 있다.
통상적으로, 로터(210)는 마찰 및 마모를 줄여 회전을 용이하게 하며 프로세스에 견딜 수 있는(compatible) 내식성 물질을 포함하며, 그러한 물질의 예를 들면 경화된 스테인리스 스틸, 양극처리된 알루미늄, 세라믹 등이 있다. 로터(210)는 추가로 폴리싱될 수 있다. 일 실시예에서, 로터(210)는 가공되고, 연마되며, 경화되고, 폴리싱된 174PH 스틸을 포함한다. 샤프트(112)와 로터(210) 사이의 경계에서의 안착(seating) 표면들은 통상적으로 연마(ground)되어 로터(210)와 모터(208)의 중심 축선에 대해 기판 지지부(110)가 적절하게 정렬될 수 있게 한다.
기판 지지부(110)의 정렬은 정밀한 가공에 의해 달성될 수 있을 것이다. 대안적으로, 또는 정밀 가공과 조합하여, 잭 볼트(jack bolt)와 같은 조정 기구를 이용하여 기판 지지부(110)의 정렬을 도울 수 있다. 그러한 정렬은 모터(208) 및 기판 지지부(110)의 중심 축선들이 평행하도록 보장하며, 그에 따라 기판 지지부(110)의 회전 요동(wobble)을 감소시킨다. 일 실시예에서, 기판 지지부(110)는 약 0.002 내지 약 0.003 인치의 표면 런-아웃(run-out)을 가진다. 일 실시예에서, 기판 지지부(110)는 200 mm 직경의 지지부 표면에 걸쳐 약 0.005 인치 미만의 높이 편차를 가진다. 양호한 베어링을 가지는 고품질 모터(208)를 이용하면, 기판 지지부 요동을 더욱 줄일 수 있을 것이다.
기판 지지부(110)의 샤프트(112)는 피닝(pinning), 볼팅, 스크류잉(screwing), 용접, 블레이징, 등과 같은 적합한 수단에 의해 로터(210)에 결합될 수 있다. 일 실시예에서, 필요한 때에 기판 지지부(110)를 신속하고 용이하게 분리 및 교체할 수 있도록, 샤프트(112)가 로터(210)에 분리가능하게 결합될 수 있다. 일 실시예에서, 도 3에 도시된 바와 같이, 다수의 핀(304)(단순명료함을 위해 도 3에는 하나만을 도시함)이 샤프트(112)의 베이스(104)로부터 연장된다. 핀(304)이 개구부(301)내로 연장하는 상태에서 샤프트가 로터(210)상으로 (화살표(318)를 따라서) 하강될 수 있도록 각 핀(304)에 대응하는 위치에서, 개구부(310)가 로터(210)의 본체(308)내에 형성된다.
회전가능한 샤프트(312)가 개구부(310)내로 부분적으로 연장한다. 노치(316)가 개구부(310)의 내측 벽과 정렬될 수 있는 위치에서, 노치(316)가 샤프트(312)내에 형성된다. 그렇게 정렬되었을 때, 핀(304)은 샤프트(312)에 의해 막히지 않은 개구부(310)내로 연장할 것이다. 완전히 삽입되었을 때, 핀(304)에 형성된 노치(316)는 샤프트(312)와 정렬된다. 이어서, 샤프트(312)는 화살표(320)로 표시된 방향으로 회전될 수 있으며, 그에 따라 샤프트(312)의 본체가 핀(304)의 노치(316)내로 이동된다. 샤프트(312)의 회전시에, 샤프트(312)의 본체가 샤프트(112)를 정위치에 록킹(lock)한다. 샤프트(312)는 핀(304)의 노치(316)에 대해 편심적이 되며, 그에 따라 샤프트(312)의 회전시에 핀(304)이 용이하게 결합되게 한다. 그 대신에, 또는 그와 조합하여, 샤프트(312)가 샤프트(312)가 회전될 때 핀(304)과 결합되는 캠(도시 하지 않음)을 구비할 수 있다. 샤프트(312)의 회전을 용이하게 하기 위해, 샤프트(312)의 외측 단부가 6각형(hex) 헤드(314)와 같은 피쳐를 구비할 수 있다. 공구를 이용하여 샤프트(312)를 보다 용이하게 회전시킬 수 있도록, 육각형 헤드(314)가 배치된다.
도 2를 참조하면, 반응기(100) 내부의 프로세스 체적부(108)와 반응기(100) 외부의 분위기 사이의 압력차를 유지하기 위해, 시일 블록(212)이 로터(210)를 둘러싸고 그 사이에 시일을 형성한다. 또한, 벨로우즈(216)가 베이스(104)와 시일 블록(212) 사이에 결합된다. 장착 플레이트(214)가 선택적으로 시일 블록(212)의 상부에 제공되어 샤프트(112)의 베이스가 로터(210)와 정렬되는 것을 보조할 수 있다. 도 2에 도시된 실시예에서, 벨로우즈(216)는 시일 블록(212)의 상부에 배치된 장착 플레이트(214)에 결합된다.
시일 블록(212)은 시일 블록(212)과 로터(210) 사이의 경계에 제공되는 하나 이상의 시일(228), 예를 들어, 립(lip) 시일을 포함할 수 있다. 통상적으로, 시일(228)은 내마모성을 가지며 폴리에틸렌 또는 프로세스에 견딜 수 있는 다른 재료로 형성될 수 있다. 일 실시예에서, 시일이 폴리테트라플루오로에틸렌(PTFE)으로 형성된다. 도 2에 도시된 실시예에서, 3개의 시일(228)이 시일 블록(212)과 로터(210) 사이에 배치된다. 시일 블록(212)을 로터(210)와 동축적으로 제조하는 것을 돕기 위해, 시일 블록(212)이 설치 중에 부유(float)되게 할 수 있으며, 그에 따라 시일(228)의 압력에 의해 중심에 배치될 수 있게 된다. 이어서, 설치 프로세스가 완료되면, 시일 블록(212)이 볼트체결, 클램핑, 또는 기타의 방법으로 고정된다.
하나 이상의 홈 또는 채널(226)이 시일 블록(212)과 로터(210) 사이의 경계를 따라 추가로 제공될 수 있다. 채널(226)은 시일 블록(212)과 로터(210) 중 하나 또는 양자에 형성될 수 있고 라인(225)을 통해 펌프(224)로 연결된다. 펌프(224)가 채널(226)내의 압력을 적절한 범위내로 계속적으로 유지하여, 반응기(100) 내부의 프로세스 체적부(108)와 반응기(100) 외부의 분위기 사이에 시일이 유지되게 한다. 도 2에 도시된 실시예에서, 두 개의 채널(226)이 3개의 시일(228) 사이의 공간내에 배치되고 2개의 라인(225)에 의해 펌프(224)에 결합된다.
하나 이상의 도관(242)이 중공 샤프트(112)내에 배치되어 필요한 설비를 기판 지지부(110)에 결합시킨다. 예를 들어, 도관(242)이 히터(136), 열전쌍, 및 기판 지지부로의 다른 전기 연결부를 위한 전력을 제공하기 위한 전기 배선을 포함할 수 있을 것이다. 배선을 차폐하고 보호가 위해, 각 도관은 세라믹과 같은 절연 물질로 제조될 수 있을 것이다. 또한, 각 전기 연결부에 대해 각각 하나의 도관(242)을 사용하여, 각 배선을 격리시킬 수도 있을 것이다. 다른 도관(도시 하지 않음)이 기판 지지부(110)를 위해 사용될 수 있는 냉각 가스 또는 유체를 제공할 수도 있을 것이다. 슬립 링(234)을 제공하여, 전기 공급부(240)로부터 기판 지지부(110)로 전기를 연결시킨다.
로터리 유니언(236)이 냉매 공급부 및 복귀부(238)에 결합되어, 로터(210), 샤프트(112)의 베이스, 및/또는 히터(136)의 냉각에 사용하기 위한 냉매를 회전 승강 조립체(150)로 제공할 수 있다. 그 대신에, 또는 그와 조합하여, 로터(210)가 로터(210)의 복사 냉각을 돕는 공냉식 핀(fin)(도시 하지 않음)을 추가로 구비할 수 있다. 공냉식 핀이 이용되는 실시예에서, 냉각 핀에 걸친 공기 유동을 증대시키기 위해, 팬(도시 하지 않음)이 추가적으로 이용될 수 있다. 회전 승강 조립체(150)를 구비하는 반응기(100) 또는 다른 프로세싱 챔버와 함께 조합하여 다른 냉각 기구를 이용할 수도 있을 것이다. 예를 들어, 팬(도시 하지 않음)이 반응기(100)의 외부에 제공되어 공기를 순환시키고 벨로우즈(216)를 냉각시킬 수 있을 것이다.
비록, 제한 없이, 기판을 회전시키는 방법에 슬립 링(234) 및 로터리 유니언(236) 또는 그 균등물이 필요하지만, 모터(208)에 의해 제공되는 회전 운동이 단일 방향으로 계속적으로 회전하는 것이 아니라 왕복될 수 있는 것이 고려된다. 그와 같은 경우에, 만약 왕복 운동만이 요구된다면, 슬립 링(234) 및 로터리 유니언(236)이 선택적인 것으로 간주된다. 그러한 실시예에서, 도 2에 도시된 바와 같이, 전기 및 냉각 설비들이 가요성(flexible) 도관(도시 하지 않음)에 의해서 그리고 슬립 링(234) 및 로터리 유니언(236)을 통해서 제공될 수 있을 것이다.
퍼지 가스 공급 라인(225)이 퍼지 가스 공급부(220)에 결합되어, 질소 또는 다른 프로세스-불활성 가스와 같은 퍼지 가스를 벨로우즈(216)와 샤프트(112) 사이에 배치된 반응기(100)의 내부 체적부(218)로 제공한다. 내부 체적부(218)내의 퍼지 가스는 반응기(100)내로 도입된 물질이 벨로우즈(216) 및/또는 샤프트(112)의 내측부에 증착되는 것을 방지한다. 선택적으로, 퍼지 가스가 퍼지 가스 공급부(220)로부터 공급 라인(223)을 통해 채널(226)로 공급될 수 있다.
도 1을 다시 참조하면, 일 실시예에서, 제어부(130)가 챔버 본체(105)에 결합되어 챔버 압력을 나타내는 신호를 센서로부터 수신한다. 제어부(130)는 또한, 프로세스 체적부(108)로의 가스 또는 가스들의 유동을 제어하기 위해 가스 패널(128)에 결합될 수 있을 것이다. 제어부(130)는 압력 조정기 또는 조정기들과 협력하여 프로세스 체적부(108)내의 압력을 원하는 압력으로 유지 또는 조정할 수 있다. 또한, 제어부(130)는 기판 지지부(110)의 온도를 제어할 수 있고, 그에 따라 그 위에 배치된 기판의 온도를 제어할 수 있다. 제어부는 또한 회전 승강 조립체(150)에 결합되어 프로세싱 중에 그 회전 승강 조립체(150)의 회전을 제어할 수 있다. 본 발명에 따라 기판상에 물질 층을 형성하기 위해서, 챔버내의 압력 및 가스 유동 그리고 기판 지지부(110)의 온도를 앞서 설명한 파라미터들 이내로 제어하기 위해, 제어부(130)는 컴퓨터 판독 포맷의 명령어들을 포함하는 메모리를 포함한다.
동작 중에, 프로세싱 챔버의 고유한 유동 불균일성 및 온도 충격을 최소화하도록 회전 승강 조립체가 채용될 수 있다. 예를 들어, 회전 승강 조립체(150)의 이용에 의해 유동 및 온도 불균일성에 미치는 완화(smoothing) 효과에 의해서, 가공(machining) 및 물질 공차 또는 여러 부품의 설치 정밀도와 같은 설치 공차 및 하드웨어 제조에 기인하는 충격(impact)이 감소될 수 있을 것이다. 회전은 이러한 불균일들을 시간-평균화(time-averages) 시키는 기판 분위기를 생성하며, 이는 기판에 걸친 보다 균일한 필름 두께를 초래한다. 필름 두께 균일도 개선사항은, 도 1 및 도 2에 도시된 바와 같이, 웨이퍼 위쪽에 배치된 가스 유동 유입구를 가지는 챔버에, 그리고 기판 직경에 평행한 또는 교차하는 유동을 제공하도록 정렬된 가스 유동 유입구를 가지는 프로세스 챔버에 적용된다.
예를 들어, 도 4는 퍼센티지로 표시된 필름 두께 불균일도(축선 402) 대 프로세싱 조건을 나타내는 번호(축선 404)로 구성된 그래프(400)를 도시한다. 이러한 차트에 대한 데이터는, 도 1 및 도 2와 관련하여 전수한 것과 유사한 CVD 챔버내에서, 실란(SiH4) 및 암모니아(NH3)를 이용하여 300 mm 베어(bare) 실리콘 기판상에 실리콘 질화물 필름을 증착함으로써 얻어졌다. 데이터 지점(406)은 회전 없이 처리된 기판을 나타낸다. 데이터 지점(408)은 기판을 회전시키면서 프로세싱한 기판을 나타낸다. 데이터 지점(408)은, 측정된 모든 프로세싱 조건에서(예를 들어, 축선(404)를 따라), 데이터 지점(406)에 비해서, 기판을 회전시키면서 프로세싱된 기판의 낮은 불균일도 퍼센티지를 보여준다.
다른 예로서, 도 5는 축선(504)을 따라 연속적으로 번호가 부여된 회전되면서 또는 회전되지 않으면서 프로세싱된 몇 개의 기판에 대해서, 퍼센티지로 표시된 필름 두께 불균일도(축선 502)를 나타낸 그래프(400)를 도시한다. 이러한 차트에 대한 데이터는, 도 1 및 도 2와 관련하여 전수한 것과 유사한 CVD 챔버내에서, 비스(터트-부틸아미노)실란(BTBAS) 및 암모니아(NH3)를 이용하여 300 mm 베어(bare) 실리콘 기판상에 실리콘 질화물 필름을 증착함으로써 얻어졌다. 데이터 지점(506)은 회전 없이 처리된 기판을 나타낸다. 데이터 지점(508)은 기판을 회전시키면서 프로세싱한 기판을 나타낸다. 데이터 지점(508)은, 회전 없이 프로세싱된 기판(예를 들어, 데이터 지점(506))에 비교하여, 기판을 회전시키는 것이 보다 개선시킨다는 것, 즉 필름 두께 불균일도 퍼센티지를 보다 낮춘다는 것을 보여준다.
다른 예로서, 도 6a 및 도 6b는 정지된 기판과 회전되는 기판 각각에 증착된 필름에 대한 기판 표면에 걸친 두께 변화를 나타낸다. 기판을 회전시키면서 프로세싱된 기판에 대응하는 도 6b의 플롯(620)에 비교할 때, 도 6a에 도시된 플롯(610)은 회전 없이 프로세싱한 기판에 대한 기판 표면에 걸친 필름 두께 변화가 보다 크다는 것을 보여 준다.
회전 승강 조립체(150)의 다른 이점은, 기판의 회전에 의해 유동 증가가 이루어질 수 있다는 것이며, 이는 기판상의 입자 오염을 추가적으로 감소시킨다. 또한, 회전 승강 조립체(150)에 의한 기판의 회전에 의해 생성된 추가적인 유동 성분때문에, 보다 낮은 총 유량을 이용할 수 있게 되며, 그에 따라 프로세스 챔버내에서 비교적 균일한 유동 또는 균일한 유동을 유지하기 위해 반응제 가스에 첨가되는 불활성 가스 및 기타 희석제를 줄일 수 있게 된다. 반응기(100)의 프로세스 체적부(108)내의 반응제 종의 보다 높은 농도로 인해, 희석제 가스의 감소는, 바람직하게, 증착 속도를 높인다.
전술한 회전 승강 조립체(150)의 이용 방법의 예를 이하에서 설명한다. 일 실시예에서, 특정 프로세스 사이클을 통해, 기판이 360도 곱하기 정수(in a whole number multiple)(360도 포함)로 회전된다. 그 대신에, 기판이 특정 프로세스 사이클의 프로세스 램프-업(ramp-up) 부분, 정상-상태 부분, 및/또는 램프-다운 부분 중 하나 이상을 통해서 360도 곱하기 정수 만큼 회전될 수 있다.
다른 실시예에서, 물질의 시드(seed) 층을 균일하게 증착하기 위해, 기판 지지부(110)상에서 지지되는 기판을 특정 프로세스 중에 회전시킬 수 있다. 시드 층의 증착에 이어서, 기판 지지부(110)를 회전시키면서 또는 회전시키지 않으면서 시드 층에 걸쳐 벌크 증착을 실시한다.
각 프로세스 사이클내에서 원하는 증착 프로파일을 얻기 위해 회전 승강 조립체(150)상에 지지되는 기판의 회전이 다수 프로세스 사이클의 경로에 걸쳐서 제어될 수 있도록, 적절한 프로파일링 장비를 이용하여 기판을 모니터링할 수 있다. 전체 증착 두께 프로파일이 원하는 프로파일(예를 들어, 평평한 프로파일)과 같아지도록, 각 후속 증착 사이클에 맞춰 증착 프로파일을 모니터링하고 적절히 조정할 수 있을 것이다.
또한, 회전 승강 조립체(150)의 회전 속도가 기판의 프로세싱 중에 측정 또는 모니터링되는 특정 변수들에 따라서 변화될 수 있을 것이다. 예를 들어, 온도나 압력과 같이 증착 속도에 영향을 미치는 것으로 알려져 있는 프로세스 변수들, 또는 측정되거나 계산된 증착 속도를 이용하여 프로세싱 중에 기판 지지부(110)에 의해 지지되는 기판의 회전 속도를 제어할 수 있을 것이다. 예를 들어, 느린 증착 속도 기간 동안에는 기판이 느리게 회전될 수 있을 것이고, 빠른 증착 속도 기간 동안에는 보다 빠른 속도로 회전될 수 있을 것이다.
또한, 회전 승강 조립체(150)에 의해 지지되는 기판은, 균일하게 회전되지 않고, 프로세싱 중에 점진적으로 인덱싱(incrementally indexed)될 수 있을 것이다. 예를 들어, 특정 기간 동안에 기판을 하나의 위치에서 프로세스할 수 있고, 이어서 후속 기간 동안에는 기판을 새로운 위치로 인덱싱할 수 있을 것이다. 예를 들어, 기판을 제 1 기간 동안에 제 1 배향으로 유지하고, 제 2 기간 동안에 제 2 배향으로 180도 회전시켜 프로세싱할 수 있을 것이다.
챔버로부터 제거할 수 있도록 기판을 정렬하기 위해, 기판을 다시 인덱싱할 수 있을 것이다. 기판상에서 탐지된 프로세스 불균일성 또는 결함을 반응기(100)의 특정 영역에 관련시킬 수 있도록, 인덱싱 능력을 이용하여 챔버내에서의 기판 배향에 관한 데이터를 확보할 수 있을 것이다.
전술한 방법 및 장치가 저온 화학기상증착과 관련된 것이지만, 다른 챔버 및 다른 박막-필름 증착 프로세스들도 회전 기판 지지부(150)를 유리하게 이용할 수 있다는 것을 이해할 것이다. 예를 들어, 회전 승강 조립체를 이용하여 원자층증착(ALD) 프로세스에서 두께 균일성을 개선할 수 있을 것이며, 그러한 원자층증착에서는 사이클마다 하나의 원자 층으로 필름을 증착하기 위해서 가스 전구체들을 각각 펄스화할 수 있을 것이다. 그 대신에, 회전 승강 조립체를 이용하여, 화학적 반응 반응성을 높이기 위해 자외선(UV) 광 또는 플라즈마를 각각 이용하는 자외선(UV) 광- 또는 플라즈마-열 증착 프로세스에서 필름 두께 균일성을 개선할 수 있을 것이다.
이상의 내용은 본 발명의 실시예들에 관한 것이지만, 본 발명의 기본 범위내에서도 본 발명의 다른 추가적인 실시예들을 용이하게 이해할 수 있을 것이며, 그러한 범위는 특허청구범위에 의해서 결정될 것이다.

Claims (15)

  1. 기상증착챔버;
    상기 기상증착챔버 내에 배치된 회전 기판 지지부;
    상기 회전 기판 지지부에 결합된 히터;
    상기 기상증착챔버에 연결된 벨로우즈;
    상기 회전 기판 지지부를 상승 및 하강시키는 제 1 모터;
    상기 회전 기판 지지부를 회전시키는 제 2 모터; 그리고
    상기 회전 기판 지지부를 상기 벨로우즈에 연결하는 시일 블록을 포함하고
    상기 시일 블록은 채널 및 시일을 포함하는
    기판을 프로세싱하기 위한 장치.
  2. 제 1 항에 있어서,
    상기 벨로우즈는 상기 시일 블록과 접촉하는 장착 플레이트를 구비하는
    기판을 프로세싱하기 위한 장치.
  3. 제 1 항에 있어서,
    상기 제 2 모터를 둘러싸는 하우징을 구비하는 프레임을 더 포함하는
    기판을 프로세싱하기 위한 장치.
  4. 제 3 항에 있어서,
    상기 제 1 모터가 상기 프레임에 결합되는
    기판을 프로세싱하기 위한 장치.
  5. 제 1 항에 있어서,
    상기 회전 기판 지지부에 결합된 로터리 유니언을 더 포함하는
    기판을 프로세싱하기 위한 장치.
  6. 삭제
  7. 삭제
  8. 삭제
  9. 삭제
  10. 삭제
  11. 삭제
  12. 삭제
  13. 삭제
  14. 삭제
  15. 삭제
KR1020117010620A 2005-06-08 2006-05-31 회전 기판 지지부 이용 방법 KR101343025B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/147,938 US20060281310A1 (en) 2005-06-08 2005-06-08 Rotating substrate support and methods of use
US11/147,938 2005-06-08
PCT/US2006/021077 WO2006132878A2 (en) 2005-06-08 2006-05-31 Substrate support

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020107003774A Division KR20100035180A (ko) 2005-06-08 2006-05-31 회전 기판 지지부 이용 방법

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020137022545A Division KR20130114255A (ko) 2005-06-08 2006-05-31 회전 기판 지지부 이용 방법

Publications (2)

Publication Number Publication Date
KR20110058914A KR20110058914A (ko) 2011-06-01
KR101343025B1 true KR101343025B1 (ko) 2013-12-18

Family

ID=37398409

Family Applications (6)

Application Number Title Priority Date Filing Date
KR1020107003774A KR20100035180A (ko) 2005-06-08 2006-05-31 회전 기판 지지부 이용 방법
KR1020117010620A KR101343025B1 (ko) 2005-06-08 2006-05-31 회전 기판 지지부 이용 방법
KR1020107003773A KR101038607B1 (ko) 2005-06-08 2006-05-31 회전 기판 지지부
KR1020147009579A KR20140061516A (ko) 2005-06-08 2006-05-31 회전하는 기판 지지부 이용 방법
KR1020137022545A KR20130114255A (ko) 2005-06-08 2006-05-31 회전 기판 지지부 이용 방법
KR1020077030109A KR100979329B1 (ko) 2005-06-08 2006-05-31 회전 기판 지지부 및 그 이용 방법

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020107003774A KR20100035180A (ko) 2005-06-08 2006-05-31 회전 기판 지지부 이용 방법

Family Applications After (4)

Application Number Title Priority Date Filing Date
KR1020107003773A KR101038607B1 (ko) 2005-06-08 2006-05-31 회전 기판 지지부
KR1020147009579A KR20140061516A (ko) 2005-06-08 2006-05-31 회전하는 기판 지지부 이용 방법
KR1020137022545A KR20130114255A (ko) 2005-06-08 2006-05-31 회전 기판 지지부 이용 방법
KR1020077030109A KR100979329B1 (ko) 2005-06-08 2006-05-31 회전 기판 지지부 및 그 이용 방법

Country Status (6)

Country Link
US (3) US20060281310A1 (ko)
JP (1) JP2008544491A (ko)
KR (6) KR20100035180A (ko)
CN (3) CN101194040B (ko)
TW (2) TWI364810B (ko)
WO (1) WO2006132878A2 (ko)

Families Citing this family (292)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100358098C (zh) 2005-08-05 2007-12-26 中微半导体设备(上海)有限公司 半导体工艺件处理装置
JP2007324369A (ja) * 2006-06-01 2007-12-13 Sekisui Chem Co Ltd 基材外周処理装置
CN101140344A (zh) * 2006-09-08 2008-03-12 鸿富锦精密工业(深圳)有限公司 点胶方法
US7378618B1 (en) * 2006-12-14 2008-05-27 Applied Materials, Inc. Rapid conductive cooling using a secondary process plane
US8084089B2 (en) * 2007-02-20 2011-12-27 Tech M3, Inc. Wear resistant coating for brake disks with unique surface appearance and method for coating
TWI349720B (en) * 2007-05-30 2011-10-01 Ind Tech Res Inst A power-delivery mechanism and apparatus of plasma-enhanced chemical vapor deposition using the same
KR101046520B1 (ko) * 2007-09-07 2011-07-04 어플라이드 머티어리얼스, 인코포레이티드 내부 챔버 상의 부산물 막 증착을 제어하기 위한 pecvd 시스템에서의 소스 가스 흐름 경로 제어
US20090194024A1 (en) * 2008-01-31 2009-08-06 Applied Materials, Inc. Cvd apparatus
US20090194026A1 (en) * 2008-01-31 2009-08-06 Burrows Brian H Processing system for fabricating compound nitride semiconductor devices
US20100075488A1 (en) * 2008-09-19 2010-03-25 Applied Materials, Inc. Cvd reactor with multiple processing levels and dual-axis motorized lift mechanism
US8425977B2 (en) * 2008-09-29 2013-04-23 Applied Materials, Inc. Substrate processing chamber with off-center gas delivery funnel
US20100101730A1 (en) * 2008-10-29 2010-04-29 Jusung Engineering Co., Ltd. Substrate processing apparatus
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
SG174993A1 (en) * 2009-04-21 2011-11-28 Applied Materials Inc Cvd apparatus for improved film thickness non-uniformity and particle performance
US20110121503A1 (en) * 2009-08-05 2011-05-26 Applied Materials, Inc. Cvd apparatus
US8274017B2 (en) * 2009-12-18 2012-09-25 Applied Materials, Inc. Multifunctional heater/chiller pedestal for wide range wafer temperature control
US9018567B2 (en) * 2011-07-13 2015-04-28 Asm International N.V. Wafer processing apparatus with heated, rotating substrate support
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP2014056806A (ja) * 2012-02-27 2014-03-27 Tokyo Electron Ltd マイクロ波加熱処理装置および処理方法
WO2013173152A1 (en) * 2012-05-18 2013-11-21 Veeco Instruments Inc. Rotating disk reactor with ferrofluid seal for chemical vapor deposition
US9490150B2 (en) * 2012-07-03 2016-11-08 Applied Materials, Inc. Substrate support for substrate backside contamination control
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
TW201437421A (zh) * 2013-02-20 2014-10-01 Applied Materials Inc 用於旋轉料架原子層沉積之裝置以及方法
TW201437423A (zh) * 2013-02-21 2014-10-01 Applied Materials Inc 用於注射器至基板的空隙控制之裝置及方法
WO2014158370A2 (en) * 2013-03-14 2014-10-02 Applied Materials, Inc. Temperature measurement in multi-zone heater
US10351956B2 (en) 2013-03-14 2019-07-16 Applied Materials, Inc. Integrated two-axis lift-rotation motor center pedestal in multi-wafer carousel ALD
US20140263275A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Rotation enabled multifunctional heater-chiller pedestal
CN103343334A (zh) * 2013-07-18 2013-10-09 湖南顶立科技有限公司 一种气相沉积方法
KR102449103B1 (ko) 2014-03-12 2022-09-28 어플라이드 머티어리얼스, 인코포레이티드 반도체 챔버에서의 웨이퍼 회전
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
TWI665753B (zh) * 2014-06-05 2019-07-11 美商應用材料股份有限公司 多晶圓旋轉料架ald中的集成兩軸升降旋轉電動機的中央基座
US10186450B2 (en) 2014-07-21 2019-01-22 Asm Ip Holding B.V. Apparatus and method for adjusting a pedestal assembly for a reactor
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9490116B2 (en) * 2015-01-09 2016-11-08 Applied Materials, Inc. Gate stack materials for semiconductor applications for lithographic overlay improvement
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10081861B2 (en) * 2015-04-08 2018-09-25 Varian Semiconductor Equipment Associates, Inc. Selective processing of a workpiece
JP6054470B2 (ja) * 2015-05-26 2016-12-27 株式会社日本製鋼所 原子層成長装置
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
JP2017228597A (ja) * 2016-06-20 2017-12-28 三星電子株式会社Samsung Electronics Co.,Ltd. 成膜装置
JP6650841B2 (ja) * 2016-06-27 2020-02-19 東京エレクトロン株式会社 基板昇降機構、基板載置台および基板処理装置
RU2638870C1 (ru) * 2016-07-05 2017-12-18 Акционерное общество "Концерн "Центральный научно-исследовательский институт "Электроприбор" Способ изготовления ротора электростатического гироскопа и устройство для осуществления этого способа
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
WO2018071598A1 (en) * 2016-10-12 2018-04-19 Lam Research Corporation Pad raising mechanism in wafer positioning pedestal for semiconductor processing
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
DE102017100507B4 (de) * 2017-01-12 2021-11-25 Ald Vacuum Technologies Gmbh Vorrichtung und Verfahren zur Beschichtung von Werkstücken
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10147610B1 (en) 2017-05-30 2018-12-04 Lam Research Corporation Substrate pedestal module including metallized ceramic tubes for RF and gas delivery
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11495932B2 (en) * 2017-06-09 2022-11-08 Applied Materials, Inc. Slip ring for use in rotatable substrate support
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN109423630A (zh) * 2017-09-04 2019-03-05 台湾积体电路制造股份有限公司 升举装置、化学气相沉积装置及方法
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
CN107761077B (zh) * 2017-10-20 2019-12-03 京东方科技集团股份有限公司 一种镀膜方法、装置以及pecvd设备
US11133200B2 (en) 2017-10-30 2021-09-28 Taiwan Semiconductor Manufacturing Co., Ltd. Substrate vapor drying apparatus and method
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US20190131585A1 (en) * 2017-11-01 2019-05-02 Shenzhen China Star Optoelectronics Semiconductor Display Technology Co., Ltd. Apparatus of pecvd and manufacturing method of oled panel
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
CN110047790B (zh) * 2018-01-15 2021-10-15 北京北方华创微电子装备有限公司 双轴机构和半导体处理设备
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
US11251067B2 (en) * 2019-04-26 2022-02-15 Applied Materials, Inc. Pedestal lift for semiconductor processing chambers
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
CN111364026B (zh) * 2020-05-27 2020-08-14 上海陛通半导体能源科技股份有限公司 往复式旋转cvd设备及应用方法
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
US11501957B2 (en) * 2020-09-03 2022-11-15 Applied Materials, Inc. Pedestal support design for precise chamber matching and process control
CN114156196A (zh) * 2020-09-07 2022-03-08 江苏鲁汶仪器有限公司 一种离子束刻蚀机及其升降旋转台装置
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230162955A1 (en) * 2021-11-24 2023-05-25 Applied Materials, Inc. Electrostatic chuck with detachable shaft
CN114695245B (zh) * 2022-03-29 2023-02-07 苏州矽行半导体技术有限公司 一种升降轴及晶圆载台

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100225916B1 (ko) * 1994-12-16 1999-10-15 황철주 플라즈마가 적용된 저압 화학 증기 증착장치
US20020139307A1 (en) * 2000-12-04 2002-10-03 Applied Materials, Inc. Cooling gas delivery system for a rotatable semiconductor substrate support assembly

Family Cites Families (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4438154A (en) * 1982-04-28 1984-03-20 Stanley Electric Co., Ltd. Method of fabricating an amorphous silicon film
JPS5998520A (ja) * 1982-11-27 1984-06-06 Toshiba Mach Co Ltd 半導体気相成長装置
US4676994A (en) * 1983-06-15 1987-06-30 The Boc Group, Inc. Adherent ceramic coatings
US4591417A (en) * 1983-12-27 1986-05-27 Ford Motor Company Tandem deposition of cermets
US4902531A (en) * 1986-10-30 1990-02-20 Nihon Shinku Gijutsu Kabushiki Kaisha Vacuum processing method and apparatus
JPH01127679A (ja) * 1987-03-27 1989-05-19 Canon Inc 堆積膜形成方法
US4923584A (en) * 1988-10-31 1990-05-08 Eaton Corporation Sealing apparatus for a vacuum processing system
US4929840A (en) * 1989-02-28 1990-05-29 Eaton Corporation Wafer rotation control for an ion implanter
US5850089A (en) * 1992-03-13 1998-12-15 American Research Corporation Of Virginia Modulated-structure of PZT/PT ferroelectric thin films for non-volatile random access memories
DE69331659T2 (de) * 1993-01-13 2002-09-12 Applied Materials Inc Verfahren zur Abscheidung von Polysiliziumschichten mit einer verbesserten Uniformität und dazugehörige Vorrichtung
US5444217A (en) * 1993-01-21 1995-08-22 Moore Epitaxial Inc. Rapid thermal processing apparatus for processing semiconductor wafers
US5421893A (en) * 1993-02-26 1995-06-06 Applied Materials, Inc. Susceptor drive and wafer displacement mechanism
US5407755A (en) * 1993-06-14 1995-04-18 Eastman Kodak Company Multilayer magnetooptic recording media
US5626963A (en) * 1993-07-07 1997-05-06 Sanyo Electric Co., Ltd. Hard-carbon-film-coated substrate and apparatus for forming the same
US5628829A (en) * 1994-06-03 1997-05-13 Materials Research Corporation Method and apparatus for low temperature deposition of CVD and PECVD films
US5580421A (en) * 1994-06-14 1996-12-03 Fsi International Apparatus for surface conditioning
US5928427A (en) * 1994-12-16 1999-07-27 Hwang; Chul-Ju Apparatus for low pressure chemical vapor deposition
US5772773A (en) * 1996-05-20 1998-06-30 Applied Materials, Inc. Co-axial motorized wafer lift
US6264752B1 (en) * 1998-03-13 2001-07-24 Gary L. Curtis Reactor for processing a microelectronic workpiece
US5866204A (en) * 1996-07-23 1999-02-02 The Governors Of The University Of Alberta Method of depositing shadow sculpted thin films
US5747113A (en) * 1996-07-29 1998-05-05 Tsai; Charles Su-Chang Method of chemical vapor deposition for producing layer variation by planetary susceptor rotation
US6120609A (en) * 1996-10-25 2000-09-19 Applied Materials, Inc. Self-aligning lift mechanism
US5873781A (en) * 1996-11-14 1999-02-23 Bally Gaming International, Inc. Gaming machine having truly random results
US6287635B1 (en) * 1997-08-11 2001-09-11 Torrex Equipment Corp. High rate silicon deposition method at low pressures
US6352593B1 (en) * 1997-08-11 2002-03-05 Torrex Equipment Corp. Mini-batch process chamber
US6321680B2 (en) * 1997-08-11 2001-11-27 Torrex Equipment Corporation Vertical plasma enhanced process apparatus and method
US5814365A (en) * 1997-08-15 1998-09-29 Micro C Technologies, Inc. Reactor and method of processing a semiconductor substate
US20010052392A1 (en) * 1998-02-25 2001-12-20 Masahiko Nakamura Multichamber substrate processing apparatus
DE69907095T2 (de) * 1998-08-26 2003-12-11 Nippon Telegraph And Telephone Corp., Tokio/Tokyo Herstellungsverfahren für optische Filter
KR20010089376A (ko) * 1998-10-29 2001-10-06 조셉 제이. 스위니 전력을 반도체 웨이퍼 프로세싱 시스템내의 제품을 통하여연결하기 위한 장치
US6812157B1 (en) * 1999-06-24 2004-11-02 Prasad Narhar Gadgil Apparatus for atomic layer chemical vapor deposition
WO2001020663A1 (fr) * 1999-09-13 2001-03-22 Tokyo Electron Limited Dispositif de traitement par le vide
US6699004B1 (en) * 2000-03-08 2004-03-02 Advanced Micro Devices, Inc. Wafer rotation in wafer handling devices
US6457557B1 (en) * 2000-06-27 2002-10-01 Leslie Anderson Tool for retrieving a ladder from an elevated position
JP4205294B2 (ja) * 2000-08-01 2009-01-07 キヤノンアネルバ株式会社 基板処理装置及び方法
JP2002050809A (ja) * 2000-08-01 2002-02-15 Anelva Corp 基板処理装置及び方法
JP3579690B2 (ja) * 2000-09-01 2004-10-20 独立行政法人 科学技術振興機構 複合酸化物系薄膜の作製方法及びその装置並びにそれにより作製した複合酸化物系薄膜。
US6506252B2 (en) * 2001-02-07 2003-01-14 Emcore Corporation Susceptorless reactor for growing epitaxial layers on wafers by chemical vapor deposition
US6419802B1 (en) * 2001-03-16 2002-07-16 David Alan Baldwin System and method for controlling deposition thickness by synchronously varying a sputtering rate of a target with respect to a position of a rotating substrate
US6645344B2 (en) * 2001-05-18 2003-11-11 Tokyo Electron Limited Universal backplane assembly and methods
US6592679B2 (en) * 2001-07-13 2003-07-15 Asyst Technologies, Inc. Clean method for vacuum holding of substrates
US20030159652A1 (en) * 2002-02-25 2003-08-28 United Microelectronics Corp. Heating injection apparatus for vapor liquid delivery system
US7252714B2 (en) * 2002-07-16 2007-08-07 Semitool, Inc. Apparatus and method for thermally controlled processing of microelectronic workpieces
KR100497748B1 (ko) * 2002-09-17 2005-06-29 주식회사 무한 반도체소자 제조용 원자층 증착 장치 및 원자층 증착 방법
CN1494063A (zh) * 2002-09-18 2004-05-05 ���µ�����ҵ��ʽ���� 磁性记录媒体、其制造方法及磁性记录再现装置
US6905737B2 (en) * 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
JP3972126B2 (ja) * 2004-05-28 2007-09-05 独立行政法人産業技術総合研究所 紫外線発生源、紫外線照射処理装置及び半導体製造装置

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100225916B1 (ko) * 1994-12-16 1999-10-15 황철주 플라즈마가 적용된 저압 화학 증기 증착장치
US20020139307A1 (en) * 2000-12-04 2002-10-03 Applied Materials, Inc. Cooling gas delivery system for a rotatable semiconductor substrate support assembly

Also Published As

Publication number Publication date
WO2006132878A2 (en) 2006-12-14
TW200717684A (en) 2007-05-01
KR100979329B1 (ko) 2010-08-31
KR20130114255A (ko) 2013-10-16
WO2006132878A3 (en) 2007-11-29
KR101038607B1 (ko) 2011-06-03
TWI364810B (en) 2012-05-21
CN102560433B (zh) 2015-04-08
CN102560433A (zh) 2012-07-11
CN101194040B (zh) 2012-04-18
US20100224130A1 (en) 2010-09-09
KR20080014072A (ko) 2008-02-13
TW201203430A (en) 2012-01-16
CN101194040A (zh) 2008-06-04
KR20100034050A (ko) 2010-03-31
US20120291709A1 (en) 2012-11-22
KR20110058914A (ko) 2011-06-01
KR20140061516A (ko) 2014-05-21
KR20100035180A (ko) 2010-04-02
CN102337521A (zh) 2012-02-01
JP2008544491A (ja) 2008-12-04
US20060281310A1 (en) 2006-12-14

Similar Documents

Publication Publication Date Title
KR101343025B1 (ko) 회전 기판 지지부 이용 방법
US8057602B2 (en) Apparatus and method for supporting, positioning and rotating a substrate in a processing chamber
KR101671158B1 (ko) 박막 두께 불균일성 및 파티클 성능이 개선된 cvd 장치
US8183502B2 (en) Mounting table structure and heat treatment apparatus
US10541168B2 (en) Edge ring centering method using ring dynamic alignment data
EP0972092B1 (en) Multipurpose processing chamber for chemical vapor deposition processes
US8888360B2 (en) Methods and systems for in-situ pyrometer calibration
US10262887B2 (en) Pin lifter assembly with small gap
US20030019428A1 (en) Chemical vapor deposition chamber
US8420981B2 (en) Apparatus for thermal processing with micro-environment
US20240200191A1 (en) Backside deposition prevention on substrates
US20130108792A1 (en) Loading and unloading system for thin film formation and method thereof
WO2021126889A1 (en) Surface profiling and texturing of chamber components
US20180261492A1 (en) Boltless substrate support assembly
KR101464202B1 (ko) 기판 처리 장치
US20230272529A1 (en) Pedestal thermal profile tuning using multiple heated zones and thermal voids
US20230253193A1 (en) Substrate support with uniform temperature across a substrate
US20240175134A1 (en) Conductive cooling of a low temperature pedestal operating in a high temperature deposition sequence
WO2023220308A1 (en) Multi-path helical mixer for asymmetric wafer bow compensation
KR101395240B1 (ko) 기판처리장치 및 기판처리방법

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
J201 Request for trial against refusal decision
A107 Divisional application of patent
AMND Amendment
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20161125

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20171002

Year of fee payment: 5