KR101248179B1 - Electrochemical plating cell with an auxiliary electrode in an isolated anolyte compartment - Google Patents

Electrochemical plating cell with an auxiliary electrode in an isolated anolyte compartment Download PDF

Info

Publication number
KR101248179B1
KR101248179B1 KR1020077002269A KR20077002269A KR101248179B1 KR 101248179 B1 KR101248179 B1 KR 101248179B1 KR 1020077002269 A KR1020077002269 A KR 1020077002269A KR 20077002269 A KR20077002269 A KR 20077002269A KR 101248179 B1 KR101248179 B1 KR 101248179B1
Authority
KR
South Korea
Prior art keywords
substrate
plating
anode
metal layer
auxiliary electrode
Prior art date
Application number
KR1020077002269A
Other languages
Korean (ko)
Other versions
KR20070027753A (en
Inventor
니콜라이 코바르스키
안총 창
사라브지트 싱그
유 왕
존 오. 두코빅
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20070027753A publication Critical patent/KR20070027753A/en
Application granted granted Critical
Publication of KR101248179B1 publication Critical patent/KR101248179B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/001Apparatus specially adapted for electrolytic coating of wafers, e.g. semiconductors or solar cells
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/002Cell separation, e.g. membranes, diaphragms
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D21/00Processes for servicing or operating cells for electrolytic coating
    • C25D21/16Regeneration of process solutions
    • C25D21/22Regeneration of process solutions by ion-exchange
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material

Abstract

기판 상에 금속층을 도금하기 위한 장치 및 방법이 제공된다. 캐소드액 용적은 도금을 위해 기판을 수용하도록 위치한다. 내부에 위치한 하나 이상의 애노드 세그먼트를 갖는 애노드액 용적은 캐소드액 용적과 이온적으로 분리된다. 내부에 위치한 보조 전극을 갖는 보조 용적은 캐소드액 용적과 이온이 소통하도록 연결되고 애노드액 유체 용적으로부터 전기적으로 절연되도록 제공된다. 도금 방법은, 반대의 전기적 극성 관계인 두 전원으로부터 보조 전극 및 하나의 애노드 세그먼트에 전류 펄스를 제공함으로써 기판의 에지 근처 및 중심에서 얇은 금속 씨드를 균일하게 도금하는 제 1 스테이지를 포함한다. 이후, 제 2 전류 펄스를 모든 애노드 세그먼트에 가함으로써 벌크 금속 도금 및 피처의 갭 채움이 수행된다. An apparatus and method are provided for plating a metal layer on a substrate. The catholyte volume is positioned to receive the substrate for plating. The anolyte volume with one or more anode segments located therein is ionically separated from the catholyte volume. An auxiliary volume with an auxiliary electrode located therein is provided to be in communication with the catholyte volume and in ions to be electrically insulated from the anolyte fluid volume. The plating method includes a first stage for uniformly plating thin metal seeds near and at the edge of the substrate by providing a current pulse to the auxiliary electrode and one anode segment from two power sources of opposite electrical polarity relationship. Thereafter, bulk metal plating and gap filling of the features are performed by applying a second current pulse to all anode segments.

Description

절연된 애노드액 구획 내에 보조 전극을 구비한 전기화학 도금 셀 {ELECTROCHEMICAL PLATING CELL WITH AN AUXILIARY ELECTRODE IN AN ISOLATED ANOLYTE COMPARTMENT} ELECTROCHEMICAL PLATING CELL WITH AN AUXILIARY ELECTRODE IN AN ISOLATED ANOLYTE COMPARTMENT

본 발명의 실시예는 일반적으로 기판 상에 금속층을 증착하는 것에 관한 것이다. 특히, 본 발명은 기판 상에 금속층의 전기화학 증착을 위한 방법 및 시스템에 관한 것이다. Embodiments of the present invention generally relate to depositing a metal layer on a substrate. In particular, the present invention relates to methods and systems for electrochemical deposition of metal layers on a substrate.

서브마이크론(submicron), 다중-레벨 금속화(metallization)는 초고밀도 집적회로(VLSI) 및 극초대규모 집적회로(ULSI) 반도체 소자를 위한 중요한 기술 중 하나이다. 이러한 기술의 중심에 있는 다중레벨 인터커넥트는 고 종횡비(high aspect ratio) 구멍에 형성된 컨택(contact), 비아(via), 라인(line), 및 기타 피처(feature)의 채움(filling)을 필요로 한다. 이러한 피처의 신뢰성 있는 형성은 개별적인 기판 및 다이 상에서의 회로 밀도 및 품질을 증가시키기 위한 지속된 노력에 있어서 매우 중요할 뿐만 아니라, VLSI 및 ULSI 모두의 성공에 있어서도 매우 중요하다.Submicron, multi-level metallization is one of the key technologies for ultra-high density integrated circuit (VLSI) and ultra-large scale integrated circuit (ULSI) semiconductor devices. At the heart of this technology, multilevel interconnects require the filling of contacts, vias, lines, and other features formed in high aspect ratio holes. . Reliable formation of such features is very important in the ongoing effort to increase circuit density and quality on individual substrates and dies, as well as in the success of both VLSI and ULSI.

회로 밀도가 증가함에 따라, 컨택, 비아, 라인 및 기타 피처 사이의 유전체 물질뿐만 아니라 컨택, 비아, 라인 및 기타 피처의 폭이 감소될 수 있다. 유전체 물질의 두께는 불변으로 유지되기 때문에, 결과적으로 대부분의 반도체 피처에 대한 종횡비(즉, 그 높이를 폭으로 나눈 값)가 실질적으로 증가해야만 한다. 종래의 여러 증착 공정은 종횡비가 6:1을 넘는, 특히 종횡비가 10:1을 넘는 경우에 반도체 구조물을 일관되게(consistently) 채우지 못한다. 이렇게 해서, 6:1 또는 그보다 큰 종횡비를 갖는, 보이드(void)가 없는 나노미터 크기 구조물의 형성에 대해 상당한 양의 지속적인 노력이 이루어지고 있다. As the circuit density increases, the width of the contacts, vias, lines and other features as well as the dielectric material between the contacts, vias, lines and other features can be reduced. Since the thickness of the dielectric material remains unchanged, the aspect ratio (ie, the height divided by the width) for most semiconductor features must be substantially increased as a result. Many conventional deposition processes fail to consistently fill a semiconductor structure when the aspect ratio is greater than 6: 1, especially when the aspect ratio is greater than 10: 1. In this way, a significant amount of ongoing effort is being made to form void-free nanometer-sized structures with aspect ratios of 6: 1 or greater.

원래는 다른 산업에서 사용된, 전기화학 도금(ECP)과 같은 전기화학 증착(ECD)은, 전도성 표면 상에, 구리와 같은, 증착된 물질을 성장시킬 수 있고 실질적으로 보이드가 없이 고 종횡비 피처도 채울 수 있어서, 작은 피처를 채우기 위한 증착 기술로서 반도체 산업에서 적용되어 왔다. 통상적으로, 금속 확산 배리어 층(metallic diffusion barrier layer)이 피처의 표면 위에 증착되고, 이후 전도성 금속 씨드층의 증착이 이루어진다. 이후, 전도성 금속이 전도성 금속 씨드층 위로 전기 화학적으로 도금되어, 구조물/피처를 채운다. 마지막으로, 예를 들어 화학 기계적 폴리싱(CMP)에 의해, 피처의 표면이 평탄화되어, 전도성 인터커넥트 피처를 형성한다.Originally used in other industries, electrochemical deposition (ECD), such as electrochemical plating (ECP), can grow deposited materials, such as copper, on conductive surfaces and provide high aspect ratio features with virtually no voids. It can be filled, and has been applied in the semiconductor industry as a deposition technique for filling small features. Typically, a metallic diffusion barrier layer is deposited on the surface of the feature, followed by the deposition of the conductive metal seed layer. The conductive metal is then electrochemically plated over the conductive metal seed layer to fill the structure / feature. Finally, the surface of the feature is planarized, for example by chemical mechanical polishing (CMP), to form the conductive interconnect feature.

구리는, 좋은 열 전도도 및 알루미늄과 비교하여 매우 높은 전자이동 저항성(electromigration resistance) 및 그 낮은 비저항(resistivity) 때문에, 반도체 소자 제조를 위한 바람직한 금속이 되었다. 구리 전기화학 도금 시스템은 향상된 인터커넥트 구조의 반도체 제조를 위해 개발되었다. 통상적으로, 구리 ECP는, 전자의 소스로서, 음으로 하전된(negatively charged) 기판과 접촉하는 양으로 하전된(positively charged) 구리 이온을 포함하는 도금 용액/전해질(plating bath/electrolyte)을 이용하여, 하전된 기판 상에 구리를 도금한다. Copper has become a preferred metal for semiconductor device fabrication because of its good thermal conductivity and its very high electromigration resistance and its low resistivity compared to aluminum. Copper electrochemical plating systems have been developed for semiconductor fabrication of improved interconnect structures. Typically, copper ECPs utilize a plating bath / electrolyte containing positively charged copper ions in contact with a negatively charged substrate as a source of electrons. The copper is plated on the charged substrate.

모든 ECP 전해질은 낮은 농도로 무기 및 유기 화합물을 모두 가진다. 통상적인 무기물은 황산 구리(CuSO4), 황산(H2SO4), 및 미량의 염소 이온(Cl-)을 포함한다. 통상적인 유기물은 가속제(accelerator), 억제제(suppressor), 및 레벨러(leveler)를 포함한다. 가속제는 때때로 광택제(brightener) 또는 반-억제제(anti-suppressor)라고 불린다. 억제제는 계면 활성제(surfactant) 또는 습윤제(wetting agent)일 수 있고, 때때로 캐리어(carrier)라고 불린다. 또한, 레벨러는 그레인 리파이너(grain refiner) 또는 과도금 방지제(overplate inhibitor)라고 불린다. All ECP electrolytes have both inorganic and organic compounds at low concentrations. Typical inorganics include copper sulfate (CuSO 4 ), sulfuric acid (H 2 SO 4 ), and trace amounts of chlorine ions (Cl ). Typical organics include accelerators, suppressors, and levelers. Accelerators are sometimes called brighteners or anti-suppressors. Inhibitors may be surfactants or wetting agents and are sometimes called carriers. Levelers are also called grain refiners or overplate inhibitors.

대부분의 ECP 공정은 일반적으로, 기판 상의 피처의 표면 위에 씨드층이 먼저 형성되고(이 공정은 별도의 시스템에서 수행될 수 있음), 이후 전해질 용액 내에 위치한 애노드와 기판 표면(캐소드로 작용함) 사이에 전기적 바이어스가 동시에 가해지면서 피처의 표면이 전해질 용액에 노출되는, 2개의 공정을 필요로 한다.Most ECP processes generally have a seed layer first formed on the surface of the feature on the substrate (this process can be performed in a separate system), and then between the anode located in the electrolyte solution and the substrate surface (acting as a cathode). The electrical bias is simultaneously applied to the process, requiring two processes in which the surface of the feature is exposed to the electrolyte solution.

종래의 도금 방식은 물리 기상 증착(PVD), 화학 기상 증착(CVD), 또는 원자층 증착(ALD)에 의해 구리 씨드층을 확산 배리어 층(예를 들어 탄탈륨 또는 탄탈륨 니트라이드(tantalum nitride)) 상에 증착하는 것을 포함한다. 그러나 피처 크기가 더 작아짐에 따라, 불연속적인 구리 덩어리(agglomerate)의 섬(island)이 피처 바닥에 가까운 피처 측벽에서 종종 얻어지므로, PVD 기술로는 적절한 씨드 스텝 커버리지(step coverage)를 가지기 어렵게 된다. 고 종횡비 피처의 깊이 전체에 걸쳐 연속적인 측벽 층을 증착하기 위해 PVD 대신에 CVD 또는 ALD 증착 공정을 사용하면, 두꺼운 구리층이 필드(field) 위에 형성된다. 필드 상의 두꺼운 구리층은 피처 측벽이 완전히 덮이기 전에 피처의 스로트(throat)가 폐쇄되도록 할 수 있다. 필드 상의 증착 두께가 스로트 폐쇄를 방지하도록 감소되면, ALD 및 CVD 기술은 씨드층에 불연속부(discontinuity)를 생성하는 경향도 있다. 씨드층에서의 이러한 불연속부는 씨드층 위에 도금된 층에 도금 결함을 야기하는 것으로 밝혀졌다. 또한, 구리는 대기에서 쉽게 산화되는 경향이 있고, 구리 산화물은 도금 용액에서 쉽게 용해된다. 피처에서 구리의 완전한 용해를 방지하기 위해, 구리 씨드층은 보통 비교적 두껍게 만들어지는데(800Å 정도), 이는 도금 공정이 피처를 채우는 것을 방해할 수 있다. 따라서, 구리 씨드층 없이 적절한 (복수의) 배리어 층 상에 구리의 직접적인 전기도금을 가능하게 하는 구리 도금 공정을 갖는 것이 바람직하다. Conventional plating methods deposit a copper seed layer on a diffusion barrier layer (eg tantalum or tantalum nitride) by physical vapor deposition (PVD), chemical vapor deposition (CVD), or atomic layer deposition (ALD). Depositing on. As feature sizes get smaller, however, discontinuous islands of copper are often obtained on feature sidewalls close to the bottom of the feature, making PVD technology difficult to have adequate seed step coverage. Using a CVD or ALD deposition process instead of PVD to deposit continuous sidewall layers throughout the depth of the high aspect ratio features, a thick copper layer is formed over the field. The thick copper layer on the field may cause the throat of the feature to close before the feature sidewall is completely covered. If the deposition thickness on the field is reduced to prevent throat closure, ALD and CVD techniques also tend to create discontinuities in the seed layer. This discontinuity in the seed layer has been found to cause plating defects in the layer plated over the seed layer. In addition, copper tends to oxidize easily in the atmosphere, and copper oxide readily dissolves in the plating solution. To prevent complete dissolution of copper in the feature, the copper seed layer is usually made relatively thick (about 800 microns), which can prevent the plating process from filling the feature. Therefore, it is desirable to have a copper plating process that allows direct electroplating of copper on a suitable (plural) barrier layer without a copper seed layer.

적절한 배리어 금속층 상의 직접적인 구리 도금에 관한 다른 문제는 배리어 금속층의 저항이 높고(낮은 비저항), 높은 에지 도금(edge-plating)을 일으키는 것으로 알려져 있다는 것, 즉 기판의 에지에서 더 두꺼운 구리 도금이 이루어지고 기판의 중앙부에는 구리 도금이 이루어지지 않는다는 것이다. 또한, 구리는 핵생성의 국부적 위치(local site) 상에 도금을 하는 경향이 있으며, 그 결과 구리 핵의 클러스터(cluster), 구리 클러스터/결정(crystal)을 초래하여, 증착이 기판의 전체 표면 상에서 균일하지 않게 된다.Another problem with direct copper plating on a suitable barrier metal layer is that the barrier metal layer is known to have high resistance (low resistivity) and cause high edge-plating, ie thicker copper plating at the edge of the substrate and There is no copper plating at the center of the substrate. In addition, copper tends to plate on the local sites of nucleation, resulting in clusters of copper nuclei, copper clusters / crystals, so that deposition occurs on the entire surface of the substrate. It is not uniform.

또한, 집적 회로 소자의 100 나노미터 미만의(sub 100 nanometer) 피처의 금속화는, 일반적으로, 씨드층이 증착된 후 전기화학 도금 공정에 의해 수행된다. 그러나, 피처 개구의 작은 크기 및 피처 바디의 고 종횡비는, 피처의 개구를 폐쇄시키지 않고 피처에서의 후속적인 도금을 방해하지 않으면서 피처의 주 바디(main body)의 연속적인 바텀 업(bottom up) 채움을 달성하는 것을 매우 어렵게 하여, 피처에 채워지지 않은 보이드(void) 또는 포켓(pocket)이 생성되게 한다. In addition, metallization of sub 100 nanometer features of integrated circuit devices is generally performed by an electrochemical plating process after the seed layer is deposited. However, the small size of the feature opening and the high aspect ratio of the feature body allow for continuous bottom up of the feature's main body without closing the feature's opening and preventing subsequent plating at the feature. It is very difficult to achieve filling, resulting in voids or pockets that are not filled in the feature.

따라서, 벌크 구리층의 도금 전에 피처를 채우고 전체 기판 표면에 걸쳐 구리를 균일하게 증착하기 위해 적절한 배리어 금속 상에 직접 얇은 구리 씨드층을 도금할 수 있는 구리 도금 공정에 대한 요구뿐만 아니라, 높은 에지 도금 효과를 최소화하고 100 나노미터 미만의 집적 회로 소자를 금속화하기 위한 장치 및 방법에 대한 요구가 있다. Thus, high edge plating as well as the need for a copper plating process that can plate a thin copper seed layer directly on a suitable barrier metal to fill the feature before plating the bulk copper layer and to deposit copper uniformly across the entire substrate surface. There is a need for an apparatus and method for minimizing effects and metallizing integrated circuit devices of less than 100 nanometers.

본 발명의 실시예는 집적 회로 소자 상의 100 나노미터 미만의 피처를 금속화하도록 구성된 전기화학 도금 셀을 제공한다. 이 도금 셀은 애노드 용액(anolyte solution) 구획(compartment) 및 캐소드 용액(catholyte solution) 구획을 갖는 유체 베이신(basin), 상기 애노드 용액 구획 및 상기 캐소드 용액 구획 사이에 위치한 이온 막, 상기 애노드 용액 구획에 위치한 애노드, 및 상기 유체 베이신에서의 처리를 위해 기판과 전기적으로 접촉하여 지지하도록 위치한 캐소드 전극을 포함한다.Embodiments of the present invention provide an electrochemical plating cell configured to metallize less than 100 nanometers of features on an integrated circuit device. The plating cell comprises a fluid basin having an anode solution compartment and a catholyte solution compartment, an ion membrane located between the anode solution compartment and the cathode solution compartment, the anode solution compartment An anode located, and a cathode electrode positioned to electrically contact and support the substrate for processing in the fluid basin.

일 실시예에서, 상기 애노드액 구획이 제 1 및 제 2 전해질 구획으로 분할되어, 애노드는 제 1 전해질 구획에 위치하고 보조 전극은 제 2 전해질 구획에 위치하게 된다. 제 1 및 제 2 구획은 모두 이를 통하는 애노드액 유체 유동을 가지나, 제 1 및 제 2 구획은 서로로부터 전기적으로 절연된다. In one embodiment, the anolyte compartment is divided into first and second electrolyte compartments such that the anode is located in the first electrolyte compartment and the auxiliary electrode is located in the second electrolyte compartment. The first and second compartments all have an anolyte fluid flow therethrough, but the first and second compartments are electrically insulated from each other.

다른 실시예에서, 도금 셀은 애노드 용액 구획 및 캐소드 용액 구획을 갖는 유체 베이신, 상기 애노드 용액 구획 및 상기 캐소드 용액 구획 사이에 위치한 이온 막, 상기 애노드 용액 구획에 위치한 둘 이상의 애노드 세그먼트, 보조 전극, 상기 보조 전극 및 둘 이상의 애노드 세그먼트에 연결된 둘 이상의 전원, 및 상기 유체 베이신에서 처리를 위해 기판과 전기적으로 접촉하여 지지하도록 위치한 기판 접촉 요소/지점(element/point)을 포함한다. In another embodiment, the plating cell comprises a fluid basin having an anode solution compartment and a cathode solution compartment, an ion membrane located between the anode solution compartment and the cathode solution compartment, two or more anode segments located in the anode solution compartment, an auxiliary electrode, Two or more power supplies connected to the auxiliary electrode and two or more anode segments, and a substrate contact element / point positioned to electrically contact and support the substrate for processing in the fluid basin.

본 발명의 실시예는 배리어 층 상에 직접 또는 간접으로 얇은 구리층을 도금하기 위한 전기화학 증착 방법 및 이러한 방법을 위해 구성된 장치를 추가로 제공한다. 일 실시예에서, 본 발명은 보조 전극 및 애노드의 둘 이상의 세그먼트를 갖는 도금 장치에서 기판 상에 금속층을 도금하는 방법을 제공한다. 이 방법은 적어도 상기 기판의 상당한 부분을 덮기 위해 제 1 공정 조건 하에서 상기 기판의 표면 상에 상기 금속층의 제 1 부분을 도금하는 단계를 포함하고, 상기 제 1 공정 조건은 상기 기판 및 상기 애노드의 중심 세그먼트에 제 1 전원을 전기적으로 연결하는 단계를 포함한다.Embodiments of the present invention further provide an electrochemical deposition method for plating a thin copper layer directly or indirectly on a barrier layer and an apparatus configured for such a method. In one embodiment, the present invention provides a method of plating a metal layer on a substrate in a plating apparatus having two or more segments of an auxiliary electrode and an anode. The method includes plating a first portion of the metal layer on a surface of the substrate under first processing conditions to cover at least a substantial portion of the substrate, wherein the first processing conditions comprise a center of the substrate and the anode. Electrically connecting a first power source to the segment.

다른 실시예에서, 본 발명은 제 1 및 제 2 전극을 갖는 도금 셀에서 기판 상에 금속층을 도금하는 방법을 추가로 제공한다. 이 방법은 상기 기판 및 상기 제 1 전극의 중심 세그먼트에 제 1 전원을 연결하는 단계, 상기 기판 및 상기 제 2 전극에 제 2 전원을 연결하는 단계, 및 상기 제 1 및 제 2 전극이 반대 극성인 상태에서 상기 기판 표면 상에 상기 금속층의 제 1 부분을 도금하는 단계를 포함한다.In another embodiment, the present invention further provides a method of plating a metal layer on a substrate in a plating cell having first and second electrodes. The method includes connecting a first power source to the center segment of the substrate and the first electrode, connecting a second power source to the substrate and the second electrode, and wherein the first and second electrodes are of opposite polarity. Plating a first portion of the metal layer on the substrate surface in a state.

또 다른 실시예에서, 본 발명은 제 2 전극 및 애노드의 둘 이상의 세그먼트를 갖는 도금 셀에서 기판 상에 금속층을 도금하는 방법을 제공한다. 이 방법은 상기 기판의 표면 상에 상기 금속층의 제 1 부분을 증착하도록 제 1 전류 펄스를 상기 기판에 가하는 단계를 포함하고, 상기 제 1 전류 펄스는 각각 상기 제 2 전극 및 상기 애노드의 하나의 세그먼트와 반대 극성으로 전기 소통하는 제 2 전원 및 제 1 전원에 의해 제공된다. 또한, 이 방법은 상기 기판 표면 상에 상기 금속층의 제 2 부분을 증착하도록 제 2 전류 펄스를 상기 기판에 가하는 단계를 포함하고, 상기 제 2 전류 펄스는 상기 애노드의 모든 세그먼트에 제공되는 전류를 포함한다.In yet another embodiment, the present invention provides a method of plating a metal layer on a substrate in a plating cell having two or more segments of a second electrode and an anode. The method includes applying a first current pulse to the substrate to deposit a first portion of the metal layer on the surface of the substrate, wherein the first current pulse is one segment of the second electrode and the anode, respectively And a second power source and a first power source in electrical communication with the opposite polarity. The method also includes applying a second current pulse to the substrate to deposit a second portion of the metal layer on the substrate surface, the second current pulse comprising a current provided to all segments of the anode. do.

또 다른 실시예에서, 본 발명은 도금 셀에서 기판 상에 금속층을 도금하는 방법을 제공하고, 이는 기판이 도금 셀 내부에 위치하기 전에 도금 셀의 접촉 지점 상에 금속 코팅을 도금하는 단계 및 기판의 표면 상에 금속층을 도금하는 단계를 포함한다.In another embodiment, the present invention provides a method of plating a metal layer on a substrate in a plating cell, which comprises plating a metal coating on the contact point of the plating cell before the substrate is placed inside the plating cell and Plating a metal layer on the surface.

위에서 설명한 본 발명의 특징이 자세하게 이해될 수 있도록, 앞서 간략하게 요약된 본 발명의 더욱 구체적인 설명이 실시예를 참조하여 이루어질 수 있으며, 이러한 실시예의 일부가 첨부된 도면에 도시되어 있다. 그러나, 본 발명은 다른 균등한 실시예도 허용할 수 있으므로, 첨부된 도면은 단지 본 발명의 전형적인 실시예 만을 도시하는 것이며, 따라서 본 발명의 범위를 제한하는 것으로 간주되어서는 안 된다는 점을 주의해야 한다.BRIEF DESCRIPTION OF DRAWINGS In order that the features of the invention described above may be understood in detail, a more detailed description of the invention briefly summarized above may be made with reference to embodiments, some of which are illustrated in the accompanying drawings. However, it is to be noted that the present invention may also permit other equivalent embodiments, and therefore, the appended drawings illustrate only typical embodiments of the invention and are therefore not to be considered as limiting the scope of the invention. .

도 1A-1C는 집적회로 제조 순서의 개략적인 단면도를 도시한다. 1A-1C show schematic cross-sectional views of an integrated circuit fabrication sequence.

도 2A는 금속 도금의 초기 단계 동안의 불균일 증착을 도시한다. 2A shows heterogeneous deposition during the initial stage of metal plating.

도 2B는 접촉 위치 근처에 조악한 에지 도금을 가지는 기판 표면을 도시하며, 여기서 흐릿한 구역(hazy zone)은 도금된 구리가 결핍된 것을 보여준다.2B shows the substrate surface with coarse edge plating near the contact location, where the hazy zone shows the lack of plated copper.

도 3은 본 발명의 일 실시예에 따른 방법의 흐름도를 도시한다. 3 shows a flowchart of a method according to an embodiment of the present invention.

도 4는 본 발명의 예시적인 전기화학 도금 시스템의 일 실시예의 개략적인 단면도를 도시한다. 4 shows a schematic cross-sectional view of one embodiment of an exemplary electrochemical plating system of the present invention.

도 5는 본 발명의 예시적인 전극 구성의 일 실시예의 개략적인 단면도를 도시한다.5 shows a schematic cross-sectional view of one embodiment of an exemplary electrode configuration of the present invention.

도 6A는 애노드액 유체 유동 패턴을 보여주는, 본 발명의 예시적인 전기화학 도금 시스템의 일 실시예의 수평 단면을 도시한다.6A shows a horizontal cross-section of one embodiment of an exemplary electrochemical plating system of the present invention showing the anolyte fluid flow pattern.

도 6B는 본 발명의 예시적인 전극 및 막 구성의 개략적인 단면도를 도시한다.6B shows a schematic cross sectional view of an exemplary electrode and membrane configuration of the present invention.

도 6C는 본 발명의 예시적인 도금 셀의 유체 전달 도관의 상세 단면도를 도시한다.6C shows a detailed cross-sectional view of a fluid delivery conduit of an exemplary plating cell of the present invention.

도 6D는 본 발명의 예시적인 도금 셀의 유체 복귀 도관의 상세 단면도를 도시한다.6D shows a detailed cross-sectional view of a fluid return conduit of an exemplary plating cell of the present invention.

도 6E는 도금 작업 동안 발생되는 대표적인 전기적 플럭스 라인 및 본 발명의 예시적인 도금 셀의 단면도를 도시한다. 6E shows a cross-sectional view of an exemplary electrical flux line generated during a plating operation and an exemplary plating cell of the present invention.

도 7A-7E는 본 발명의 실시예에 따른, 본 발명의 전기화학 도금 시스템에서 사용될 수 있는 예시적 전극 구성을 도시한다. 7A-7E illustrate exemplary electrode configurations that can be used in the electrochemical plating system of the present invention, in accordance with embodiments of the present invention.

도 8은 본 발명의 예시적 전기화학 도금 방법의 일 실시예를 도시하는 흐름도이다. 8 is a flow chart illustrating one embodiment of an exemplary electrochemical plating method of the present invention.

도 9A-9B는 본 발명의 실시예에 따른, 본 발명의 예시적 전기화학 도금 시스템의 다양한 전극에 대한 전원의 예시적 연결을 도시한다. 9A-9B illustrate example connections of power sources to various electrodes of an exemplary electrochemical plating system of the present invention, in accordance with embodiments of the present invention.

도 10은 본 발명의 일 실시예에 따른, 본 발명의 전기화학 도금 시스템 내부의 예시적 전류 경로를 도시한다. 10 shows an exemplary current path inside an electrochemical plating system of the present invention, in accordance with an embodiment of the present invention.

도 11A-11B는 본 발명의 실시예에 따른, 본 발명의 전기화학 도금 시스템의 다양한 전극에 연결되는 전원 상에서의, 예시적인, 제어된 전류 변화 다이어그램을 도시한다.11A-11B show exemplary, controlled current change diagrams on a power supply connected to various electrodes of an electrochemical plating system of the present invention, in accordance with embodiments of the present invention.

도 12는 본 발명의 방법 및 장치를 이용하여 얇은 금속층을 도금하고, 이로써 기판의 표면에 걸쳐 균일한 증착이 이루어진, 예시적 결과를 도시한다. FIG. 12 shows exemplary results, in which a thin metal layer is plated using the method and apparatus of the present invention, whereby uniform deposition is made over the surface of the substrate.

본 발명의 실시예는, 그 위에 전도성 배리어 금속을 갖는 기판 상에, 얇은 금속 씨드층 및/또는 벌크 금속층을 전기화학 증착하기 위해 구성된 방법 및 장치를 제공한다. 본 발명의 도금 셀은 100 나노미터 미만의(sub 100 nanometer) 집적 회로에 대한 금속화 공정을 지지하도록 구성된다. 도금 셀은 일반적으로 분할된 유체 베이신(basin)을 포함한다. 즉 도금 셀 유체 베이신 내의 유체 용적은 캐소드액(catholyte) 용적 및 애노드액(anolyte) 용적으로 분리된다. 도금 셀의 애노드액 용적은 적어도 하나의 애노드 전극 및 적어도 하나의 상대 전극(counter electrode) 또는 보조 전극을 포함하나, 상대 전극은 애노드 전극으로부터 전기적으로 절연되도록 구성되고 배치된다. Embodiments of the present invention provide methods and apparatus configured for electrochemical deposition of thin metal seed layers and / or bulk metal layers on a substrate having a conductive barrier metal thereon. The plating cell of the present invention is configured to support a metallization process for integrated circuits of sub 100 nanometers. Plating cells generally comprise divided fluid basins. That is, the fluid volume in the plating cell fluid basin is separated into a catholyte volume and an anolyte volume. The anolyte volume of the plating cell includes at least one anode electrode and at least one counter electrode or auxiliary electrode, but the counter electrode is constructed and arranged to be electrically insulated from the anode electrode.

벌크 금속층에 적절한 금속 물질은, 구리, 니켈 등과 같이, 기판 표면 상에 도금될 수 있는 임의의 금속 물질일 수 있다. 예를 들면, 본 발명은, 반도체 인터커넥트 형성 동안 서브마이크론 피처를 채우기 위해, 직접 또는 간접 구리 도금 공정 동안 구리 씨드층 또는 배리어 물질의 표면 상에서의 구리 물질의 도금을 제공한다. 일 실시예에서, 본 발명은, 기판의 전체 표면에 걸쳐 균일한 도금을 얻기 위하여, 전도성 금속 물질의 전기화학 증착 동안 적어도 셋 이상의 스테이지/단계(본 명세서에서 상세하게 설명될 것임)를 포함할 수 있다. 셋 이상의 도금 스테이지는 동일한 전기화학 증착 장치에서 또는 서로 다른 도금 도구에서 수행될 수 있다. 특히, 본 발명은 그 위에 낮은 비저항(즉 높은 저항성)의 물질을 갖는 기판 표면 상에 하나 이상의 금속층의 균일한 도금을 가능하게 한다. 또한, 본 발명은 높은 기판 표면 저항 문제를 해결하고, 기판의 에지에서 전류 분포 및 접촉 저항을 향상시키기 위해 제공된다. Suitable metal materials for the bulk metal layer can be any metal material that can be plated on the substrate surface, such as copper, nickel, and the like. For example, the present invention provides plating of copper material on the surface of a copper seed layer or barrier material during a direct or indirect copper plating process to fill submicron features during semiconductor interconnect formation. In one embodiment, the present invention may include at least three or more stages / steps (as will be described in detail herein) during electrochemical deposition of conductive metal materials to obtain uniform plating over the entire surface of the substrate. have. Three or more plating stages may be performed in the same electrochemical deposition apparatus or in different plating tools. In particular, the present invention allows for uniform plating of one or more metal layers on a substrate surface having a low resistivity (ie high resistivity) material thereon. In addition, the present invention is provided to solve the problem of high substrate surface resistance and to improve current distribution and contact resistance at the edge of the substrate.

기판의 표면은, Ⅷ 족 금속 또는 귀금속 배리어 층과 같이, 도금을 위한 적절한 씨드 또는 전도성 물질로서 사용될 수 있는 임의의 물질일 수 있다. 기판 표면 물질은 구리(Cu), 루테늄(Ru), 크롬(Cr), 탄탈륨(Ta), 이리듐(Ir), 오스뮴(Os), 텅스텐(W), 팔라듐(Pd), 플래티늄(Pt), 및 이들의 합금을 포함하지만, 이에 제한되는 것은 아니다. 예를 들면, 루테늄(Ru)은 낮은 비저항의 금속이고, 도금 외의 다른 증착 방법에 의해 증착된 구리 씨드층을 필요로 하지 않으면서 직접적인 구리 도금을 하기 위한 배리어 층으로서 기판 상에 피처를 코팅하기 위해 사용될 수 있다.The surface of the substrate may be any material that can be used as a suitable seed or conductive material for plating, such as a Group VIII metal or precious metal barrier layer. Substrate surface materials include copper (Cu), ruthenium (Ru), chromium (Cr), tantalum (Ta), iridium (Ir), osmium (Os), tungsten (W), palladium (Pd), platinum (Pt), and Alloys thereof, but are not limited thereto. For example, ruthenium (Ru) is a low resistivity metal, for coating features on a substrate as a barrier layer for direct copper plating without the need for a copper seed layer deposited by other deposition methods other than plating. Can be used.

도 1A 내지 1C는 금속 인터커넥트 제조 순서의 서로 다른 스테이지에 있는 기판의 단면도를 도시한다. 도 1A에서, 금속 컨택(contact)(104) 및 유전체 층(102)은 예를 들어 실리콘, 게르마늄, 또는 갈륨 비소화물(arsenide)과 같은 반도체 물질을 포함하는 기판(100)의 표면 상에 형성된다. 유전체 층(102)은, 예를 들어 캘리포니아 산타 클라라에 위치한 어플라이드 머티어리얼스사로부터 구입 가능한 블랙 다이아몬드TM 로우-k(low-k) 유전체 물질인 SiOxCy와 같은 탄소 도핑된 실리콘 옥사이드 또는 실리콘 다이옥사이드, 실리콘 니트라이드, 실리콘 옥시니트라이드와 같은 절연 물질을 포함할 수 있다. 금속 컨택(104)은 예를 들어, 다른 것들 중에서도 특히 구리를 포함할 수 있다. 구멍(120)이 유전체 층(102)에 형성되어 금속 컨택(104) 위에 개구를 제공한다. 구멍(120)은 종래의 리소그래피 및 에칭 기술을 이용하여 유전체 층(102)에 형성될 수 있다. 1A-1C illustrate cross-sectional views of substrates at different stages of the metal interconnect manufacturing sequence. In FIG. 1A, metal contact 104 and dielectric layer 102 are formed on the surface of substrate 100 including a semiconductor material such as, for example, silicon, germanium, or gallium arsenide. . Dielectric layer 102 is, for example, carbon doped silicon oxide or silicon, such as SiO x C y , a Black Diamond TM low-k dielectric material available from Applied Materials, Inc. of Santa Clara, California. Insulating materials such as dioxide, silicon nitride, silicon oxynitride. Metal contact 104 may include, for example, copper, among others. A hole 120 is formed in the dielectric layer 102 to provide an opening over the metal contact 104. Holes 120 may be formed in dielectric layer 102 using conventional lithography and etching techniques.

도 1B에서, 선택적으로, 배리어 층(106)이 유전체 층(102)의 최상부 상에 형성될 수 있다. 배리어 층(106)은 구리-배리어 물질로서 이용되는 하나 이상의 내화 금속-함유 층(refractory metal-containing layer)을 포함할 수 있는데, 예를 들면, 다른 것들 중에서도 특히 티타늄, 티타늄 니트라이드, 티타늄 실리콘 니트라이드, 탄탈륨, 탄탈륨 니트라이드, 탄탈륨 실리콘 니트라이드, 텅스텐 및 텅스텐 니트라이드이다. 배리어 층(106)은 원자층 증착(ALD), 화학 기상 증착(CVD), 또는 물리 기상 증착(PVD)과 같은 적절한 증착 공정을 이용하여 형성될 수 있다. 예를 들면, 티타늄 니트라이드는, 예를 들어 티타늄 테트라클로라이드(titanium tetrachloride) 및 암모니아를 이용하여, ALD 공정 또는 CVD 공정에 의해 증착될 수 있다. 일 실시예에서, 탄탈륨 니트라이드 및/또는 탄탈륨은, 인용에 의해 본 명세서에 병합되는, 2003년 7월 3일 공개된, 본 출원인에게 공통으로 양도된 미국 특허 공보 2003/0121608호에서 설명된 바와 같이 ALD 공정에 의해 배리어 층으로서 증착된다. 선택적인 배리어 층의 두께는 약 5Å 내지 약 150Å이고 바람직하게는 100Å 미만이다. In FIG. 1B, optionally, a barrier layer 106 may be formed on top of the dielectric layer 102. Barrier layer 106 may include one or more refractory metal-containing layers used as copper-barrier materials, for example, among others, in particular titanium, titanium nitride, titanium silicon nitride. Rides, tantalum, tantalum nitride, tantalum silicon nitride, tungsten and tungsten nitride. The barrier layer 106 may be formed using a suitable deposition process, such as atomic layer deposition (ALD), chemical vapor deposition (CVD), or physical vapor deposition (PVD). For example, titanium nitride may be deposited by an ALD process or a CVD process using, for example, titanium tetrachloride and ammonia. In one embodiment, tantalum nitride and / or tantalum are described in US Patent Publication 2003/0121608, commonly assigned to the applicant, published July 3, 2003, which is incorporated herein by reference. As deposited as a barrier layer by an ALD process. The thickness of the optional barrier layer is from about 5 kPa to about 150 kPa and preferably less than 100 kPa.

본 발명의 일 실시예는, 낮은 전기 비저항 때문에 도금의 개시/핵생성에 있어 매우 저항성이 있는 Ⅷ 족 금속 물질, 예를 들어, 다른 것들 중에서도 특히 루테늄(Ru), 로듐(Rh), 팔라듐(Pd), 오스뮴(Os), 이리듐(Ir), 및 플래티늄(Pt)과 같은 전도성 물질의 하부층 상에 균일한 구리층의 도금을 제공한다. 예로서, 약 100Å의 얇은 루테늄 층은 약 1000Å의 구리 씨드층보다 약 100배 더 저항성이 있고, 하부층을 형성하는데 사용되는 증착 공정과 무관하게, 그 위에 구리가 도금되는 것에 수동적(passive)이다.One embodiment of the present invention is a Group VIII metal material that is very resistant to initiation / nucleation of plating due to low electrical resistivity, for example ruthenium (Ru), rhodium (Rh), palladium (Pd), among others. ), Plating of a uniform copper layer on a lower layer of conductive material such as osmium (Os), iridium (Ir), and platinum (Pt). As an example, a thin ruthenium layer of about 100 microseconds is about 100 times more resistant than a copper seed layer of about 1000 microseconds and is passive to plating copper on it, regardless of the deposition process used to form the underlying layer.

Ⅷ 족 금속 물질은 3:1보다 큰 종횡비를 가지는 구리 인터커넥트 피처, 예를 들어 비아(via), 트렌치(trench), 및 라인(line)을 위한 하층(underlayer) 또는 배리어 층으로서 사용될 수 있는데, 이는 대부분의 Ⅷ 족 금속 물질이 낮은 전기 비저항 및 높은 열 안정성을 제공하고 부식 및 산화에 저항성이 있기 때문이다. 예를 들면, 루테늄의 비저항은 약 ~7μΩ-cm이고 그 녹는점은 약 2300℃이다. 또한, 루테늄의 열 및 전기 전도도는 탄탈륨(Ta)의 전기 전도도의 두 배이고, 따라서 루테늄은 구리에 대한 좋은 배리어 층이다. 또한, 루테늄은 900℃ 아래의 온도에서 구리와 합금을 형성하지 않으며, 구리에 대해 좋은 부착성을 나타낸다. 따라서, Ⅷ 족 금속 또는 귀금속 물질은, 또한 종래의 배리어 층 및 구리 사이에 접착층으로서 작용하기 위해, Ta(탄탈륨) 및/또는 TaN(탄탈륨 니트라이드)와 같은 종래의 배리어 층 상에 증착될 수 있다. 또한, 루테늄(Ru)의 낮은 비저항은, 구리 PVD 또는 CVD 씨드층에 대한 필요 없이, 구리 인터커넥트 중에 루테늄 코팅된 피처를 채우도록 시도할 때 유리할 수 있다. 특히, 루테늄은 45 nm 미만의 구리 인터커넥트에 대해 금속간 유전체(intermetal dielectrics, IMD) 사이의 양호한 무씨드(seedless) 확산 배리어 물질이 될 수 있다. Group VIII metal materials can be used as copper interconnect features having aspect ratios greater than 3: 1, for example, as an underlayer or barrier layer for vias, trenches, and lines. This is because most Group VIII metal materials provide low electrical resistivity, high thermal stability and are resistant to corrosion and oxidation. For example, ruthenium has a resistivity of about ˜7 μΩ-cm and a melting point of about 2300 ° C. Also, the thermal and electrical conductivity of ruthenium is twice that of tantalum (Ta), so ruthenium is a good barrier layer for copper. In addition, ruthenium does not form an alloy with copper at temperatures below 900 ° C, and shows good adhesion to copper. Thus, Group VIII metal or precious metal material may also be deposited on conventional barrier layers such as Ta (tantalum) and / or TaN (tantalum nitride) to act as an adhesive layer between the conventional barrier layer and copper. . In addition, the low resistivity of ruthenium (Ru) may be advantageous when attempting to fill ruthenium coated features in copper interconnects without the need for copper PVD or CVD seed layers. In particular, ruthenium can be a good seedless diffusion barrier material between intermetal dielectrics (IMD) for copper interconnects of less than 45 nm.

도 1B를 참고하면, 루테늄(Ru)과 같은 Ⅷ 족 배리어 금속층(108)이, 기판 상에, 예를 들어 배리어 층(106) 상에 형성된다. Ⅷ 족 금속층(108)에 대한 두께는 제조되는 소자 구조에 종종 좌우된다. 일반적으로, 루테늄(Ru)과 같은 Ⅷ 족 금속층(108)의 두께는 약 1000Å 미만이고, 바람직하게는 약 5Å 내지 약 200Å이다. 일 실시예에서, Ⅷ 족 금속층(108)은 약 100Å 미만, 예를 들어 약 50Å의 두께를 갖는 루테늄 층이다. Referring to FIG. 1B, a Group VIII barrier metal layer 108, such as ruthenium (Ru), is formed on a substrate, for example on the barrier layer 106. The thickness for the Group VIII metal layer 108 often depends on the device structure being fabricated. Generally, the Group VIII metal layer 108, such as ruthenium (Ru), is less than about 1000 microns, preferably about 5 microns to about 200 microns. In one embodiment, the Group VIII metal layer 108 is a ruthenium layer having a thickness of less than about 100 GPa, for example about 50 GPa.

이후, 도 1C를 참고하면, 인터커넥트를 완성하기 위해, 구멍(120)이 구리와 같은 금속 물질(110)로 채워질 수 있다. 일 실시예에서, 루테늄 층과 같은 귀금속 층 또는 전이 금속층은, 전기화학 도금 또는 다른 구리 도금 기술에 의해 구리가 직접 증착되는, 씨드층으로서 작용한다. 구멍(120)의 폭은, 예를 들어, 약 900Å 보다 작거나 같을 수 있다. 유전체 층(102)의 두께는 제한되지 않으며, 약 1000Å 내지 약 10000Å 사이의 범위일 수 있다.1C, the hole 120 may be filled with a metallic material 110, such as copper, to complete the interconnect. In one embodiment, a noble metal layer or transition metal layer, such as a ruthenium layer, acts as a seed layer, where copper is deposited directly by electrochemical plating or other copper plating techniques. The width of the hole 120 may be less than or equal to, for example, about 900 mm 3. The thickness of the dielectric layer 102 is not limited and may range between about 1000 GPa and about 10000 GPa.

ECP 구리 도금을 위한 전기화학 도금 용액은 일반적으로 구리 소스, 산 소스, 염소 이온 소스, 및 적어도 하나의 도금 용액 첨가제, 즉 레벨러(leveler), 억제제(suppressor), 가속제(accelerator), 거품방지제 등을 포함한다. 예를 들면, 도금 용액은 약 30 내지 약 60 g/L의 구리, 약 10 내지 약 50 g/L의 산, 약 20 내지 약 100ppm의 염소 이온, 약 5 내지 약 30ppm의 첨가 가속제, 약 100 내지 약 1000ppm의 첨가 억제제, 및 약 1 내지 약 6ml/L의 첨가 레벨러를 포함할 수 있다. 도금 전류는 서브마이크론 트렌치 및/또는 비아 구조 안으로 구리를 채우기 위해 약 2mA/cm2 내지 약 10mA/cm2의 범위 내에 있을 수 있다. 구리 도금 화학 작용(chemistry) 및 공정의 예는 본 출원인에게 공통으로 양도된, "Multiple-Step Electrodeposition Process For Direct Copper Plating On Barrier Metals"이란 제목의 미국 특허출원 번호 제 10/616,097호(2003년 7월 8일에 출원됨)와 "Methods And Chemistry For Providing Initial Conformal Electrochemical Deposition Of Copper In Sub-Micron Features"이란 제목의 미국 특허출원 번호 제 60/510,190호(2003년 10월 10일에 출원됨)에서 찾을 수 있다. 전기화학 도금(ECP) 시스템의 예 및 예시적 도금 셀은 이하의 도 4 내지 7에서 설명된다. Electrochemical plating solutions for ECP copper plating are generally copper sources, acid sources, chlorine ion sources, and at least one plating solution additive, such as levelers, suppressors, accelerators, antifoams, etc. It includes. For example, the plating solution may contain about 30 to about 60 g / L copper, about 10 to about 50 g / L acid, about 20 to about 100 ppm chlorine ions, about 5 to about 30 ppm addition accelerator, about 100 To about 1000 ppm addition inhibitor, and about 1 to about 6 ml / L addition leveler. Plating current may be in the submicron trench and / or the range of about 2mA / cm 2 to about 10mA / cm 2 for filling copper into the via structure. Examples of copper plating chemistry and processes are described in US Patent Application No. 10 / 616,097 entitled "Multiple-Step Electrodeposition Process For Direct Copper Plating On Barrier Metals," commonly assigned to the applicant (7 July 2003). US Patent Application No. 60 / 510,190, filed Oct. 10, 2003, entitled "Methods And Chemistry For Providing Initial Conformal Electrochemical Deposition Of Copper In Sub-Micron Features." You can find it. Examples of electrochemical plating (ECP) systems and exemplary plating cells are described below in FIGS. 4 to 7.

구리 도금을 위한 높은 저항성의 얇은 배리어 층으로서, 루테늄(Ru)과 같은, Ⅷ 족 배리어 물질의 문제는 기판의 전체 표면 상에서, 특히 그 위에 작은 피처를 갖는 기판 상에서 균일한 도금이 일어날 수 없다는 것이다. 또한, 도금을 시작하기 위한 초기의 과전압(overpotential)은 정상(normal)보다 높아야만 하고, 예를 들어 루테늄 상에 구리를 도금하기 위해서는 구리 상에 도금할 때보다 약 30mV 이상의 추가 전압이 필요하다. 또한, 기판의 에지 근처에 위치한 기판 접촉 지점과 기판의 조악한 접촉이 존재하여, 이러한 위치에 흐릿한(hazy) 구역 또는 조악한 도금을 초래한다. As a highly resistant thin barrier layer for copper plating, the problem with Group VIII barrier materials, such as ruthenium (Ru), is that uniform plating cannot occur on the entire surface of the substrate, especially on substrates with small features thereon. In addition, the initial overpotential to start plating must be higher than normal, for example, to plate copper on ruthenium requires an additional voltage of about 30 mV or more than when plating on copper. In addition, there is a coarse contact of the substrate with a substrate contact point located near the edge of the substrate, resulting in a hazy zone or coarse plating at this location.

도 2A는 루테늄 배리어 층을 갖는 기판의 전체 표면 상에서의 균일성과 대비한 초기의 구리 성장을 도시한다. 먼저, 초기 도금 시간 간격(t1, t2, t3) 동안 도금이 주로 기판의 에지 상에서 이루어져, 불균일한 구리 증착을 초래한다. 심지어 구리가 기판 상에 증착되기 시작할 때에도, 도금된 구리 핵 또는 클러스터는 분리되어, 이들이 최종적으로 서로 오버랩(overlap)되기 위해서는 시간이 소요된다. 도 2B는 기판(210) 표면 상의 금속층의 도금을 도시한다. 기판(210)이 사용되는 도금 장치와 접촉하는, 기판(210)의 에지 상에서의 도금은 균일하지 아니하다. 도금된 금속 물질의 결핍(depletion)을 보여주는 흐릿한 구역(220)은 때때로 밀리미터의 크기이다.2A shows the initial copper growth versus uniformity on the entire surface of the substrate with the ruthenium barrier layer. First, the plating takes place primarily on the edge of the substrate during the initial plating time intervals t1, t2, t3, resulting in uneven copper deposition. Even when copper begins to deposit on the substrate, the plated copper nuclei or clusters separate and take time for them to finally overlap each other. 2B shows the plating of a metal layer on the surface of the substrate 210. Plating on the edge of the substrate 210, in which the substrate 210 is in contact with the plating apparatus used, is not uniform. Blurry areas 220 showing depletion of the plated metal material are sometimes on the order of millimeters.

따라서 본 발명의 일 실시예는 금속 물질의 도금 동안 기판의 표면 상에서의 불균일성의 문제를 해결하도록 제공되고, 도금 장치에서 적어도 두 개의 전극의 이용을 필요로 하는, 금속 도금 공정의 다수의 스테이지를 포함한다. 한 전극은, 도금 동안 기판의 중심과 정렬되는 주 애노드로서 작용한다. 다른 전극은, 기판의 에지 근처에 위치하고 도금 동안 추가적인 애노드 또는 캐소드로서 작용할 수 있는 보조 전극 또는 상대 전극(counter electrode)이다. 또한 본 발명은, 도금 동안 균일한 전류 밀도를 발생시키는 것을 보조하기 위하여, 추가적인 전극, 전극 세그먼트, 또는 애노드 세그먼트를 이용하는 것을 포함한다. 또한, 기판 상에 얇은 금속 씨드층을 도금하기 이전에, 본 발명은, 기판 상의 균일한 금속 도금 및 균일한 전류 분포를 향상시키기 위하여, 도금되는 금속 물질의 얇은 코팅으로 도금 장치의 기판 접촉 지점/위치를 예비 처리하거나 코팅하는 방안을 고려한다. Thus, one embodiment of the present invention is provided to address the problem of non-uniformity on the surface of a substrate during plating of a metallic material, and involves multiple stages of the metal plating process, which requires the use of at least two electrodes in the plating apparatus. do. One electrode acts as the main anode which is aligned with the center of the substrate during plating. The other electrode is an auxiliary electrode or counter electrode which is located near the edge of the substrate and can act as an additional anode or cathode during plating. The invention also includes using additional electrodes, electrode segments, or anode segments to assist in generating a uniform current density during plating. In addition, prior to plating a thin metal seed layer on the substrate, the present invention provides a method of contacting the substrate of a plating apparatus with a thin coating of a metal material to be plated to improve uniform metal plating and uniform current distribution on the substrate. Consider pretreatment or coating of the location.

도 3은 기판이 없는 상태에서 도금 장치를 이용하여 얇은 금속층을 도금하는 선택적인 단계(310a)를 포함하는 본 발명의 방법(300)을 도시한다. 이렇게 해서, 약 50Å 내지 약 500Å과 같은, 약 600Å 미만의 금속 코팅이 도금 장치의 다양한 접촉 요소/지점 또는 접촉 핀 상에 도금된다. 금속 코팅의 증착 이전에 기판 접촉 지점/위치/요소의 다른 선택적인 예비 처리는, 디플레이팅(de-plating)에 의해, 예를 들어 기판 접촉 요소로부터 오염물을 디플레이팅하기 위해 짧은 시간 동안 전원의 애노드 단자에 기판 접촉 지점을 연결시킴에 의해, 어떠한 증착물(deposit) 또는 오염물도 제거하는 것을 포함할 수 있다. 3 shows a method 300 of the present invention that includes an optional step 310a of plating a thin metal layer using a plating apparatus in the absence of a substrate. In this way, a metal coating of less than about 600 kPa, such as about 50 kPa to about 500 kPa, is plated on various contact elements / points or contact pins of the plating apparatus. Another optional pretreatment of the substrate contact point / position / element prior to the deposition of the metal coating is the anode of the power supply for a short time, for example to deplat contaminants from the substrate contact element by de-plating. By connecting substrate contact points to the terminals, it may include removing any deposits or contaminants.

이후 스테이지(310)에서, 얇은 금속층이 제 1 공정 조건 하에서 기판의 표면 상에 도금되어, 적어도, 스테이지(310)에서 기판의 상당한 부분을 덮는다. 예를 들면, 얇은 구리 씨드층이 Ⅷ 족 금속 또는 귀금속 배리어 물질을 그 위에 갖는 기판 표면 상에 직접 도금되어, 후속하는 도금 단계를 위한, 비교적 균일하고 전도성이 있는 표면을 생성한다. 기판 표면은 물리 기상 증착(PVD) 기술에 의해 증착되는 구리 씨드층 또는 루테늄 배리어 층을 포함할 수 있다. In stage 310, a thin metal layer is then plated on the surface of the substrate under the first process conditions, covering at least a substantial portion of the substrate in stage 310. For example, a thin copper seed layer is plated directly onto a substrate surface having a Group VIII metal or precious metal barrier material thereon, creating a relatively uniform and conductive surface for subsequent plating steps. The substrate surface may comprise a copper seed layer or ruthenium barrier layer deposited by physical vapor deposition (PVD) techniques.

제 1 공정 조건은 역방향으로 2개 이상의 전원에 의해 제공된 짧은 DC 전류 펄스(short DC current pulse)를 포함할 수 있다. 하나의 전원은 주 애노드 및 기판(캐소드로서 작용함)에 연결된다. 다른 전원은 하나 이상의 보조 전극 및 기판에 연결되어, 주 애노드를 통과하는 전류에 대해 반대 극성인, 하나 이상의 보조 전극을 통과하는 전류를 제공한다. The first process condition may comprise a short DC current pulse provided by two or more power supplies in the reverse direction. One power source is connected to the main anode and the substrate (acting as a cathode). The other power source is connected to the one or more auxiliary electrodes and the substrate to provide a current through the one or more auxiliary electrodes that is of opposite polarity to the current through the primary anode.

주 애노드는 하나의 중앙/내부 애노드 세그먼트 또는 몇 개의 애노드 세그먼트일 수 있고, 이는 도금 장치에서 애노드 어셈블리의 상당한 영역을 커버한다. 또한, 주 애노드는 처리되는 기판의 중심/중앙부 근처에 위치하거나 또는 이와 정렬될 수 있고, 하나 이상의 보조 전극은 기판의 주변 영역(peripheral region)/둘레(perimeter) 근처에 위치할 수 있으며, 이로써 기판의 중심/중앙부를 통과하는 전류가 증가될 수 있다. 제 1 전원에 연결된 주 애노드는 기판 표면 상에, 바람직하게는 기판의 중앙부 상에, 구리를 전착(electrodeposit)하는데 사용된다. 제 1 전원과 반대 방향으로 작동하는 제 2 전원에 연결된 하나 이상의 보조 전극은 전류 시프(current thief)로서 작용하도록 이용되어 기판의 에지 근처의 전착을 감소시킨다. 일 실시예에서, 짧은 DC 전류 펄스가 제 1 공정 조건 하에서 가해져, 기판의 중심/중앙 영역을 향하여 증착을 증가시킨다. 다른 실시예에서, 짧은 DC 전류 펄스가 제 1 공정 조건 하에서 가해져, 기판의 주변부를 향하여 증착을 감소시킨다.The main anode can be one center / inner anode segment or several anode segments, which cover a significant area of the anode assembly in the plating apparatus. In addition, the primary anode can be located near or aligned with the center / center of the substrate being processed, and the one or more auxiliary electrodes can be located near the peripheral region / perimeter of the substrate, whereby the substrate The current through the center / central of can be increased. The main anode connected to the first power source is used for electrodepositing copper on the substrate surface, preferably on the center of the substrate. One or more auxiliary electrodes connected to a second power source operating in a direction opposite to the first power source are used to act as current thief to reduce electrodeposition near the edge of the substrate. In one embodiment, a short DC current pulse is applied under the first process conditions to increase deposition towards the center / center region of the substrate. In another embodiment, a short DC current pulse is applied under the first process conditions to reduce deposition towards the periphery of the substrate.

제 1 및 제 2 전원을 통과하는 각각의 전류는 DC 전류 펄스 동안 일정하나, 진폭 및 방향/극성이 다를 수 있다. 주 애노드, 하나 이상의 보조 전극, 및 둘 이상의 전원을 이용하여, 도금이 기판의 전체 표면 상에서 균일하게 일어날 수 있다.Each current through the first and second power supplies is constant during the DC current pulse, but may vary in amplitude and direction / polarity. Using a primary anode, one or more auxiliary electrodes, and two or more power sources, plating may occur uniformly over the entire surface of the substrate.

기판을 통과하는 이러한 펄스의 짧은 DC 전류 밀도는 사용되는 배리어 물질(또는 배리어 물질의 수동성(passivity)) 또는 기판 표면 상의 임의의 금속 물질, 도금을 위해 사용된 전해질, 및 기판 근처의 전기장의 기하학적 배열에 좌우되며, 예를 들어 약 40mA/cm2 내지 약 50mA/cm2일 수 있다. DC 전류 밀도에 영향을 미칠 수 있는 다른 요소는, 중앙의 주 애노드 세그먼트의 지름, 전해질의 전도도 등을 포함할 수 있다. 짧은 DC 전류 밀도는 필요한 두께에 이를 때까지 일정 시간 동안 지속될 수 있다. 일 실시예에서, 약 50Å 내지 약 100Å과 같이, 약 30Å 내지 약 250Å의 얇은 구리 씨드층이 스테이지(310)에서 균일하게 형성된다.The short DC current density of these pulses through the substrate is the geometrical arrangement of the barrier material used (or the passivity of the barrier material) or any metal material on the substrate surface, the electrolyte used for plating, and the electric field near the substrate. And, for example, about 40 mA / cm 2 to about 50 mA / cm 2 . Other factors that may affect the DC current density may include the diameter of the central main anode segment, the conductivity of the electrolyte, and the like. Short DC current densities can last for some time until the required thickness is reached. In one embodiment, a thin copper seed layer of about 30 ms to about 250 ms is formed uniformly at stage 310, such as about 50 ms to about 100 ms.

스테이지(320)에서, 적어도, 기판 표면 상의, 구멍(120)과 같은, 피처, 갭을 채우기 위하여 제 2 공정 조건 하의 도금이 수행된다. 일 실시예에서, 단계(320) 이후 도금된 구리의 총 두께는, 약 50Å 내지 약 200Å 또는 그 초과, 또는 약 500Å 내지 약 1000Å과 같이, 약 50Å 보다 크다. 제 2 공정 조건은, 하나 이상의 전원을, 기판의 표면을 커버하도록 정렬된 하나 이상의 애노드, 애노드 세그먼트, 또는 모든 애노드 세그먼트에 제공함으로써, 도금이 계속되도록 하기 위한 DC 전류 펄스를 포함할 수 있다. 제 2 공정 조건 하의 DC 전류 펄스에 대한 강도는 도금된 금속의 비저항, 기판 상에서 하부에 있는 물질(underlying material) 및 다른 도금 파라미터에 좌우되며, 제한적이지 않다. 일 실시예에서, 제 2 공정 조건 하에서의 DC 전류 펄스에 대한 강도는 제 1 공정 조건 하의 DC 전류 펄스의 강도보다 낮다. 또한, 제 2 공정 조건 하에서, 보조 전극에 대한 전류 강도는 제한적이지 않다. 보조 전극은 아이들(idle) 상태에 있거나, 추가적인 캐소드로서 작용하거나, 또는 추가적인 애노드로서 작용할 수 있다. 일 실시예에서, 기판을 통과하는 전류보다 낮은 전류로 보조 전극을 통해 전류가 가해질 수 있다. In stage 320, plating under a second process condition is performed at least to fill a gap, a feature, such as hole 120, on a substrate surface. In one embodiment, the total thickness of the plated copper after step 320 is greater than about 50 mm 3, such as about 50 mm 3 to about 200 mm or more, or about 500 mm to about 1000 mm 3. The second process condition may include a DC current pulse to allow plating to continue by providing one or more power supplies to one or more anodes, anode segments, or all anode segments aligned to cover the surface of the substrate. The intensity for DC current pulses under the second process condition depends on, and is not limited to, the resistivity of the plated metal, the underlying material on the substrate, and other plating parameters. In one embodiment, the intensity for the DC current pulse under the second process condition is lower than the intensity of the DC current pulse under the first process condition. In addition, under the second process conditions, the current strength for the auxiliary electrode is not limited. The auxiliary electrode may be in an idle state, act as an additional cathode, or act as an additional anode. In one embodiment, current may be applied through the auxiliary electrode with a current lower than the current through the substrate.

스테이지(330)에서, 제 3 공정 조건 하에서 도금이 수행되어, 적어도, 기판 표면 상에 벌크 금속층의 일부를 증착한다. 일 실시예에서, 스테이지(330)에서의 벌크 구리층의 총 두께는, 약 800Å 내지 약 1200Å과 같이, 약 500Å 보다 클 수 있다. 제 3 공정 조건은, 원하는 총 두께까지 구리를 연속적으로 증착하기 위하여, 높은 처리량으로 도금하는 것을 포함할 수 있다. 하나 이상의 전원이 하나 이상의 보조 전극 및 (하나 이상의 또는 모든 애노드 세그먼트를 포함하는) 도금 장치의 애노드 어셈블리에 연결되며, 기판의 전체 표면 상에서 도금이 균일하게 일어날 수 있다.In stage 330, plating is performed under third process conditions to deposit at least a portion of the bulk metal layer on the substrate surface. In one embodiment, the total thickness of the bulk copper layer in the stage 330 may be greater than about 500 GPa, such as about 800 GPa to about 1200 GPa. Third process conditions may include plating at high throughputs to continuously deposit copper to a desired total thickness. One or more power supplies are connected to the anode assembly of the plating apparatus (including one or more or all anode segments) and the plating may occur uniformly over the entire surface of the substrate.

또한, 본 발명의 실시예는 기판 상에 얇은 금속 씨드층 및/또는 벌크 금속층을 포함하는 금속층의 전기화학 증착을 위해 구성된 도금 장치를 제공한다. 도금 장치 또는 도금 셀은, 주 애노드 전극/애노드 세그먼트, 다른 하나 이상의 애노드 전극, 및 하나 이상의 보조 전극 또는 상대 전극과 같은, 하나 이상의 전극을 포함한다. 보조 전극은 애노드 전극 및/또는 애노드 세그먼트로부터 전기적으로 절연되도록 구성되고 배치된다. 예시적 도금 셀은 "Electrochemical Processing Cell"이라는 제목으로 2003년 7월 24일에 출원되고 본 출원인에게 공통으로 양도된 미국 특허출원 번호 제 10/627,336호와 "Electrochemical Plating Cell with a Counter Electrode in an isolated Anolyte Compartment"이라는 제목으로 2004년 6월 28일에 출원되고 본 출원인에게 공통으로 양도된 미국 특허출원 번호 제 10/880,103호에서 찾을 수 있고, 이들 두 특허 문서의 전체 내용은 인용에 의해 본 명세서에 병합된다.Embodiments of the present invention also provide a plating apparatus configured for electrochemical deposition of a metal layer comprising a thin metal seed layer and / or a bulk metal layer on a substrate. The plating apparatus or plating cell comprises one or more electrodes, such as a primary anode electrode / anode segment, another one or more anode electrodes, and one or more auxiliary or counter electrodes. The auxiliary electrode is constructed and arranged to be electrically insulated from the anode electrode and / or the anode segment. Exemplary plating cells are described in US Patent Application No. 10 / 627,336, filed on July 24, 2003, entitled "Electrochemical Processing Cell," and "Electrochemical Plating Cell with a Counter Electrode in an isolated." Anolyte Compartment, filed on June 28, 2004 and commonly assigned to Applicant, US Patent Application No. 10 / 880,103, the entire contents of which are incorporated herein by reference. Are merged.

도 4는 헤드 어셈블리가 없는 본 발명의 도금 셀(400)의 단면도를 도시한다. 도금 셀(400)은 넘침 방지물(overflow weir, 409)(연속된(contiguous) 가장 위의 유체 넘침 지점)에 의해 둘러싸인 유체 베이신(408)을 포함하여, 그 안에 전해질, 유체, 또는 도금 용액(plating bath)을 함유한다. 초과된 유체 또는 전해질은 넘침 방지물(409)을 둘러싸는 외부 수집 용적(412)으로 넘쳐 흐르거나 엎질러진다. 도 5는 본 발명의 헤드 어셈블리(402)가 공정 위치에 있는, 도금 셀(400)의 다른 단면도를 도시한다. 헤드 어셈블리(402)는 도금 셀(400) 내부의 유체 베이신(408)에서의 전기화학 도금 작업을 위해 기판(418)을 전기적으로 바이어스시키고 지지하도록 구성된다. 헤드 어셈블리(402)는 일반적으로 접촉 링(406)을 포함하며, 이러한 접촉 링 상에는 기판과 전기적으로 접촉하는 복수의 금속 또는 금속 합금 전기 접촉 요소가 있다. 전기 접촉 요소/지점은, 하나 이상의 접촉 핀(403)과 같은, 접촉 핀, 접촉 로드, 접촉 표면, 접촉 패드 등의 형태를 가진다. 일반적으로 접촉 요소/지점은 귀금속, 반 귀금속(semi-noble metal), 플래티늄 등과 같은 비활성 물질로부터 제조된다. 본 발명의 일 실시예는 기판이 없는 상태에서 접촉 요소에 얇은 금속층을 코팅 또는 도금하는 것을 포함하여, 이후의 도금 공정 동안 기판 상의 도금을 위한 양호한 접촉부를 제공한다. 접촉 요소 또는 접촉 핀(403) 상의 얇은 금속층의 도금은 접촉 핀(403)을 전원(미도시)의 캐소드 단자에 연결시킴으로써 실행된다. 접촉 핀(403) 상에 코팅된 얇은 금속층은 이후에 기판 상에 도금되는 금속과 동일한 물질일 수 있다. 선택적으로, 접촉 요소 또는 접촉 핀(403)은, 얇은 금속층이 그 위에 코팅/도금되기 전에 접촉 핀(403)을 전원의 애노드 단자에 연결시킴으로써, 그 위에 있는 어떠한 증착물도 제거하기 위하여 디플레이팅 공정에 의해 예비 처리될 수 있다.4 shows a cross sectional view of a plating cell 400 of the present invention without a head assembly. The plating cell 400 includes a fluid basin 408 surrounded by an overflow weir 409 (contiguous topmost fluid overflow point) in which an electrolyte, fluid, or plating solution is located. (plating bath). Excess fluid or electrolyte overflows or spills into the outer collection volume 412 surrounding the overflow protector 409. 5 shows another cross-sectional view of the plating cell 400 with the head assembly 402 of the present invention in the process position. Head assembly 402 is configured to electrically bias and support substrate 418 for electrochemical plating operations in fluid basin 408 inside plating cell 400. The head assembly 402 generally includes a contact ring 406 on which there are a plurality of metal or metal alloy electrical contact elements in electrical contact with the substrate. The electrical contact element / point has the form of a contact pin, a contact rod, a contact surface, a contact pad, or the like, such as one or more contact pins 403. Contact elements / points are generally made from inert materials such as precious metals, semi-noble metals, platinum, and the like. One embodiment of the present invention includes coating or plating a thin metal layer on a contact element in the absence of a substrate, thereby providing good contact for plating on the substrate during subsequent plating processes. Plating of a thin metal layer on the contact element or contact pin 403 is performed by connecting the contact pin 403 to a cathode terminal of a power source (not shown). The thin metal layer coated on the contact pins 403 may be the same material as the metal that is subsequently plated on the substrate. Optionally, the contact element or contact pin 403 may be subjected to a deplating process to remove any deposits thereon by connecting the contact pin 403 to the anode terminal of the power supply before the thin metal layer is coated / plated thereon. May be pretreated.

유체 베이신(408) 내에서, 하나 이상의 전극, 애노드 어셈블리(422) 또는 애노드 세그먼트(422a-422c)는 도금을 위한 애노드로서 사용되도록 구성된다. 또한, 본 발명의 일 실시예는 애노드 어셈블리(422) 또는 애노드 세그먼트(422a-422c)의 둘레의 반경 방향 바깥쪽에 위치되도록 구성된 보조 전극 어셈블리(424)를 제공한다. 하나 이상의 애노드 어셈블리(422), 애노드 세그먼트(422a-422c), 및 보조 전극 어셈블리(424)는 전기적 전도성 부재로부터 제조될 수 있다. 전도성 부재는, 구리와 같은 소모성(consumable) 물질 또는 플래티늄 또는 다른 귀금속 등과 같은 비소모성(inconsumable) 물질로부터 제조될 수 있다. 본 발명의 일 실시예에 따르면, 보조 전극 어셈블리(424)의 한 가지 형태는 기판의 둘레에 위치한 환형의 전도성 부재이다. Within fluid basin 408, one or more electrodes, anode assemblies 422 or anode segments 422a-422c are configured to be used as anodes for plating. In addition, one embodiment of the present invention provides an auxiliary electrode assembly 424 configured to be positioned radially outward about the anode assembly 422 or anode segments 422a-422c. One or more anode assemblies 422, anode segments 422a-422c, and auxiliary electrode assemblies 424 may be fabricated from an electrically conductive member. The conductive member may be made from a consumable material such as copper or an inconsumable material such as platinum or other precious metal. According to one embodiment of the invention, one form of auxiliary electrode assembly 424 is an annular conductive member positioned around the substrate.

일반적으로 애노드액 용적(420)은, 이를 통과하여 흐르는 애노드 용액과 접촉하도록 위치한 하나 이상의 애노드 세그먼트(422a-422c)를 구비하는 애노드 어셈블리를 포함한다. 하나 이상의 애노드 세그먼트는 주 애노드(422a), 애노드 세그먼트(422b), 및 추가적인 애노드 세그먼트(422c) 등을 포함할 수 있고, 이는 도 7A-7C에서 추가적으로 논의될 것이다. Generally, the anolyte volume 420 includes an anode assembly having one or more anode segments 422a-422c positioned to contact the anode solution flowing therethrough. One or more anode segments may include a primary anode 422a, an anode segment 422b, an additional anode segment 422c, and the like, which will be discussed further in FIGS. 7A-7C.

유체 베이신(408)은 내부 유체 용적(410)에서의 도금을 위해 기판(418)을 수용하고 내부 유체 용적(410)을 한정하도록 구성된다. 내부 유체 용적(410)으로부터 넘친 도금 용액은 외부 수집 용적(412)으로 배수되고, 이에 의해 도금 용액은 내부 유체 용적(410)으로 다시 재순환될 수 있다. 선택적으로, 유체 확산 부재(414)는 도금되는 기판(418)이 위치한 곳의 아래 그리고 애노드 어셈블리(422) 위의 위치에서 내부 유체 용적(410)을 가로지르도록 위치한다. 유체 확산 부재(414)는 애노드 어셈블리(422) 및 기판(418) 사이의 방향에서 그리고 기판(418)을 가로지르는 유체 유동 변화에 저항하도록 작동한다. 유체 확산 부재 및 다른 도금 셀 구성요소 및 작동 특성의 더 자세한 설명은 본 출원인에게 공통으로 양도된 미국 특허 번호 제 6,261,433호 및 본 출원인에게 공통으로 양도된 미국 특허 번호 제 6,585,876호에서 찾을 수 있으며, 이들 두 특허 문서의 전체 내용은 인용에 의해 본 명세서에 병합된다.The fluid basin 408 is configured to receive the substrate 418 and define the inner fluid volume 410 for plating in the inner fluid volume 410. The plating solution overflowed from the inner fluid volume 410 is drained to the outer collection volume 412, whereby the plating solution can be recycled back to the inner fluid volume 410. Optionally, the fluid diffusion member 414 is positioned to intersect the inner fluid volume 410 at a location below the substrate 418 to be plated and above the anode assembly 422. The fluid diffusion member 414 operates to resist changes in fluid flow in the direction between the anode assembly 422 and the substrate 418 and across the substrate 418. A more detailed description of fluid diffusion members and other plating cell components and operating characteristics can be found in U.S. Patent No. 6,261,433, commonly assigned to the applicant and U.S. Patent No. 6,585,876, commonly assigned to the applicant. The entire contents of both patent documents are incorporated herein by reference.

또한, 막(416)은 유체 베이신(408)을 가로질러서 그리고, 만일 사용된다면, 확산 부재(414)가 위치할 수 있는 곳 아래 그리고 애노드 세그먼트(422a-422c) 위의 위치에 배치된다. 막(416)은, 일반적으로 구리 이온과 같은 이온이 기판(418)을 향해서 막(416)을 통과하여 이동하는 것은 허용하면서도 이를 통한 유체의 통행은 막도록 구성되는, 일반적으로는 이온 막(ionic membrane), 보다 구체적으로는 양이온 막(cationic membrane)이다. 이와 같이, 일반적으로 막(416)은 도금 셀(400)의 애노드액 용적(420)으로부터 도금 셀(400)의 캐소드액 용적(419)을 분리시키도록 작동한다. 캐소드액 용적(419)은 일반적으로 막(416)과 기판(418) 사이의 유체 용적으로서 언급되며, 애노드액 용적(420)은 애노드 세그먼트(422a-422c)에 인접한 막(416) 아래의 유체 용적으로서 언급된다. 캐소드 용액으로부터의 애노드 용액의 분리 및 막(416)에 대한 더 자세한 설명은, 그 전체 내용이 인용에 의해 본 명세서에 병합되는, "Electrochemical Processing Cell"이라는 제목으로 2003년 7월 24일에 출원되어 본 출원인에게 공통으로 양도된 미국 특허 출원 번호 제10/627,336호에서 찾을 수 있다.Membrane 416 is also disposed across fluid basin 408 and, if used, below where diffusion member 414 can be located and above anode segments 422a-422c. The membrane 416 is generally configured to allow ions, such as copper ions, to move through the membrane 416 toward the substrate 418 while preventing passage of fluid therethrough. membranes, more specifically cationic membranes. As such, the membrane 416 generally operates to separate the catholyte volume 419 of the plating cell 400 from the anolyte volume 420 of the plating cell 400. Catholyte volume 419 is generally referred to as the fluid volume between membrane 416 and substrate 418, and anolyte volume 420 is the volume of fluid below membrane 416 adjacent to anode segments 422a-422c. It is referred to as. A more detailed description of the separation of the anode solution from the cathode solution and the membrane 416 is filed on July 24, 2003, entitled "Electrochemical Processing Cell," the entire contents of which are incorporated herein by reference. US Patent Application No. 10 / 627,336, commonly assigned to Applicant.

애노드 용액과 같은 도금 전해질 용액은 애노드액 공급 도관(431a)에 의해 애노드액 용적(420)으로 공급되고, 애노드액 공급 도관(431a)으로부터 반대편 측면 상에 위치한 애노드액 배수 도관(431b)에 의해 애노드액 용적(420)으로부터 배수된다. 일반적으로 애노드 용액은 다른 것들 중에서도 특히 물, 구리 이온, 황산 구리(copper sulfate), 할로겐화물 이온(halide ion)을 포함한다. 그 전체 내용이 인용에 의해 본 명세서에 병합되는, "Electrochemical Processing Cell"이라는 제목으로 2002년 10월 9일에 출원되어 본 출원인에게 공통으로 양도된 미국 특허출원 번호 제 10/268,284호에 기재되어 있는 바와 같이, 애노드액 공급 도관(431a) 및 애노드액 배수 도관(431b)의 위치선정(positioning)에 의해 애노드 세그먼트(422a-422c)의 상부 표면을 가로질러 애노드 용액의 지향적 유동(directional flow)이 발생된다.A plating electrolyte solution, such as an anode solution, is supplied to the anolyte volume 420 by an anolyte supply conduit 431a and an anode by an anolyte drain conduit 431b located on the side opposite from the anolyte supply conduit 431a. Drain from liquid volume 420. The anode solution generally comprises water, copper ions, copper sulfate, halide ions, among others. The entire contents of which are disclosed in US Patent Application No. 10 / 268,284, filed October 9, 2002, commonly assigned to the applicant, entitled "Electrochemical Processing Cell", which is hereby incorporated by reference. As such, directional flow of the anode solution occurs across the top surfaces of the anode segments 422a-422c by positioning of the anolyte supply conduit 431a and the anolyte drainage conduit 431b. do.

또한 보조 공급 도관(432a)도, 애노드액 공급 도관(431a) 및 애노드액 배수 도관(431b)에 의해 공급되고 애노드 세그먼트(422a-422c)에 인접한 용적에 포함된 애노드액 용적(420)과 유체적으로 또는 전기적으로 소통하지 않으면서, 애노드 용액 또는, 몇몇 경우에서는, 캐소드 용액과 같은 전해질 용액을 보조 전극 어셈블리(424)를 둘러싸는 보조 용적(435)으로 공급하도록 구성된다. 보조 배수 도관(432b)은 보조 전극 어셈블리(424) 근처의 보조 용적(435)으로부터 유체를 배수하도록 구성된다. 보조 용적(435)은 보조 전극 어셈블리(424)에 인접한, 원형의 o-링 형태의 시일(seal)과 같은, 두 개의 시일(436)과 함께 보조 용적의 상부 측면 상에 있는 막(416)에 의해 유체적으로(fluidly) 속박된다.Auxiliary supply conduit 432a is also fluidly connected with the anolyte volume 420 contained by the anolyte supply conduit 431a and the anolyte drainage conduit 431b and contained in the volume adjacent to the anode segments 422a-422c. It is configured to supply an electrolyte solution, such as an anode solution or, in some cases, an electrolyte solution, to the auxiliary volume 435 surrounding the auxiliary electrode assembly 424, with or without electrical communication. Auxiliary drain conduit 432b is configured to drain fluid from auxiliary volume 435 near auxiliary electrode assembly 424. Auxiliary volume 435 is attached to a film 416 on the upper side of the auxiliary volume with two seals 436, such as a round o-ring seal, adjacent to auxiliary electrode assembly 424. Bound fluidically by

도 5 및 6A에 도시된 것처럼, 보조 공급 도관(432a)에 의해 공급되는 전해질 용액은, 일반적으로, 화살표"A"에 의해 도시된 바와 같이, 반원형 패턴으로 보조 전극 어셈블리(424) 위의 보조 용적(435)을 통해 유동한다. 이와 같이, 보조 용적(435)을 통해 순환되는 전해질 용액은 도금 셀(400)의 반대편 측면 상에 있는 보조 배수 도관(432b)에 의해 수집된다. 또한, 애노드액 용적(420)으로 공급되는 애노드 용액은, 일반적으로, 도 6A에서 화살표 "B"로서 도시된 것처럼, 애노드 세그먼트(422a-422c) 또는 애노드 어셈블리(422)를 직접 가로질러 유동하고, 애노드액 배수 도관(431b)에 의해 수집된다. 화살표 "A" 및 "B"에 의해 표시되는 유체 유동 모두는 막(416) 아래에서 일어난다. 유동 "A"는 시일(436) 사이에서 일어나고, 유동 "B"는 시일(436)의 반경 방향 안쪽에서 애노드 세그먼트(422a-422c) 또는 애노드 어셈블리(422)의 최상부를 가로질러 일어난다. As shown in FIGS. 5 and 6A, the electrolyte solution supplied by the auxiliary supply conduit 432a generally has an auxiliary volume above the auxiliary electrode assembly 424 in a semicircular pattern, as shown by arrow " A ". Flow through 435. As such, the electrolyte solution circulated through the auxiliary volume 435 is collected by the auxiliary drain conduit 432b on the opposite side of the plating cell 400. In addition, the anode solution supplied to the anode liquid volume 420 generally flows directly across the anode segments 422a-422c or the anode assembly 422, as shown by arrow "B" in FIG. 6A, Collected by the anolyte drainage conduit 431b. Both fluid flows indicated by arrows "A" and "B" occur below the membrane 416. Flow "A" occurs between the seals 436 and flow "B" occurs across the top of the anode segments 422a-422c or the anode assembly 422 inside the seal 436.

도 5를 다시 참고하면, 애노드 세그먼트(422a-422c) 및 보조 전극 어셈블리(424)는 일반적으로 이들이 애노드 용액과 유체가 소통될 수 있게 연결되도록(in fluid communication with) 위치하지만, 이들은 또한 애노드 세그먼트(422a-422c)가 보조 전극 어셈블리(424)로부터 전기적으로 절연되도록 구성되고 위치된다. 더욱 상세하게는, 전기적으로 절연하는 스페이서(spacer, 426)가 일반적으로 애노드 세그먼트(422a-422c) 및 보조 전극 어셈블리(424) 사이에 위치한다.Referring again to FIG. 5, the anode segments 422a-422c and the auxiliary electrode assembly 424 are generally positioned such that they are in fluid communication with the anode solution, but they are also referred to as anode segments ( 422a-422c are constructed and positioned to electrically insulate from the auxiliary electrode assembly 424. More specifically, an electrically insulating spacer 426 is generally located between the anode segments 422a-422c and the auxiliary electrode assembly 424.

캐소드 용액과 같은 도금 전해질 용액은 유체 공급 도관(433a, 433b)에 의해 캐소드액 용적(419)으로 공급되고, 이러한 도관은 캐소드 용액 탱크(미도시)와 유체가 소통하도록 연결된다. 캐소드 용액은 일반적으로, 예를 들어 물, 황산 구리, 할로겐화물 이온, 및 다수의 도금 첨가제(레벨러, 억제제, 가속제 등) 중 하나 이상을 포함하여, 몇 가지 구성성분을 포함한다. 유체 도관(433a, 433b)에 의해 공급되는 캐소드 용액은 방지물(409)을 넘쳐 흐르고, 수집 용적(412)에 의해 수집된다.Plating electrolyte solutions, such as cathode solutions, are supplied to the catholyte volume 419 by fluid supply conduits 433a and 433b, which are connected in fluid communication with a cathode solution tank (not shown). The cathode solution generally comprises several components, including, for example, water, copper sulfate, halide ions, and one or more of a number of plating additives (levelers, inhibitors, accelerators, and the like). Cathode solution supplied by fluid conduits 433a and 433b flows over the barrier 409 and is collected by a collection volume 412.

비록 막(416)은 애노드 용액이 막을 통해 유체적으로 이동하는 것을 방지하는 유체 배리어를 제공하기는 하지만, 막(416)은 이온 이동, 더욱 상세하게는, 양이온 이동을 가능하게 한다. 이와 같이, 애노드액은 막(416)을 투과할 수 없지만, 구리 및 수소 이온과 같은 이온은 막(416)을 통해, 캐소드 용액을 포함하는, 벤트 도관(vent conduit)(440)으로 이동할 수 있다. 따라서, 벤트 도관(440)의 캐소드액 및 보조 전극 어셈블리(424) 위의 용적(435)의 조합은 전류가 보조 전극 어셈블리(424)를 통해 이동하도록 하는 전기 경로를 발생시킨다. Although the membrane 416 provides a fluid barrier that prevents the anode solution from fluidically moving through the membrane, the membrane 416 enables ion transfer, more specifically, cation transfer. As such, the anolyte cannot penetrate the membrane 416, but ions such as copper and hydrogen ions can migrate through the membrane 416 to a vent conduit 440, which includes a cathode solution. . Thus, the combination of the catholyte of the vent conduit 440 and the volume 435 above the auxiliary electrode assembly 424 creates an electrical path that allows current to travel through the auxiliary electrode assembly 424.

도 6B는 도금 공정 동안 애노드 어셈블리(422) 및 보조 전극 어셈블리(424) 근처에서 발생된 예시적인 플럭스 라인(flux line)을 도시한다. 애노드 어셈블리(422) 바로 위의 전기 플럭스는 "C"로 표시된 화살표로 도시된다. 애노드 어셈블리(422) 위의 플럭스는 각각의 애노드 세그먼트(422a, 422b, 422c)에 동일하거나 서로 다른 전력을 가함으로써 제어될 수 있다. 애노드 세그먼트(422a, 422b, 422c)는, 원하는 플럭스에 따라, 동심적, 대칭적 또는 임의의 다른 구성일 수 있다. 6B shows an example flux line generated near the anode assembly 422 and the auxiliary electrode assembly 424 during the plating process. The electrical flux directly above the anode assembly 422 is shown by an arrow labeled "C". The flux on the anode assembly 422 can be controlled by applying the same or different power to each anode segment 422a, 422b, 422c. The anode segments 422a, 422b, 422c may be concentric, symmetrical or any other configuration, depending on the desired flux.

도 7A-7E는 본 발명의 실시예에서 사용될 수 있는 예시적 애노드 구성을 도시한다. 애노드 세그먼트(422a, 422b, 422c)는 또한 개별적으로 전력이 공급될 수 있으며, 어떤 특정한 개수로 제한되지 않는다. 즉, 도금 셀에는 1개 내지 약 10개 또는 그보다 많은 개수의 애노드 세그먼트가 있을 수 있다. 본 발명의 일 실시예에 따르면, 도금 공정 동안 도 6B에 도시된 애노드 세그먼트(422a)에 애노드 세그먼트(422b)보다 더 많은 전력이 그에 가해질 수 있다. 7A-7E illustrate exemplary anode configurations that can be used in embodiments of the present invention. The anode segments 422a, 422b, 422c may also be powered separately and are not limited to any particular number. That is, there may be from one to about ten or more anode segments in the plating cell. According to one embodiment of the invention, more power may be applied to the anode segment 422a shown in FIG. 6B than the anode segment 422b during the plating process.

애노드 세그먼트에 독립적으로 전력을 공급하는 것과 관련하여, 본 발명의 대안적인 실시예에서는, 도금 공정 동안 도 6B에 도시된 애노드 세그먼트(422c)에 애노드 세그먼트(422b)보다 더 많은 전력이 그에 가해질 수 있다. 이는 세그먼트(422c)로부터 시작되는 플럭스 라인 "C"의 밀도가 애노드 세그먼트(422b)로부터 시작되는 것보다 크고, 이로써 더 적은 전력이 세그먼트(422b)로 가해지는 것을 나타낸다는 점으로부터 명백하다.With respect to powering the anode segment independently, in an alternative embodiment of the invention, more power may be applied to the anode segment 422c shown in FIG. 6B than the anode segment 422b during the plating process. . This is evident from the fact that the density of flux line "C" starting from segment 422c is greater than starting from anode segment 422b, thereby indicating that less power is being applied to segment 422b.

도 6C는 도금 셀의 유체 공급부 측면 상에 있는, 도 4 및 5의 도금 셀의 전극 및 막 구성의 확대 단면도를 도시한다. 더욱 구체적으로, 화살표 "F"는 애노드 어셈블리(422)의 상부 표면 위로 유동하고 있는, 애노드 용액을 위한 애노드액 유체 유동 경로를 나타낸다. 화살표 "F"에 의해 표시된 애노드 유체 유동은 일반적으로 애노드 공급 도관(431a)으로부터 공급되고, 도 6A에서 화살표 "B"에 의해 일반적으로 표시된 유동 방향으로 애노드 어셈블리(422)의 상부 표면을 가로질러 유동하도록 지향된다. 이 유체 유동 "B"는, 도금 작업 동안 애노드 표면 상에 형성될 수 있는 애노드 슬러지(sludge) 또는 다른 고밀도 유체(dense fluid)를 제거하기 위하여 애노드 어셈블리(422) 안으로 형성된 어떠한 슬롯 또는 세장형 구멍에 대해서도 대체로 수직이다.6C shows an enlarged cross-sectional view of the electrode and membrane configuration of the plating cell of FIGS. 4 and 5, on the fluid supply side of the plating cell. More specifically, arrow "F" represents the anolyte fluid flow path for the anode solution, which is flowing over the top surface of the anode assembly 422. The anode fluid flow indicated by arrow “F” is generally supplied from anode supply conduit 431a and flows across the top surface of anode assembly 422 in the flow direction generally indicated by arrow “B” in FIG. 6A. Is directed to. This fluid flow “B” is inserted into any slot or elongated hole formed into the anode assembly 422 to remove anode sludge or other dense fluid that may form on the anode surface during the plating operation. It is also generally vertical.

도 6C에서 화살표 "G"는, 역시 도 6A에서 화살표 "A"에 의해 나타낸 유체 유동과 대체로 상응하는, 보조 전극 어셈블리(424) 위로 유동하는 전해질 용액에 대한 전해질 이온 유동 경로를 나타낸다. 이와 같이, 전해질 이온 유동 "G"는 일반적으로, 막(416) 아래에서 그리고 시일들(436) 사이에서 보조 전극 어셈블리(424)의 최상부 위에 유체의 반원형 유동을 생성시키는 보조 공급 도관(432a)에 의해 보조 용적(435)으로 공급된다. 보조 전극 위로 유동된 전해질 용액은 애노드 용액 또는 캐소드 용액일 수 있다. Arrow “G” in FIG. 6C represents the electrolyte ion flow path for the electrolyte solution flowing over auxiliary electrode assembly 424, which generally corresponds with the fluid flow indicated by arrow “A” in FIG. 6A. As such, electrolyte ion flow " G " is generally applied to an auxiliary supply conduit 432a that creates a semicircular flow of fluid below the membrane 416 and above the top of the auxiliary electrode assembly 424 between the seals 436. Is supplied to the auxiliary volume 435. The electrolyte solution flowing over the auxiliary electrode can be an anode solution or a cathode solution.

화살표 "E"는 도금 셀(400)의 캐소드액 용적(419)으로 공급되는 캐소드 용액을 위한 유체 유동 경로를 나타낸다. 캐소드 용액은 유체 공급 도관(433a)을 통해 위로 유동하고, 이후 막(416)의 상부 표면의 적어도 일부를 대체로 수평으로 가로질러 유동하며, 이후 막(416) 위의 캐소드액 용적(419)으로 직접 유동하고/유동하거나 캐소드액 용적(419)과 소통하는 개구, 즉 벤트 도관(440)으로 위를 향하여 유동한다. 막(416)의 상부 표면 위에서의 캐소드 용액의 유동은 일반적으로 보조 전극 어셈블리(424) 위의 용적(435)과 겹치는 위치에 있도록 구성되며, 이는 막(416)을 통한 전달을 통해 보조 전극 어셈블리(424)와 캐소드액 사이의 전류 경로를 제공한다. 이러한 전류 경로는, 도 6E에서 화살표 "H"로 나타낸 바와 같이, 일반적으로 접촉 링(406)으로부터, 벤트 도관 내부에 존재하는 캐소드 용액을 매개로 하여 벤트 도관(440)을 통해, 그리고 막(416)을 통해, 그리고 용적(435) 내에 존재하는 애노드액을 통해 보조 전극 어셈블리(424)까지 이어진다.Arrow "E" represents the fluid flow path for the catholyte solution supplied to the catholyte volume 419 of the plating cell 400. The cathode solution flows up through the fluid supply conduit 433a and then flows horizontally across at least a portion of the top surface of the membrane 416 and then directly into the catholyte volume 419 above the membrane 416. Flows upwardly into an opening, ie vent conduit 440, that flows and / or communicates with catholyte volume 419. The flow of the cathode solution over the top surface of the membrane 416 is generally configured to be in a position that overlaps the volume 435 above the auxiliary electrode assembly 424, which is transferred through the membrane 416 to the auxiliary electrode assembly ( 424) and a catholyte path. This current path, as indicated by arrow “H” in FIG. 6E, is generally from contact ring 406, through vent conduit 440, and via membrane 416 via the cathode solution present inside the vent conduit. And through the anolyte present in the volume 435 to the auxiliary electrode assembly 424.

도 6D는 도금 셀(400)의 유체 배수 측면 상에 있는, 도 4 및 5의 도금 셀(400)의 전극 및 막 구성의 확대 단면도를 도시한다. 화살표 "J"는 애노드 어셈블리(422)와 인접한 애노드 용적(420)으로부터 제거되는 애노드 용액에 대한 유동 방향을 도시한다. 애노드액 배수 도관(431b)은, 도 6A에서 화살표 "B"에 의해 도시한 바와 같이, 애노드 어셈블리(422)에 형성된 슬롯에 대체로 수직한 방향으로, 애노드 용적(420)으로부터 애노드 어셈블리(422)를 가로질러 유동하는 애노드 용액을 배수하도록 위치한다. FIG. 6D shows an enlarged cross-sectional view of the electrode and membrane configuration of the plating cell 400 of FIGS. 4 and 5, on the fluid drain side of the plating cell 400. Arrow "J" shows the flow direction for the anode solution removed from the anode volume 420 adjacent to the anode assembly 422. The anolyte drain conduit 431b moves the anode assembly 422 from the anode volume 420 in a direction generally perpendicular to the slot formed in the anode assembly 422, as shown by arrow “B” in FIG. 6A. It is positioned to drain the anode solution flowing across.

보조 전극 어셈블리(424) 위로 유동하는 애노드 용액은 도 6A에서 화살표 "A"에 의해 도시된 반원 유동 패턴을 촉진하는 지점에서 보조 전극 어셈블리(424) 위의 보조 용적(435)으로부터 제거된다. 화살표 "M"은 보조 전극 어셈블리(424) 위의 보조 용적(435)으로부터 배수되는 전해질 용액의 유동 방향을 나타낸다. 화살표 "L"은, 새로운 캐소드 용액을 캐소드액 용적(419)으로 공급하기 위하여, 보조 배수 도관(432b) 및 보조 전극(424) 위의 막(416)의 최상부를 통해 유체 공급 도관(433a)으로부터 이동하는 캐소드 용액에 대한 캐소드액 유동 방향을 나타낸다. The anode solution flowing over the auxiliary electrode assembly 424 is removed from the auxiliary volume 435 above the auxiliary electrode assembly 424 at a point that promotes the semicircular flow pattern shown by arrow “A” in FIG. 6A. Arrow "M" indicates the flow direction of the electrolyte solution draining from the auxiliary volume 435 above the auxiliary electrode assembly 424. Arrow “L” indicates from fluid supply conduit 433a through top of membrane 416 over auxiliary drain conduit 432b and auxiliary electrode 424 to supply fresh catholyte solution to catholyte volume 419. The catholyte flow direction is shown for the moving catholyte solution.

작동에 있어서, 보조 전극 어셈블리(424)는, 도 7A-7E에 설명된 애노드 세그먼트(422a, 422b, 422c) 또는 이들의 변형예(variation) 중 하나일 수 있는 애노드 어셈블리(422)와 함께 사용되어, 도금되는 기판(418)의 표면에 걸쳐 전기적 플럭스를 제어한다. 더욱 구체적으로, 역시 전원(미도시)과 전기적으로 연결되는 보조 전극 어셈블리(424)는 높은 에지-도금을 막기 위해 기판(418)의 에지 근처의 전기적 플럭스를 선택적으로 감소시키도록 이용된다.In operation, the auxiliary electrode assembly 424 can be used with an anode assembly 422, which can be the anode segments 422a, 422b, 422c or variations thereof described in FIGS. 7A-7E. The electrical flux is controlled over the surface of the substrate 418 to be plated. More specifically, auxiliary electrode assembly 424, also electrically connected to a power source (not shown), is used to selectively reduce electrical flux near the edge of substrate 418 to prevent high edge-plating.

일 실시예에서, 보조 전극 어셈블리(424)는, 기판(418)의 둘레 또는 에지의 근접 영역에 추가적인 캐소드성 플럭스 소스(cathodic flux source)를 공급함으로써, 도금 공정의 하나 이상의 스테이지 동안, 기판의 에지 근처의 전기적 플럭스를 감소시키는데 이용될 수 있다. 보조 전극 어셈블리(424)는 벤트 도관(440)을 경유하여 캐소드 용적(419)과 전기적으로 연결됨으로써, 기판(418)의 에지 또는 둘레에 근접한 영역으로, 도 6E에서 화살표 "H"로서 도시된 추가적인 플럭스를 공급한다.In one embodiment, the auxiliary electrode assembly 424 provides an edge of the substrate during one or more stages of the plating process by supplying an additional cathodic flux source to a region around or near the edge of the substrate 418. It can be used to reduce nearby electrical flux. Auxiliary electrode assembly 424 is electrically connected to cathode volume 419 via vent conduit 440, thereby providing an additional region, shown as arrow " H " Supply the flux.

기판(418)의 둘레를 둘러싸는 대체로 환형의 벤트(vent)인 벤트 도관(440)은, 기판의 둘레 근처에서 기판/캐소드에 의해 발생된 플럭스의 양을 감소시키는 방식으로, 보조 전극 어셈블리(424)로부터 캐소드액 용적(419)으로 플럭스를 안내(conduct)하도록 배치된다. 본 발명의 일 실시예에서는 벤트 도관(440) 및 보조 전극 어셈블리(424)가 캐소드적으로(cathodically) 바이어스될 수 있는 것으로, 기판(418)의 둘레에 플럭스 소스를 가득 공급(flood)하도록 본질적으로 작동할 수 있는 것으로, 그리고 벤트 도관(440)이 전기적 플럭스를 공급하는 기판(418)의 둘레 에지로 직접 애노드 어셈블리(422)가 플럭스를 안내하는 것을 방지할 수 있는 것으로 규정한다. 이와 같이, 기판(418) 상에서 시작하는 전기적 플럭스는 도 6E에서 화살표 "C"에 의해 도시된 것처럼 기판(418)의 중심부 근처에서 증가하는 반면에, 화살표 "H"에 의해 도시된 것처럼 기판(418)의 둘레 근처의 기판 표면에서의 전기적 플럭스는 감소하는데, 이는 기판(418)의 둘레 근처에서 시작하는 전기적 플럭스를 본질적으로 변위시킨 것이다. The generally annular vent surrounding the substrate 418, the vent conduit 440, reduces the amount of flux generated by the substrate / cathode near the perimeter of the substrate, thereby reducing the auxiliary electrode assembly 424. ) To guide the flux from the volume to the catholyte volume 419. In one embodiment of the present invention, the vent conduit 440 and the auxiliary electrode assembly 424 can be cathodically biased, essentially in order to flood the flux source around the substrate 418. And that the vent assembly 440 can prevent the anode assembly 422 from directing the flux directly to the peripheral edge of the substrate 418 that supplies the electrical flux. As such, the electrical flux starting on the substrate 418 increases near the center of the substrate 418 as shown by arrow "C" in FIG. 6E, while the substrate 418 as shown by arrow "H". The electrical flux at the surface of the substrate near the perimeter of c) decreases, essentially displacing the electrical flux starting near the perimeter of the substrate 418.

일 실시예에서, 기판의 둘레 근처의 전기적 플럭스의 이러한 감소는 보조 전극 어셈블리(424)에 가해진 캐소드성 바이어스(cathodic bias)에 의해 제어될 수 있으며, 종래의 도금 셀의 에지 또는 둘레의 높은 도금 특성을 감소시키도록 작동한다. 더욱 구체적으로, 보조 전극 어셈블리(424)는, 보조 전극 어셈블리(424)로부터 벤트 도관(440)을 통해 애노드 어셈블리(422)로 이동하는 전기적 플럭스에 의해서, 기판(418)의 에지 근처의 캐소드성 소스(cathodic source)로서 작동할 수 있고, 따라서 기판(418)의 에지 근처의 전기적 플럭스를 감소시킨다. 이러한 감소된 전기적 플럭스는 기판(418)의 둘레 근처의 금속 도금을 감소시키는 것으로 밝혀졌다.In one embodiment, this reduction in electrical flux near the perimeter of the substrate can be controlled by the cathodic bias applied to the auxiliary electrode assembly 424, and the high plating characteristics around the edge or perimeter of conventional plating cells. It works to reduce. More specifically, the auxiliary electrode assembly 424 is a cathode source near the edge of the substrate 418 by electrical flux moving from the auxiliary electrode assembly 424 through the vent conduit 440 to the anode assembly 422. can act as a cathodic source, thus reducing the electrical flux near the edge of the substrate 418. This reduced electrical flux has been found to reduce metal plating near the perimeter of the substrate 418.

도 7A-7E는 본 발명의 실시예에서 사용될 수 있는 예시적 애노드 구성을 도시하며, 여기에는 애노드 세그먼트(422a, 422b, 422c) 같은, 세그먼트(a, b, c)가 표시되어 있다. 각각의 애노드 배열의 세그먼트(a, b, c) 각각은 도금 파라미터의 최적화 및/또는 제어를 위해 개별적으로 전력이 공급될 수 있음은 물론이다. 애노드 세그먼트(422) 위의 전기적 플럭스는 도금 공정의 여러 스테이지 동안 각각의 애노드 세그먼트(422a, 422b, 422c)에 동일하거나 상이한 전력을 가함으로써 제어될 수 있다. 일 실시예에서, 기판의 중앙에서의 도금을 위해 기판의 내부 또는 중심 영역과 정렬된 내부 또는 중심 애노드 세그먼트 또는 주 애노드는, 하나 이상의 애노드 세그먼트(예를 들어, 422a, 422b, 또는 422a 및 422b)를 포함할 수 있다. 애노드 세그먼트(422 또는 422a, 422b, 422c)는 요구되는 플럭스에 따라서, 동심형, 대칭형, 원형, 선형, 직사각형 또는 임의의 다른 구성일 수 있다. 7A-7E illustrate exemplary anode configurations that can be used in embodiments of the present invention, in which segments a, b, and c are shown, such as anode segments 422a, 422b, and 422c. Of course, each of the segments a, b, c of each anode arrangement can be individually powered for optimization and / or control of plating parameters. The electrical flux over anode segment 422 can be controlled by applying the same or different power to each anode segment 422a, 422b, 422c during various stages of the plating process. In one embodiment, an inner or central anode segment or main anode aligned with an inner or central region of the substrate for plating at the center of the substrate, includes one or more anode segments (eg, 422a, 422b, or 422a and 422b). It may include. The anode segments 422 or 422a, 422b, 422c may be concentric, symmetrical, circular, linear, rectangular or any other configuration, depending on the flux required.

도 8은 기판의 표면 상에 얇은 금속층을 도금하기 위하여 도금 셀(400)에 사용될 수 있는 본 발명의 예시적 방법(800)을 도시한다. 단계(810)에서, 둘 이상의 전극 및 기판이 도금 셀(400)과 같은 도금 장치에 제공된다. 선택적으로, 기판이 도금 장치 내부로 제공되기 전에, 접촉 핀(403) 상의 금속층의 코팅이, 약 50Å 내지 약 500Å 또는 그보다 두꺼운 희망 두께에 이를 때까지, 도금에 의해 수행될 수 있다.8 illustrates an exemplary method 800 of the present invention that may be used in plating cell 400 to plate a thin metal layer on the surface of a substrate. In step 810, two or more electrodes and a substrate are provided to a plating apparatus, such as plating cell 400. Optionally, before the substrate is provided into the plating apparatus, the coating of the metal layer on the contact pins 403 may be performed by plating until the desired thickness is reached from about 50 kPa to about 500 kPa or thicker.

단계(820)에서, 도금 셀에 배치되어 기판의 중심/중앙부에 대한 도금을 위해 기판 표면의 중심 영역과 정렬되는 애노드와 같은, 제 1 전극의 중앙 세그먼트에 제 1 전원이 연결된다. 단계(830)에서, 기판의 에지 또는 주변 영역과 정렬되어 위치한 제 2 전극에 제 2 전원이 연결된다. 제 2 전극은 예를 들어 애노드, 캐소드, 보조 전극, 상대 전극 등일 수 있다.In step 820, a first power source is connected to a central segment of the first electrode, such as an anode disposed in the plating cell and aligned with the center region of the substrate surface for plating to the center / center of the substrate. In step 830, a second power source is connected to a second electrode positioned in alignment with the edge or peripheral region of the substrate. The second electrode can be, for example, an anode, a cathode, an auxiliary electrode, a counter electrode, or the like.

단계(840)에서, 제 1 공정 조건 하에서 그리고 제 1 및 제 2 전극이 반대 극성인 상태에서 도금이 수행되어, 기판 표면 상에 얇은 금속층을 균일하게 증착한다. 예를 들면, 본 발명의 일 실시예는 애노드 세그먼트(422a)와 같은 중심 세그먼트를 갖는 도금 셀(400)을 제공하는데, 여기서 상기 중심 세그먼트는 제 1 전원(미도시)의 애노드성(anodic) 단자와 전기적으로 연결되고, 동일한 전원의 캐소드성(cathodic) 단자는 접촉 링(406)과 일반적으로 전기적으로 연결되며, 이 접촉 링은 기판(418)과 전기적으로 접촉하도록 구성되어 캐소드로서 작용한다. 다른 실시예에서, 보조 전극 어셈블리(424)는 제 2 전원(미도시)의 캐소드성 단자와 전기적으로 연결된다. 그러나, 여기서는 오직 두 개의 전원만이 논의되지만, 본 발명의 범위 내에서 2개보다 많은, 독립적으로 제어되는 전원이 사용될 수 있음은 물론이다. 예를 들면, 전기화학 도금의 여러 스테이지 동안의 공정 파라미터 필요 조건에 따라서, 추가적인 전원 또는 동일한 제 1 전원이, 422b 및 422c와 같은 추가적인 전극 세그먼트에 전기적으로 연결되는데 이용될 수 있다. 또한, 애노드 세그먼트(422a, 422b, 422c)도 개별적으로 전력이 공급될 수 있으며, 어떤 특정한 개수로 제한되지 않는다. 즉, 도금 셀에는 1개 내지 약 10개 또는 그보다 많은 개수의 애노드 세그먼트가 있을 수 있다. 애노드 세그먼트에 독립적으로 전력을 공급하는 것과 관련하여, 애노드 세그먼트에 가해진 전력 밀도는 동일하거나 변할 수 있는데, 예를 들어 애노드 세그먼트(422a)에 대한 전력은 애노드 세그먼트(422b)에 대한 전력보다 클 수 있다.In step 840, plating is performed under first process conditions and with the first and second electrodes in opposite polarities to uniformly deposit a thin metal layer on the substrate surface. For example, one embodiment of the present invention provides a plating cell 400 having a center segment, such as anode segment 422a, where the center segment is an anode terminal of a first power source (not shown). And a cathodic terminal of the same power source is generally electrically connected to the contact ring 406, which is configured to be in electrical contact with the substrate 418 to act as a cathode. In another embodiment, the auxiliary electrode assembly 424 is electrically connected to the cathode terminal of the second power source (not shown). However, although only two power sources are discussed here, it is understood that more than two independently controlled power sources may be used within the scope of the present invention. For example, depending on the process parameter requirements during the various stages of electrochemical plating, additional power sources or the same first power source may be used to electrically connect additional electrode segments, such as 422b and 422c. In addition, the anode segments 422a, 422b, and 422c may also be powered individually, and are not limited to any particular number. That is, there may be from one to about ten or more anode segments in the plating cell. With regard to powering the anode segment independently, the power density applied to the anode segment may be the same or vary, for example, the power for anode segment 422a may be greater than the power for anode segment 422b. .

도 9A 및 9B는 기판, 주 애노드(422a)(주 애노드 세그먼트 또는 하나 이상의 애노드 세그먼트), 하나 이상의 보조 전극(보조 전극 어셈블리(424)), 및 다른 애노드/전극 세그먼트에 둘 이상의 전원을 연결시키는 두 가지의 예시적 방법을 도시한다. 두 전원은 동기식으로(synchronously) 작동한다. 각각의 전원(PS) 상의 전류는 짧은 DC 전류 펄스 동안 일정하나 진폭 및 방향/극성에 있어서 다르다.9A and 9B show two connections for two or more power sources to a substrate, a primary anode 422a (primary anode segment or one or more anode segments), one or more secondary electrodes (secondary electrode assembly 424), and another anode / electrode segment. An exemplary method of the branches is shown. Both power supplies operate synchronously. The current on each power supply PS is constant for short DC current pulses but differs in amplitude and direction / polarity.

일 실시예에서, 보조 전극 어셈블리(424)를 통과하는 전류는 기판(418)을 통과하는 전류보다 작으며, 또한 애노드 어셈블리(422) 또는 애노드 세그먼트(422a, 422b, 422c)를 통과하는 전류보다 작다. 예를 들면, 제 2 전원(PS2)을 통과하는 총 전류는, 전원을 연결하는 다양한 방법에 따라, 도 9B에 도시된 바와 같이 하나 이상의 애노드/애노드 세그먼트(anodes/anode segment)를 통과하는, 또는 도 9A에 도시된 바와 같이 (캐소드로서 작용하는) 기판(418)을 통과하는 총 전류의 약 10% 내지 약 60%이다. 또한, 제 1 전원(PS1) 및 제 2 전원(PS2) 사이의 전류비는, 보조 전극들 사이의 거리, 중심 주 애노드(중심 애노드 세그먼트)의 지름, 수직 및 수평 방향에서의 확산기의 저항, 및 보조 전극의 표면적 등에 따라 변경될 수 있다.In one embodiment, the current through the auxiliary electrode assembly 424 is less than the current through the substrate 418 and also less than the current through the anode assembly 422 or the anode segments 422a, 422b, 422c. . For example, the total current through the second power source PS2 passes through one or more anode / anode segments, as shown in FIG. 9B, depending on various methods of connecting the power source, or About 10% to about 60% of the total current through the substrate 418 (acting as a cathode) as shown in FIG. 9A. In addition, the current ratio between the first power source PS1 and the second power source PS2 includes the distance between the auxiliary electrodes, the diameter of the center main anode (center anode segment), the resistance of the diffuser in the vertical and horizontal directions, and The surface area of the auxiliary electrode may be changed.

도 10은 도금 공정 동안 본 발명의 도금 셀(400) 내부의 보조 전극 어셈블리(424) 및 주 애노드(422a) 근처에서 발생되는 예시적 전류 경로 및 전기적 플럭스 라인을 도시한다. 보조 전극 어셈블리(424) 바로 위의 전기적 플럭스는 "D"로 표시된 화살표에 의해 도시되고, 주 애노드(422a) 바로 위의 플럭스는, 화살표 "D"와 반대 방향인, "E"로 표시된 화살표에 의해 도시된다. 일 실시예에서, 기판의 표면 상에 금속층의 제 1 부분을 증착시키기 위하여, 본 발명은 기판의 주변을 향하여 반대 방향으로 전기적 플럭스를 가하는 방식을 제공한다. 전기적 플럭스는 제 1 전원 및 제 2 전원에 의해 제공될 수 있으며, 이들 두 전원은 각각 애노드 및 보조 전극 어셈블리의 하나 이상의 세그먼트와 전기적으로 연결된다. FIG. 10 illustrates exemplary current paths and electrical flux lines generated near auxiliary anode assembly 424 and primary anode 422a within plating cell 400 of the present invention during the plating process. The electrical flux directly above the auxiliary electrode assembly 424 is shown by an arrow labeled "D", and the flux directly above the primary anode 422a is indicated by an arrow labeled "E", opposite the arrow "D". Is shown. In one embodiment, to deposit the first portion of the metal layer on the surface of the substrate, the present invention provides a manner of applying electrical flux in opposite directions towards the periphery of the substrate. The electrical flux can be provided by a first power source and a second power source, both of which are electrically connected to one or more segments of the anode and auxiliary electrode assemblies, respectively.

이론에 구속되고자 하는 것은 아니지만, 중심 애노드 세그먼트 또는 주 애노드(422a)가 제 1 전원에 연결될 때, 4초 미만과 같은 매우 짧은 기간의 초기 도금 단계 동안, 구리 증착은 접촉 핀(403) 근처의 기판(418)의 에지로부터 시작하여 기판의 중앙부로 연장하는 것으로 알려져 있다. 기판의 중앙부 및 성장하는 구리 전방부 사이의 거리는 점점 더 짧아지고 기판의 중앙부에서의 과전압은 증가하여, 핵생성(nucleation)이 기판의 전체 표면에 걸쳐 가능하게 된다. 초기 핵생성의 이러한 짧은 기간은, 일반적으로, 기판 상의 배리어 금속의 특성 또는 수동성(passivity)에 좌우될 뿐만 아니라 기판(418)을 통과하는 평균 전류 및 보조 전극 어셈블리(424)를 통과하는 전류에 좌우된다. 일반적으로, 기판(418)을 통과하는 전류가 높아지게 되면 핵생성이 발생할 수 있는 기판 상의 영역이 넓어지게 된다. While not wishing to be bound by theory, when the central anode segment or main anode 422a is connected to the first power source, during a very short period of initial plating step, such as less than 4 seconds, copper deposition is performed by the substrate near the contact pin 403. It is known to start from the edge of 418 and extend to the center of the substrate. The distance between the center of the substrate and the growing copper front becomes shorter and the overvoltage at the center of the substrate increases, allowing nucleation over the entire surface of the substrate. This short duration of initial nucleation generally depends not only on the characteristics or passivity of the barrier metal on the substrate, but also on the average current through the substrate 418 and the current through the auxiliary electrode assembly 424. do. In general, the higher the current passing through the substrate 418, the larger the area on the substrate where nucleation can occur.

그러나, 기판(418) 상의 핵생성 영역 또는 핵생성 존(910)의 존재는 기판 표면 상에 이미 존재하는 물질의 특성에도 또한 매우 민감하다. 예를 들면, Ⅷ족 금속 물질은 일반적으로 매우 수동적이고 구리가 그 위에 도금되도록 핵생성을 시작하기 위해 높은 초기 과전압을 필요로 한다. 또한, 그 위에 Ⅷ족 금속 물질을 갖는 기판의 표면 상의 핵생성 존(910)은, 극도로 높은 평균 전류가 기판 상에 가해질 때조차도 매우 좁다. However, the presence of nucleation regions or nucleation zones 910 on the substrate 418 is also very sensitive to the properties of the materials already present on the substrate surface. For example, Group VIII metal materials are generally very passive and require high initial overvoltage to initiate nucleation so that copper is plated thereon. In addition, the nucleation zone 910 on the surface of the substrate having the Group VIII metal material thereon is very narrow even when an extremely high average current is applied on the substrate.

초기의 핵생성 존을 현저히 넓히고 기판의 에지로부터 중심/중앙부로 전류를 재분배시키기 위하여, 본 발명은 최적의 높은 반대 전류가 통과하는 전류 시프로서의 보조 전극의 이용을 채택한다. 그러나, 초기 핵생성 스테이지 동안 보조 전극을 통과하는 전류는 최적이어야만 하고 그렇지 않으면 접촉 핀(403) 근처의 전류가 애노드성(anodic)이 되도록 만들 수 없어서, 기판 상의 물질 및 접촉부의 표면의 손상 또는 기판 에지 근처의 구리 용해(dissolution)를 초래한다. 작동에 있어서, 보조 전극 어셈블리(424)는, 도 7A-7E에 설명된 세그먼트형 애노드(segmented anode) 또는 이들의 변형예(variation) 중 하나일 수 있는 애노드 세그먼트(422a-422c)와 함께 사용되어, 도금되는 기판(418)의 표면에 걸쳐 전기적 플럭스를 제어한다. 더욱 구체적으로, 제 2 전원(미도시)과도 전기적으로 연결되는 보조 전극 어셈블리(424)는, 벤트(440)를 경유하여 캐소드 용적(419)과 전기적으로 연결되고 기판(418)의 에지 또는 둘레에 근접한 영역에 추가적인 캐소드성 플럭스 소스를 공급함으로써, 높은 에지 도금을 방지하기 위해 기판(418)의 에지 근처의 전기적 플럭스를 선택적으로 감소시키도록 사용된다. 도 5에 도시된 바와 같이, 일반적으로 벤트(440)는 기판(418)의 둘레를 둘러싸는 환형의 벤트이고, 기판의 둘레 근처에서 기판/캐소드에 의해 발생된 전기적 플럭스의 양을 감소시키는 방식으로, 보조 전극 어셈블리(424)로부터 캐소드액 용적(419)으로 전기적 플럭스를 안내하도록 배치된다. 이렇게 해서, 기판(418) 상에서 시작하는 전기적 플럭스는 기판(418)의 중심 근처에서 증가된다.In order to significantly widen the initial nucleation zone and redistribute the current from the edge of the substrate to the center / center, the present invention employs the use of an auxiliary electrode as the current sheep through which the optimum high counter current passes. However, the current through the auxiliary electrode during the initial nucleation stage must be optimal or else the current near the contact pins 403 cannot be made anodic, resulting in damage to the material on the substrate and the surface of the contact or the substrate. This results in copper dissolution near the edges. In operation, auxiliary electrode assembly 424 may be used with anode segments 422a-422c, which may be one of the segmented anodes or variations thereof described in FIGS. 7A-7E. The electrical flux is controlled over the surface of the substrate 418 to be plated. More specifically, the auxiliary electrode assembly 424, which is also electrically connected to the second power source (not shown), is electrically connected to the cathode volume 419 via the vent 440 and is connected to the edge or circumference of the substrate 418. By supplying an additional cathode flux source in the adjacent area, it is used to selectively reduce the electrical flux near the edge of the substrate 418 to prevent high edge plating. As shown in FIG. 5, the vent 440 is generally an annular vent surrounding the perimeter of the substrate 418 and in a manner that reduces the amount of electrical flux generated by the substrate / cathode near the perimeter of the substrate. It is arranged to guide the electrical flux from the auxiliary electrode assembly 424 to the catholyte volume 419. In this way, the electrical flux starting on the substrate 418 is increased near the center of the substrate 418.

도 10에 도시된 바와 같이, 전류는 일반적으로 애노드 세그먼트로부터 애노드액을 경유하여 막(416)을 통해 그리고 캐소드액을 통해 기판 표면으로 이동되거나(전류 경로 "F"), 또는 기판을 통과하지는 않고, 전원과 전기적으로 연결되는 접촉 링(406) 및 접촉 핀(403)으로 직접 이동한다(전류 경로 "G"). 초기 핵생성 스테이지 동안, 기판 표면 상의 핵이 겹치기 시작하고 이에 의해 핵생성이 시작되고 기판 표면이 완전히 전도성으로 될 때, 전류 경로 "F"는 전류 경로 "G"보다 더 용이하고 더 빠르며 이로써 전류는 기판의 중앙/중심 영역을 통해 더욱 용이하게 유동할 수 있다. 기판의 중앙부에서의 전류 밀도는 점점 더 높아지게 된다. 조금 후에, 기판의 에지 및 중앙부에서 실질적으로 동일한 두께를 가지는, 얇은 금속 씨드 층의 균일한 증착이 전체 기판 표면 상에 형성된다.As shown in FIG. 10, the current is generally not moved from the anode segment via the anolyte solution to the substrate surface via the film 416 and through the catholyte (current path “F”) or without passing through the substrate. , Directly into the contact ring 406 and the contact pin 403, which are electrically connected to the power source (current path “G”). During the initial nucleation stage, when the nuclei on the substrate surface begin to overlap and thereby start nucleation and the substrate surface becomes fully conductive, the current path "F" is easier and faster than the current path "G" so that the current is It can flow more easily through the center / center region of the substrate. The current density at the center of the substrate becomes higher and higher. Shortly after, a uniform deposition of a thin metal seed layer, having substantially the same thickness at the edge and center of the substrate, is formed on the entire substrate surface.

도 8로 다시 돌아가면, 단계(850)에서, 제 1 전극의 모든 세그먼트에 전류가 제공되고, 단계(860)에서, 제 2 공정 조건 하에서 도금이 수행되어, 적어도, 다른 것들 중에서도 특히 기판 표면 상의 갭, 피처, 구멍을 채운다. 단계(850)에서, 모든 애노드 세그먼트는, 제 1 전원과 같은 하나 이상의 전원의 애노드성 단자와 전기적으로 연결된다. 일 실시예에서, 제 2 전극은, 제 2 공정 조건 하에서 추가적인 균일한 갭 채움(gap filling) 구리 도금을 위해 제 1 또는 제 2 전원의 애노드성 단자와 전기적으로 연결되도록 스위치될 수 있다. 다른 실시예에서, 제 1 전극의 애노드 세그먼트에 가해진 전류보다 감소된 전류가 제 2 전극에 가해질 수 있다. 대안적인 실시예에서, 제 2 전극은 아이들(idle) 상태일 수 있다. Returning to FIG. 8, in step 850, current is provided to all segments of the first electrode, and in step 860, plating is performed under second process conditions, at least, among others, particularly on the substrate surface. Fill in gaps, features, and holes. In step 850, all anode segments are electrically connected with anode terminals of one or more power sources, such as a first power source. In one embodiment, the second electrode may be switched to be electrically connected with the anode terminal of the first or second power source for further uniform gap filling copper plating under the second process conditions. In another embodiment, a reduced current may be applied to the second electrode than the current applied to the anode segment of the first electrode. In an alternate embodiment, the second electrode can be in an idle state.

단계(870)에서, 제 1 전극으로 전류가 제공되고 제 3 공정 조건 하에서 도금이 수행되어, 적어도, 기판 표면 상에 벌크 금속층의 일부를 증착시킨다. 제 3 공정 조건 하에서, 중심 애노드 또는, 대안적으로, 모든 애노드 세그먼트는 하나 이상의 전원의 애노드성 단자와 전기적으로 연결된다. 또한, 제 2 전극 및 애노드 세그먼트에 가해지는 전류 밀도는 제한적이지 않다. 대안적으로, 제 2 전원은 아이들 상태일 수 있다.In step 870, current is provided to the first electrode and plating is performed under third process conditions to deposit at least a portion of the bulk metal layer on the substrate surface. Under the third process condition, the central anode or, alternatively, all anode segments are electrically connected with the anode terminals of one or more power sources. In addition, the current density applied to the second electrode and the anode segment is not limited. Alternatively, the second power source may be in an idle state.

본 발명의 실시예는, 스테이지나 단계가 여기서 설명된 바와 같은 순서로 수행될 것을 요구하지 않는다는 점에 주의한다. 또한, 각각의 파라미터는, 다른 것들 중에서도 특히, 200mm, 300mm 기판 또는 정사각형 기판과 같은 여러 기판 크기에 대해 그리고 다양한 도금 장치에서 공정을 수행하도록 수정될 수 있다. Note that embodiments of the present invention do not require stages or steps to be performed in the order as described herein. In addition, each parameter may be modified to perform the process for various substrate sizes, such as 200 mm, 300 mm substrates or square substrates, among others, and in various plating apparatus.

예로서, 도 11A는 본 발명의 실시예에 따라 도금 동안의 여러 스테이지에서 두 개의 전원을 통과하는 상이한 전류를 도시한다. 선택적으로, 두 전원 상에 전류 펄스(Ii)가 없거나 또는 매우 낮은, 기판 침수(immersion) 스테이지가 있을 수 있다. 제 1 전원을 통과하는 전류는 도 11A에서 라인(1110)으로서 도시되고 제 2 전원을 통과하는 전류는 라인(1120)으로서 도시된다. 라인(1110)은, 제 1 전원에 가해지는, 짧은 전류 펄스(I1), 제 2 전류(I2), 제 3 전류(I3)를 포함할 수 있다. 라인(1120)은, 제 2 전원에 가해지는, 짧은 전류 펄스(I1'), 제 2 전류(I2'), 및 제 3 전류(I3')를 포함할 수 있다. 전류 펄스(I1) 및 (I1')는 얇은 구리 씨드를 위한 도금 공정 또는 스테이지(310)와 같은 제 1 스테이지 동안 가해진다. 전류 펄스(I2) 및 (I2')는 구리 갭 채움을 위한 도금 공정 또는 스테이지(320)와 같은 제 2 스테이지 동안 가해진다. 전류 펄스(I3) 및 (I3')는 벌크 구리층을 위한 도금 공정 또는 스테이지(330)와 같은 제 3 스테이지 동안 가해진다. 본 발명의 일 실시예에 따르면, 제 1 전원은 제 1 스테이지 동안 주 애노드(422a)에는 연결되나 애노드(422b) 또는 애노드(422c)에는 연결되지 않지만; 제 2 스테이지 동안에는 모든 애노드 세그먼트(422a-422c)에 연결된다. By way of example, FIG. 11A shows different currents passing through two power sources at various stages during plating in accordance with an embodiment of the present invention. Optionally, there may be a substrate immersion stage, with or without current pulses I i , on both power supplies. The current through the first power source is shown as line 1110 in FIG. 11A and the current through the second power source is shown as line 1120. Line 1110 may include a short current pulse I 1 , a second current I 2 , and a third current I 3 applied to the first power source. Line 1120 may include a short current pulse I 1 ′, a second current I 2 ′, and a third current I 3 ′ applied to the second power source. Current pulses I 1 and I 1 ′ are applied during a first stage, such as a plating process or stage 310 for thin copper seeds. Current pulses I 2 and I 2 ′ are applied during a second stage, such as a plating process or stage 320 for copper gap filling. Current pulses I 3 and I 3 ′ are applied during a third stage, such as a plating process or stage 330 for the bulk copper layer. According to one embodiment of the invention, the first power source is connected to the main anode 422a but not to the anode 422b or the anode 422c during the first stage; It is connected to all anode segments 422a-422c during the second stage.

본 발명의 일 실시예는, 얇게 도금된, 구리 씨드와 같은, 금속 씨드의 더 좋은 품질을 제공하기 위하여, 역시 다중-스테이지식(multi-staged) 공정인, 스테이지(310), 단계(840), 또는 본 명세서에서의 어떠한 단계도 포함할 수 있다. 예를 들면, 스테이지(310)에서의 짧은 DC 전류 펄스는, 기판 표면 상에 형성된 전기도금된 구리 씨드의 품질 및 균일성을 조정하기 위하여, 둘 이상의 더 짧은 스텝(step)을 포함할 수 있다. One embodiment of the present invention is stage 310, step 840, which is also a multi-staged process, to provide better quality of metal seeds, such as thinly plated, copper seeds. Or any step herein. For example, a short DC current pulse at stage 310 may include two or more shorter steps to adjust the quality and uniformity of the electroplated copper seed formed on the substrate surface.

도 11B는 다중-스텝식(multi-step) 제 1 스테이지를 도시한다. 제 1 전원을 통과하는 전류는 라인(1130)으로서 도시되고, 제 2 전원을 통과하는 전류는 라인(1140)으로서 도시된다. 도 11B에 도시된 바와 같이, 제 1 스테이지는 주 애노드(422a) 만을 통과하는 작고 짧은 전류 펄스(small and short current pulse)를 가진 초기의 캐소드성 전류 펄스, 반대 극성의 두 전원이 주 애노드(422a) 및 보조 전극 어셈블리(424)에 연결되는 짧은 전류 펄스, 그리고 나서 주 애노드(422a) 만을 통과하는 중간(intermediate) 전류 펄스를 포함할 수 있다. 주 애노드(422a) 및 보조 전극 어셈블리(424)에 서로 다른 전류 밀도를 가하기 위해 겹치는 기간이 있을 수 있다.11B shows a multi-step first stage. The current through the first power source is shown as line 1130 and the current through the second power source is shown as line 1140. As shown in FIG. 11B, the first stage is an initial cathode current pulse with small and short current pulses passing only through the main anode 422a, with two supplies of opposite polarity to the main anode 422a. ) And a short current pulse connected to the auxiliary electrode assembly 424, and then an intermediate current pulse passing only through the primary anode 422a. There may be overlapping periods for applying different current densities to the primary anode 422a and the auxiliary electrode assembly 424.

도 12는 약 100Å의 루테늄 배리어 층을 갖는 기판 표면 상에서의, 얇은 구리 씨드의 예시적인 직접 도금(라인(1201))을 보여준다. 도금은 약 35g/L의 구리 이온, 약 100g/L의 황산, 약 3ml/L의 첨가 가속제, 약 4ml/L의 첨가 억제제, 약 2ml/L의 첨가 레벨러 및 다른 첨가제를 갖는 전해질을 이용하여 수행된다. 기판에 가해지는 평균 전류는 약 20mA/cm2이고, 중심 애노드 세그먼트 상의 전류는 약 19.5Amp이고 보조 전극 상의 전류는 약 -5.3Amp이다. 또한, 라인(1202)은, 접촉 핀이 본 발명의 방법을 이용하여 약 100Å의 얇은 구리층으로 예비 도금될 때, 약 800Å 두께의 구리층의 도금 균일성을 보여준다. 도 12의 결과는 약 300mm의 기판 크기에 있어서 에지 근처 및 중심에서의 증착 두께가 동일한, 전체 기판 표면 상의 균일한 구리 도금을 보여준다.12 shows an exemplary direct plating (line 1201) of thin copper seeds on a substrate surface having a ruthenium barrier layer of about 100 GPa. Plating was carried out using an electrolyte with about 35 g / L copper ions, about 100 g / L sulfuric acid, about 3 ml / L addition accelerator, about 4 ml / L addition inhibitor, about 2 ml / L addition leveler and other additives. Is performed. The average current applied to the substrate is about 20 mA / cm 2 , the current on the center anode segment is about 19.5 Amp and the current on the auxiliary electrode is about -5.3 Amp. Line 1202 also shows the plating uniformity of the copper layer about 800 mm thick when the contact pin is preplated with a thin copper layer of about 100 mm 3 using the method of the present invention. The results in FIG. 12 show uniform copper plating on the entire substrate surface with the same deposition thickness near the edge and at the center for a substrate size of about 300 mm.

전술한 내용은 본 발명의 실시예에 관련된 것이지만, 본 발명의 다른 실시예 및 추가적인 실시예가 본 발명의 기본 범위 내에서 안출될 수 있으며, 본 발명의 범위는 이하의 청구항에 의해 결정된다.While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised within the basic scope thereof, the scope of the invention being determined by the claims that follow.

Claims (20)

기판을 처리하기 위한 전기화학 도금 셀로서, An electrochemical plating cell for processing a substrate, 유체 용기로서, 이를 가로질러 위치한 이온 막(ionic membrane)을 가지며, 상기 이온 막이 상기 유체 용기에서 애노드액 용적(anolyte volume)으로부터 캐소드액 용적(catholyte volume)을 유체적으로 분리시키도록 위치되는, 유체 용기;A fluid vessel, having an ionic membrane located across it, the ionic membrane being positioned to fluidly separate the catholyte volume from the anolyte volume in the fluid vessel. Vessel; 상기 애노드액 용적과 유체가 소통하도록 연결되어 위치한 애노드 어셈블리;An anode assembly positioned in fluid communication with the anolyte volume; 상기 기판을 지지하도록 위치한 캐소드 기판 지지 부재;A cathode substrate support member positioned to support the substrate; 보조 전극 전해질 용적과 유체가 소통하도록 연결되어 위치한 보조 전극으로서, 상기 보조 전극 전해질 용적이 상기 애노드액 용적으로부터 전기적으로 분리되는, 보조 전극; 및An auxiliary electrode positioned in fluid communication with the auxiliary electrode electrolyte volume, wherein the auxiliary electrode electrolyte volume is electrically separated from the anolyte volume; And 상기 캐소드액 용적과 유체가 소통하도록 연결되어 위치한 벤트 도관(vent conduit)으로서, 상기 벤트 도관은 상기 보조 전극 전해질 용적과 이온이 소통하도록 연결되는, 벤트 도관을 포함하는; A vent conduit positioned in fluid communication with the catholyte volume, the vent conduit including a vent conduit connected in communication with the auxiliary electrode electrolyte volume; 기판을 처리하기 위한 전기화학 도금 셀.An electrochemical plating cell for processing a substrate. 제 1 항에 있어서,The method of claim 1, 상기 보조 전극이 상기 기판의 둘레에 위치한 환형 전도성 부재를 포함하는, Wherein the auxiliary electrode comprises an annular conductive member positioned around the substrate, 기판을 처리하기 위한 전기화학 도금 셀.An electrochemical plating cell for processing a substrate. 제 1 항에 있어서,The method of claim 1, 상기 벤트 도관이, 도금 공정 중에 상기 기판의 둘레에 인접한 위치에서 상기 유체 용기 안으로 형성된 환형 벤트 도관인, The vent conduit is an annular vent conduit formed into the fluid container at a position adjacent the perimeter of the substrate during a plating process; 기판을 처리하기 위한 전기화학 도금 셀.An electrochemical plating cell for processing a substrate. 제 1 항에 있어서,The method of claim 1, 상기 벤트 도관이 상기 이온 막의 둘레를 경유하여 상기 보조 전극 전해질 용적과 소통하는, Wherein the vent conduit communicates with the auxiliary electrode electrolyte volume via a perimeter of the ion membrane, 기판을 처리하기 위한 전기화학 도금 셀.An electrochemical plating cell for processing a substrate. 제 1 항에 있어서,The method of claim 1, 상기 벤트 도관 및 상기 캐소드액 용적과 유체가 소통하도록 연결되는 캐소드액 공급 도관;A catholyte supply conduit connected in fluid communication with the vent conduit and the catholyte volume; 상기 애노드액 용적과 유체가 소통하도록 연결되는 애노드액 공급 도관;An anolyte supply conduit connected in fluid communication with the anolyte volume; 상기 애노드액 용적과 유체가 소통하도록 연결되는 애노드액 배수 도관;An anolyte drainage conduit connected in fluid communication with the anolyte volume; 상기 보조 전극 전해질 용적과 유체가 소통하도록 연결되는 보조 전극 전해질 공급 도관; 및An auxiliary electrode electrolyte supply conduit connected in fluid communication with the auxiliary electrode electrolyte volume; And 상기 보조 전극 전해질 용적과 유체가 소통하도록 연결되는 보조 전극 전해질 배수 도관;을 더 포함하는, And an auxiliary electrode electrolyte drainage conduit connected in fluid communication with the auxiliary electrode electrolyte volume. 기판을 처리하기 위한 전기화학 도금 셀.An electrochemical plating cell for processing a substrate. 중심 애노드 세그먼트, 하나 이상의 다른 애노드 세그먼트, 및 보조 전극을 갖는 도금 셀의 기판 상에 금속층을 도금하는 방법으로서, A method of plating a metal layer on a substrate of a plating cell having a center anode segment, at least one other anode segment, and an auxiliary electrode, the method comprising: 적어도 상기 기판의 상당한 부분(large portion)을 덮기 위해 제 1 공정 조건 하에서 상기 기판의 표면 상에 상기 금속층의 제 1 부분을 도금하는 단계를 포함하고, Plating a first portion of the metal layer on a surface of the substrate under first processing conditions to cover at least a large portion of the substrate, 상기 제 1 공정 조건이,The first process condition is, 제 1 전원을 상기 중심 애노드 세그먼트 및 상기 기판에 전기적으로 연결시키는 단계로서, 상기 중심 애노드 세그먼트가 상기 기판의 중앙 영역과 정렬되는, 단계; 및Electrically connecting a first power source to the central anode segment and the substrate, wherein the central anode segment is aligned with a central region of the substrate; And 제 2 전원을 상기 보조 전극 및 상기 기판에 전기적으로 연결시키는 단계로서, 상기 제 1 및 제 2 전원이 상기 보조 전극 및 상기 중심 애노드 세그먼트에 반대 극성으로 연결되는, 단계;를 포함하는,Electrically connecting a second power source to the auxiliary electrode and the substrate, wherein the first and second power sources are connected in opposite polarity to the auxiliary electrode and the center anode segment; 기판 상에 금속층을 도금하는 방법.A method of plating a metal layer on a substrate. 삭제delete 삭제delete 삭제delete 제 6 항에 있어서,The method of claim 6, 상기 중심 애노드 세그먼트를 통과하는 전류가 상기 보조 전극을 통과하는 전류보다 큰, A current through the central anode segment is greater than a current through the auxiliary electrode, 기판 상에 금속층을 도금하는 방법.A method of plating a metal layer on a substrate. 삭제delete 제 6 항에 있어서,The method of claim 6, 적어도 상기 기판의 표면 상에 있는 피처 사이의 갭을 채우기 위해 제 2 공정 조건 하에서 상기 금속층의 제 2 부분을 도금하는 단계를 더 포함하고, Plating a second portion of the metal layer under second processing conditions to fill a gap between at least features on the surface of the substrate, 상기 제 2 공정 조건이 전원을 모든 애노드 세그먼트 및 상기 기판에 전기적으로 연결시키는 단계를 포함하는, The second process condition comprises electrically connecting a power source to all anode segments and the substrate; 기판 상에 금속층을 도금하는 방법.A method of plating a metal layer on a substrate. 제 12 항에 있어서,13. The method of claim 12, 상기 기판의 표면 상에 벌크층의 적어도 일부를 증착하기 위해, 제 3 공정 조건 하에서 상기 금속층의 제 3 부분을 도금하는 단계를 더 포함하는, Further comprising plating a third portion of the metal layer under a third process condition to deposit at least a portion of the bulk layer on the surface of the substrate, 기판 상에 금속층을 도금하는 방법.A method of plating a metal layer on a substrate. 제 1 및 제 2 전극을 갖는 도금 셀에서 기판 상에 금속층을 도금하는 방법으로서, A method of plating a metal layer on a substrate in a plating cell having first and second electrodes, the method comprising: 제 1 전원을 상기 기판 및 상기 제 1 전극의 중심 세그먼트에 연결시키는 단계;Connecting a first power source to the center segment of the substrate and the first electrode; 제 2 전원을 상기 기판 및 상기 제 2 전극에 연결시키는 단계; 및Connecting a second power supply to the substrate and the second electrode; And 상기 제 1 및 제 2 전극이 반대 극성인 상태에서 상기 기판의 표면 상에 상기 금속층의 제 1 부분을 도금하는 단계를 포함하는, Plating the first portion of the metal layer on the surface of the substrate with the first and second electrodes in opposite polarities; 제 1 및 제 2 전극을 갖는 도금 셀에서 기판 상에 금속층을 도금하는 방법.A method of plating a metal layer on a substrate in a plating cell having first and second electrodes. 삭제delete 제 14 항에 있어서,15. The method of claim 14, 상기 제 1 전극의 중심 세그먼트를 통과하는 전류가 상기 제 2 전극을 통과하는 전류보다 큰, The current passing through the center segment of the first electrode is greater than the current passing through the second electrode, 제 1 및 제 2 전극을 갖는 도금 셀에서 기판 상에 금속층을 도금하는 방법.A method of plating a metal layer on a substrate in a plating cell having first and second electrodes. 제 14 항에 있어서,15. The method of claim 14, 상기 금속층의 제 1 부분의 두께가 30Å 내지 250Å인, The thickness of the first portion of the metal layer is 30 kPa to 250 kPa, 제 1 및 제 2 전극을 갖는 도금 셀에서 기판 상에 금속층을 도금하는 방법.A method of plating a metal layer on a substrate in a plating cell having first and second electrodes. 제 14 항에 있어서,15. The method of claim 14, 적어도 상기 기판의 표면 상에 있는 피처 사이의 갭을 채우기 위해 제 2 공정 조건 하에서 상기 금속층의 제 2 부분을 도금하는 단계를 더 포함하고, Plating a second portion of the metal layer under second processing conditions to fill a gap between at least features on the surface of the substrate, 상기 제 2 공정 조건이 상기 제 1 전극의 모든 세그먼트를 전기적으로 연결시키는 단계를 포함하는, The second processing condition comprises electrically connecting all segments of the first electrode, 제 1 및 제 2 전극을 갖는 도금 셀에서 기판 상에 금속층을 도금하는 방법.A method of plating a metal layer on a substrate in a plating cell having first and second electrodes. 제 18 항에 있어서,The method of claim 18, 상기 기판의 표면 상에 벌크층의 적어도 일부를 증착시키기 위해 제 3 공정 조건 하에서 상기 금속층의 제 3 부분을 도금하는 단계를 더 포함하고, Plating a third portion of the metal layer under a third process condition to deposit at least a portion of the bulk layer on the surface of the substrate, 상기 금속층의 두께가 800Å 보다 큰, The thickness of the metal layer is greater than 800 kPa, 제 1 및 제 2 전극을 갖는 도금 셀에서 기판 상에 금속층을 도금하는 방법.A method of plating a metal layer on a substrate in a plating cell having first and second electrodes. 둘 이상의 세그먼트로 된 애노드 및 제 2 전극을 갖는 도금 셀에서 기판 상에 금속층을 도금하는 방법으로서, A method of plating a metal layer on a substrate in a plating cell having an anode and a second electrode of two or more segments, the method comprising: 상기 기판의 표면 상에 상기 금속층의 제 1 부분을 증착하기 위해 제 1 전류 펄스를 상기 기판에 가하는 단계로서, 상기 제 2 전극 및 상기 애노드의 하나 이상의 세그먼트와 반대 극성으로 각각 전기 소통하는 제 1 전원 및 제 2 전원에 의해 상기 제 1 전류 펄스가 제공되는, 단계; 및Applying a first current pulse to the substrate to deposit a first portion of the metal layer on a surface of the substrate, the first power source in electrical communication with the second electrode and one or more segments of the anode, respectively, in opposite polarities; And wherein the first current pulse is provided by a second power source; And 상기 기판의 표면 상에 상기 금속층의 제 2 부분을 증착하기 위해 제 2 전류 펄스를 상기 기판에 가하는 단계로서, 상기 제 2 전류 펄스가 상기 애노드의 모든 세그먼트에 제공되는 전류를 포함하는, 단계;를 포함하는,Applying a second current pulse to the substrate to deposit a second portion of the metal layer on the surface of the substrate, the second current pulse comprising a current provided to all segments of the anode; Included, 기판 상에 금속층을 도금하는 방법.A method of plating a metal layer on a substrate.
KR1020077002269A 2004-06-28 2005-06-20 Electrochemical plating cell with an auxiliary electrode in an isolated anolyte compartment KR101248179B1 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/880,103 US20050284751A1 (en) 2004-06-28 2004-06-28 Electrochemical plating cell with a counter electrode in an isolated anolyte compartment
US10/880,103 2004-06-28
PCT/US2005/021894 WO2006012112A2 (en) 2004-06-28 2005-06-20 Electrochemical plating cell with an auxiliary electrode in an isolated anolyte compartment

Publications (2)

Publication Number Publication Date
KR20070027753A KR20070027753A (en) 2007-03-09
KR101248179B1 true KR101248179B1 (en) 2013-03-27

Family

ID=35427602

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020077002269A KR101248179B1 (en) 2004-06-28 2005-06-20 Electrochemical plating cell with an auxiliary electrode in an isolated anolyte compartment

Country Status (5)

Country Link
US (1) US20050284751A1 (en)
JP (1) JP2008504444A (en)
KR (1) KR101248179B1 (en)
TW (1) TW200606283A (en)
WO (1) WO2006012112A2 (en)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1939329B1 (en) * 2006-12-29 2011-05-11 RENA GmbH Kit for the manufacture of a process reactor for forming metallic layers on one or more substrate
US7842173B2 (en) * 2007-01-29 2010-11-30 Semitool, Inc. Apparatus and methods for electrochemical processing of microfeature wafers
WO2010104004A1 (en) * 2009-03-10 2010-09-16 オルガノ株式会社 Ion adsorption module and method of treating water
US8508018B2 (en) * 2010-09-24 2013-08-13 Intel Corporation Barrier layers
TWI550139B (en) 2011-04-04 2016-09-21 諾菲勒斯系統公司 Electroplating apparatus for tailored uniformity profile
WO2018013868A1 (en) 2016-07-13 2018-01-18 Alligant Scientific, LLC Electrochemical methods, devices and compositions
CN113423874B (en) * 2018-12-28 2024-03-15 盛美半导体设备(上海)股份有限公司 Electroplating device and electroplating method
CN115896904B (en) * 2023-03-09 2023-05-30 苏州智程半导体科技股份有限公司 Wafer electroplating chamber structure

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020053509A1 (en) 1996-07-15 2002-05-09 Hanson Kyle M. Processing tools, components of processing tools, and method of making and using same for electrochemical processing of microelectronic workpieces
US20040000488A1 (en) 2002-06-28 2004-01-01 Applied Materials, Inc. CU ECP planarization by insertion of polymer treatment step between gap fill and bulk fill steps
US20040007467A1 (en) 2002-05-29 2004-01-15 Mchugh Paul R. Method and apparatus for controlling vessel characteristics, including shape and thieving current for processing microfeature workpieces

Family Cites Families (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4466864A (en) * 1983-12-16 1984-08-21 At&T Technologies, Inc. Methods of and apparatus for electroplating preselected surface regions of electrical articles
US6149781A (en) * 1994-01-10 2000-11-21 Forand; James L. Method and apparatus for electrochemical processing
US5556379A (en) * 1994-08-19 1996-09-17 Lifenet Research Foundation Process for cleaning large bone grafts and bone grafts produced thereby
US5620581A (en) * 1995-11-29 1997-04-15 Aiwa Research And Development, Inc. Apparatus for electroplating metal films including a cathode ring, insulator ring and thief ring
US6570886B1 (en) * 1997-06-19 2003-05-27 Alcatel Time slot management method and a main station and substation realizing such a method
US5883762A (en) * 1997-03-13 1999-03-16 Calhoun; Robert B. Electroplating apparatus and process for reducing oxidation of oxidizable plating anions and cations
US6228231B1 (en) * 1997-05-29 2001-05-08 International Business Machines Corporation Electroplating workpiece fixture having liquid gap spacer
US5972192A (en) * 1997-07-23 1999-10-26 Advanced Micro Devices, Inc. Pulse electroplating copper or copper alloys
US6004440A (en) * 1997-09-18 1999-12-21 Semitool, Inc. Cathode current control system for a wafer electroplating apparatus
EP1027481A1 (en) * 1997-09-30 2000-08-16 Semitool, Inc. Electroplating system having auxiliary electrode exterior to main reactor chamber for contact cleaning operations
US6090711A (en) * 1997-09-30 2000-07-18 Semitool, Inc. Methods for controlling semiconductor workpiece surface exposure to processing liquids
US6027631A (en) * 1997-11-13 2000-02-22 Novellus Systems, Inc. Electroplating system with shields for varying thickness profile of deposited layer
US6156167A (en) * 1997-11-13 2000-12-05 Novellus Systems, Inc. Clamshell apparatus for electrochemically treating semiconductor wafers
US6179983B1 (en) * 1997-11-13 2001-01-30 Novellus Systems, Inc. Method and apparatus for treating surface including virtual anode
US6126798A (en) * 1997-11-13 2000-10-03 Novellus Systems, Inc. Electroplating anode including membrane partition system and method of preventing passivation of same
US6391166B1 (en) * 1998-02-12 2002-05-21 Acm Research, Inc. Plating apparatus and method
TWI223678B (en) * 1998-03-20 2004-11-11 Semitool Inc Process for applying a metal structure to a workpiece, the treated workpiece and a solution for electroplating copper
US6197181B1 (en) * 1998-03-20 2001-03-06 Semitool, Inc. Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece
US6565729B2 (en) * 1998-03-20 2003-05-20 Semitool, Inc. Method for electrochemically depositing metal on a semiconductor workpiece
EP0991795B1 (en) * 1998-04-21 2006-02-22 Applied Materials, Inc. Electro-chemical deposition system and method of electroplating on substrates
US6071388A (en) * 1998-05-29 2000-06-06 International Business Machines Corporation Electroplating workpiece fixture having liquid gap spacer
US6395152B1 (en) * 1998-07-09 2002-05-28 Acm Research, Inc. Methods and apparatus for electropolishing metal interconnections on semiconductor devices
US6497801B1 (en) * 1998-07-10 2002-12-24 Semitool Inc Electroplating apparatus with segmented anode array
US6080291A (en) * 1998-07-10 2000-06-27 Semitool, Inc. Apparatus for electrochemically processing a workpiece including an electrical contact assembly having a seal member
US6248222B1 (en) * 1998-09-08 2001-06-19 Acm Research, Inc. Methods and apparatus for holding and positioning semiconductor workpieces during electropolishing and/or electroplating of the workpieces
US7070686B2 (en) * 2000-03-27 2006-07-04 Novellus Systems, Inc. Dynamically variable field shaping element
US6080289A (en) * 1998-10-28 2000-06-27 International Business Machines Corporation Electroplating apparatus with self-cleaning contacts
US6497800B1 (en) * 2000-03-17 2002-12-24 Nutool Inc. Device providing electrical contact to the surface of a semiconductor workpiece during metal plating
DE19901383A1 (en) * 1999-01-15 2000-07-20 Knoll Ag Process for the preparation of different solid dosage forms
JP4288010B2 (en) * 1999-04-13 2009-07-01 セミトゥール・インコーポレイテッド Workpiece processing apparatus having a processing chamber for improving the flow of processing fluid
US6916412B2 (en) * 1999-04-13 2005-07-12 Semitool, Inc. Adaptable electrochemical processing chamber
US6547937B1 (en) * 2000-01-03 2003-04-15 Semitool, Inc. Microelectronic workpiece processing tool including a processing reactor having a paddle assembly for agitation of a processing fluid proximate to the workpiece
US6231743B1 (en) * 2000-01-03 2001-05-15 Motorola, Inc. Method for forming a semiconductor device
US6551483B1 (en) * 2000-02-29 2003-04-22 Novellus Systems, Inc. Method for potential controlled electroplating of fine patterns on semiconductor wafers
US6482307B2 (en) * 2000-05-12 2002-11-19 Nutool, Inc. Method of and apparatus for making electrical contact to wafer surface for full-face electroplating or electropolishing
JP2001316887A (en) * 2000-05-08 2001-11-16 Tokyo Electron Ltd Plating equipment
US6527920B1 (en) * 2000-05-10 2003-03-04 Novellus Systems, Inc. Copper electroplating apparatus
US6569927B1 (en) * 2000-10-06 2003-05-27 Uniroyal Chemical Company, Inc. Thermoplastic resins stabilized by blends of sterically hindered phenols, secondary amines, and lactones
JP3831345B2 (en) * 2002-02-14 2006-10-11 日本エレクトロプレイテイング・エンジニヤース株式会社 Wafer plating equipment
US20030159921A1 (en) * 2002-02-22 2003-08-28 Randy Harris Apparatus with processing stations for manually and automatically processing microelectronic workpieces

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020053509A1 (en) 1996-07-15 2002-05-09 Hanson Kyle M. Processing tools, components of processing tools, and method of making and using same for electrochemical processing of microelectronic workpieces
US20040007467A1 (en) 2002-05-29 2004-01-15 Mchugh Paul R. Method and apparatus for controlling vessel characteristics, including shape and thieving current for processing microfeature workpieces
US20040000488A1 (en) 2002-06-28 2004-01-01 Applied Materials, Inc. CU ECP planarization by insertion of polymer treatment step between gap fill and bulk fill steps

Also Published As

Publication number Publication date
TW200606283A (en) 2006-02-16
JP2008504444A (en) 2008-02-14
WO2006012112A2 (en) 2006-02-02
WO2006012112A3 (en) 2006-06-22
US20050284751A1 (en) 2005-12-29
KR20070027753A (en) 2007-03-09

Similar Documents

Publication Publication Date Title
US20050145499A1 (en) Plating of a thin metal seed layer
US7854828B2 (en) Method and apparatus for electroplating including remotely positioned second cathode
KR101248179B1 (en) Electrochemical plating cell with an auxiliary electrode in an isolated anolyte compartment
CN101265606B (en) Apparatuses for electrochemical deposition, and method for forming conductive layer
US6562204B1 (en) Apparatus for potential controlled electroplating of fine patterns on semiconductor wafers
US6881318B2 (en) Dynamic pulse plating for high aspect ratio features
US5972192A (en) Pulse electroplating copper or copper alloys
US6946065B1 (en) Process for electroplating metal into microscopic recessed features
US7964506B1 (en) Two step copper electroplating process with anneal for uniform across wafer deposition and void free filling on ruthenium coated wafers
US6132587A (en) Uniform electroplating of wafers
JP4034655B2 (en) Method and apparatus for electrodepositing a uniform thin film onto a substrate with minimal edge exclusion
US7316772B2 (en) Defect reduction in electrodeposited copper for semiconductor applications
US7799684B1 (en) Two step process for uniform across wafer deposition and void free filling on ruthenium coated wafers
KR20110127617A (en) Through silicon via filling using an electrolyte with a dual state inhibitor
JP2009527912A (en) Method and composition for direct copper plating and filling to form interconnects in the manufacture of semiconductor devices
US20130228458A1 (en) Electroplating systems
EP1778896A1 (en) Method of barrier layer surface treatment to enable direct copper plating on barrier metal
US20050274622A1 (en) Plating chemistry and method of single-step electroplating of copper on a barrier metal
EP1132500A2 (en) Method for electrochemical deposition of metal using modulated waveforms
US8099861B2 (en) Current-leveling electroplating/electropolishing electrode
US20050189228A1 (en) Electroplating apparatus
US20070141818A1 (en) Method of depositing materials on full face of a wafer
US8277619B2 (en) Apparatus for electrochemical plating semiconductor wafers
US20060027460A1 (en) Metal interconnect features with a doping gradient
KR20010015297A (en) Electrochemical deposition for high aspect ratio structures using electrical pulse modulation

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20151230

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20161229

Year of fee payment: 5