KR101121017B1 - 포지티브형 이층 임프린트 리소그래피 방법 및 그것을 위한 조성물 - Google Patents

포지티브형 이층 임프린트 리소그래피 방법 및 그것을 위한 조성물 Download PDF

Info

Publication number
KR101121017B1
KR101121017B1 KR1020057017841A KR20057017841A KR101121017B1 KR 101121017 B1 KR101121017 B1 KR 101121017B1 KR 1020057017841 A KR1020057017841 A KR 1020057017841A KR 20057017841 A KR20057017841 A KR 20057017841A KR 101121017 B1 KR101121017 B1 KR 101121017B1
Authority
KR
South Korea
Prior art keywords
layer
composition
substrate
forming
weight
Prior art date
Application number
KR1020057017841A
Other languages
English (en)
Other versions
KR20050114679A (ko
Inventor
시들가타 브이. 스리니바산
프랭크 와이. 수
마이클 엔. 밀러
마이클 피. 씨. 와츠
Original Assignee
몰레큘러 임프린츠 인코퍼레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/396,615 external-priority patent/US7179396B2/en
Priority claimed from US10/789,319 external-priority patent/US7122079B2/en
Application filed by 몰레큘러 임프린츠 인코퍼레이티드 filed Critical 몰레큘러 임프린츠 인코퍼레이티드
Publication of KR20050114679A publication Critical patent/KR20050114679A/ko
Application granted granted Critical
Publication of KR101121017B1 publication Critical patent/KR101121017B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Manufacturing & Machinery (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Architecture (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Structural Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Shaping Of Tube Ends By Bending Or Straightening (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Addition Polymer Or Copolymer, Post-Treatments, Or Chemical Modifications (AREA)

Abstract

본 발명은 기판 상에 돌출부 및 오목부를 가진 패터닝된 층을 형성함으로써 다층 구조물을 생성하는 것을 특징으로 하는, 기판을 패터닝하는 방법 및 조성을 제공한다. 정합층이 패터닝된 층 상에 형성되며, 다층 구조물은 기판에서 이격 대향하는 크라운 표면을 가진다. 다층 구조물의 일부는 제거되어 돌출부와 중첩되는 기판의 영역을 노출시키는 한편, 오목부와 중첩되는 크라운 표면의 영역 내에 하드 마스크를 형성한다.
Figure 112005053169249-pct00001
기판, 패턴, 정합, 하드 마스크

Description

포지티브형 이층 임프린트 리소그래피 방법 및 그것을 위한 조성물{POSITIVE TONE BI-LAYER IMPRINT LITHOGRAPHY METHOD AND COMPOSITIONS THEREFOR}
일반적으로, 본 발명은 구조물의 마이크로-가공에 관한 것이다. 보다 구체적으로, 본 발명은 구조물 형성의 진행시에 기판을 패터닝하는 것에 관한 것이다.
마이크로-가공은, 예를 들면 마이크로미터 이하의 형상을 가진 매우 작은 구조물의 제조를 수반한다. 마이크로-가공이 상당한 규모의 영향력을 미치는 한 분야는 집적 회로의 가공이다. 반도체 제조 산업이 기판 상에 형성되는 단위 면적당 회로를 증가시키면서 더 큰 생산 수율을 얻으려고 계속 노력하고 있기 때문에 마이크로-가공이 점차 중요하게 되었다. 마이크로-가공은 형성된 구조물의 최소 형상 치수의 감소를 증가시키면서 더 나은 공정 제어를 제공한다. 마이크로-가공이 사용되는 다른 개발 분야로는 바이오 기술, 광학 기술, 기계 시스템 등이 있다.
예시적인 마이크로-가공 기술은 미국 특허 제6,334,960호(윌슨 등)에서 찾아볼 수 있다. 윌슨 등은 구조물에 릴리프 상을 형성하는 방법을 개시하였다. 이 방법은 전사층을 가진 기판을 제공하는 단계를 포함한다. 전사층은 중합성 유체 조성물로 코팅된다. 임프린트 장치가 중합성 유체와 기계적 접촉된다. 임프린트 장치는 랜드 및 그루브로부터 형성된 릴리프 구조물을 포함한다. 중합성 유체 조성물로 릴리프 구조물을 채우며, 랜드와 중첩되는 중합성 유체의 두께가 잔류 두께를 한정한다. 다음에, 중합성 유체 조성물을 고화 및 중합 조건에 두어 임프린트 장치에 상보적인 릴리프 구조물을 포함하는 전사층 상에 고화된 중합 재료를 형성한다. 다음에, 임프린트 장치를 고상 중합체 재료로부터 분리하면 임프린트 장치의 릴리프 구조물의 사본이 고화된 중합 재료에 형성된다. 전사층 및 고화된 중합 재료를 고화된 중합 재료에 대해 선택적으로 전사층을 에칭하는 환경에 두어 릴리프 상을 전사층에 형성한다. 그 후, 통상의 에칭 공정을 사용하여 릴리프 구조물의 패턴을 기판에 전사할 수 있다.
전사 왜곡이라고 하는, 기판에 전사된 패턴과 중합 재료에 기록된 패턴 간의 치수 변형을 최소화하는 것이 요망되고 있다. 이를 위하여, 윌슨 등의 마이크로-가공 기술을 진보시키려는 많은 시도가 이루어졌다. 예를 들면, 고화된 중합 재료의 잔류 두께를 최소화하는 것이 요망되고 있다. 잔류 두께가 얇을수록 전사 왜곡 감소는 더 커진다. 고화된 중합 재료의 잔류 두께는 중합성 유체의 잔류 두께에 비례한다. 그러나, 중합성 유체가 릴리프 구조물을 채우는 속도는 중합성 유체의 잔류 두께의 입방에 반비례한다. 전사 왜곡을 최소화하면 기판에 패턴을 기록하는데 소요되는 시간이 증가한다는 것이 명백하다. 따라서, 처리량과 전사 왜곡 최소화 간에는 트레이드-오프가 존재한다.
그러므로, 이러한 기술에 의해 형성된 패턴의 전사 왜곡을 최소화하면서 마이크로-가공 기술의 처리량을 개선하는 것이 요망되고 있다.
발명의 개요
본 발명은 기판 상에 돌출부 및 오목부를 가진 패터닝된 층을 형성함으로써 다층 구조물을 생성하는 단계를 포함하는, 기판을 패터닝하는 방법 및 조성물을 제공한다. 기판으로부터 이격 대면하는 크라운 표면을 가진 다층 구조물을 가진 정합층이 패터닝된 층에 형성된다. 다층 구조물의 일부를 제거하여 돌출부와 중첩되는 기판의 영역을 노출시키는 한편, 오목부와 중첩되는 크라운 표면의 영역 내에는 하드-마스크를 형성한다. 예시적인 구체예에서, 패터닝된 층은 임프린트 리소그래피 기술을 사용하여 실질적으로 규소가 없는 중합성 유체로부터 형성되어 규소 무함유 중합층을 형성한다. 정합층은 규소 함유 중합성 유체로부터 형성되며, 상기 기판으로부터 이격 대면하는 정규 표면(normalization surface)을 가진다. 규소 함유 중합층의 일부를 블랭킷 에칭에 의해 제거하여, 규소 무함유 중합층의 돌출부가 노출된 크라운 표면을 형성한다. 다음에, 크라운 표면에 이방성 산소 플라스마 에칭을 수행하여 오목부와 중첩되는 크라운 표면의 영역 내에 하드-마스크를 형성하는 한편, 중첩하는 규소 무함유 층의 돌출부와 세그먼트를 제거하여 기판을 노출시킨다. 이 공정의 결과로, 패터닝 시간이 감소하는 한편, 형성된 패턴의 치수에 대한 정확한 제어가 유지된다. 이들 및 다른 구체예들을 본 명세서에서 설명하고자 한다.
도 1은 본 발명에 따른 리소그래피 시스템의 투시도이다.
도 2는 본 발명에 따른 패터닝된 임프린트층을 형성하는 데 사용되는, 도 1에 도시된 리소그래피 시스템의 간략 입면도이다.
도 3은 본 발명에 따라서 중합 및 가교되기 전에, 도 2에 도시된 패티닝된 임프린트층을 구성하는 재료의 간략 도면이다.
도 4는 본 발명에 따라서 광선 조사된 후, 도 3에 도시된 재료가 전환되는 가교된 중합체 재료의 간략 도면이다.
도 5는 본 발명에 따라서 패터닝된 후, 도 1에 도시된 패터닝된 임프린트층으로부터 이격된 임프린트 장치의 간략 입면도이다.
도 6은 본 발명의 한 가지 구체예에 따라서 몰드를 사용하여, 패터닝된 임프린트층에 인접한 정합층의 부착에 의한, 도 5에 도시된 고화된 임프린트층 상의 다층 구조물의 형성의 간략 입면도이다.
도 7은 패터닝된 임프린트층의 일부가 본 발명의 한 가지 구체예에 따라서 노출된 정합층의 크라운 표면을 형성하기 위한, 도 6에 도시된 다층 구조물의 블랭킷 에칭 후의 간략 입면도이다.
도 8은 본 발명에 따라서 크라운 표면을 이방성 에칭하여 기판의 영역을 노출시킨 후의, 도 7에 도시된 다층 구조물의 간략 입면도이다.
도 9는 본 발명의 다른 구체예에 따라서 정합층을 부착한 후의 다층 구조물의 간략 입면도이다.
도 10은 본 발명의 다른 구체예에 따라서 편평화된 몰드를 사용하는 정합층의 편평화를 보여주는 간략 입면도이다.
도 11은 이중 광원을 도시하는, 도 1에 도시된 리소그래피 시스템에 사용되는 광원의 간략 평면도이다.
도 12는 단일 광원을 도시하는 도 1에 도시된 리소그래피 시스템에 사용되는 광원의 간략 평면도이다.
도 13은 본 발명에 따른 적외선 흡수층을 도시하는, 도 1, 2, 5, 6, 7 및 8에 도시된 기판의 단면도이다.
도 14는 본 발명의 다른 구체예에 따른 적외선 흡수층을 도시하는, 도 1, 2, 5, 6, 7 및 8에 도시된 기판의 단면도이다.
도 15는 본 발명에 따라서 사용될 수 있는 릴리스층 및 편평화층을 도시하는 단면도이다.
도 16은 도 14에 도시된 편평화 몰드에 적용되는 릴리스층을 도시하는 단면도이다.
도 1은 브리지(14)와 스테이지 지지체(16)가 사이에서 연장된 한 쌍의 이격 브리지 지지체(12)를 포함하는, 본 발명의 한 가지 구체예에 따른 리소그래피 시스템(10)을 도시한다. 브리지(14) 및 스테이지 지지체(16)는 이격된다. 임프린트 헤드(18)가 브리지(14)에 커플링되어 브리지(14)로부터 스테이지 지지체(16)로 연장된다. 동작 스테이지(20)가 임프린트 헤드(18)와 대면하도록 스테이지 지지체(16)에 배치된다. 동작 스테이지(20)는 X 및 Y 축을 따라서 스테이지 지지체(16)에 대하여 이동하도록 구성되며, Z 축을 따른 이동도 제공할 수 있다. 광원(22)이 시스템(10)에 커플링되어 동작 스테이지(20)에 대해 화학선이 작용하도록 한다. 도시된 바와 같이, 광원(22)은 브리지(14)에 커플링되고, 광원(22)에 연결된 전원장치(23)를 포함한다.
도 1 및 2를 참조하면, 패터닝된 몰드(26)가 위에 놓인 주형(24)이 임프린트 헤드(18)에 연결되어 있다. 패터닝된 몰드(26)는 다수의 이격 오목부(28) 및 돌출부(30)에 의하여 형성된 다수의 형상을 포함한다. 돌출부(30)의 폭은 W1이고, 오목부(28)의 폭은 W2이며, 모두 Z 축을 가로질러 연장되는 방향으로 측정된다. 다수의 형상은 동작 스테이지(20)에 배치된 기판(32)에 전사하고자 하는 패턴의 기초를 형성하는 원 패턴을 한정한다. 이를 위하여, 임프린트 헤드(18)는 Z 축을 따라 이동하도록 되며, 패터닝된 몰드(26)와 기판(32) 사이의 거리 "d"를 변경한다. 대안으로, 또는 임프린트 헤드(18)와 함께, 동작 스테이지(20)가 Z 축을 따라 주형(24)을 이동시킬 수 있다. 이 방식으로, 패터닝된 몰드(26) 상의 형상이 기판(32)의 유동성 영역에 임프린트될 수 있으며, 이것은 이하에 보다 상세히 논의하고자 한다. 광원(22)은 광원(22)과 기판(32) 사이에 패터닝된 몰드(26)가 배치되도록 위치된다. 그 결과, 패터닝된 몰드(26)는 광원(22)에 의해서 생성되는 광선을 실질적으로 투과시키는 재료로 제조된다. 예시적인 시스템은 미국 텍사스 78758 오스틴 브레이커 레인 1807-씨 스위트 100에 위치하는 몰레큘라 임프린츠 인코포레이티드의 상표명 IMPRIO 100™으로 입수할 수 있다. IMPRIO 100™ 시스템에 대한 설명은 www.molec-ularimprints.com에서 입수 가능하며, 본 명세서에서 참고 인용한다.
도 2 및 3을 참고하면, 임프린트층(34)과 같은 유동성 영역은 실질적으로 편평한 프로파일을 나타내는 표면(36)의 부분 위에 배치된다. 본 구체예에서, 유동성 영역은 기판(32) 위에 재료(40)의 다수의 이격된 개별 액적(38)으로서 부착되는데, 이것은 이하에 보다 상세히 설명하기로 한다. 재료(40)는 실질적으로 규소를 함유하지 않으며, 선택적으로 중합 및 가교되어 거기에 원 패턴의 역 패턴이 기록될 수 있다. 재료(40)는 지점(42)에서 가교된 것으로 도 4에 도시되어 있으며, 가교된 중합체 재료(44)를 형성한다.
도 2, 3 및 5를 참조하면, 임프린트층(34)에 기록된 패턴은 부분적으로 패터닝된 몰드(26)와의 기계적 접촉에 의해 생성된다. 이를 위하여, 거리 "d"는 임프린트층(34)이 패터닝된 몰드(26)와 기계적 접촉을 할 수 있도록 감소되고, 표면(36) 위에 재료(40)가 인접 형성된 임프린트층(34)이 형성되도록 액적(38)을 살포한다. 한 가지 구체예에서, 거리 "d"는 임프린트층(34)의 하위-부분(46)이 오목부(28)를 침투하여 채우도록 감소된다.
본 구체예에서, 소정의, 통상 최소 거리 "d"에 도달한 후에 돌출부(30)와 중첩되는 임프린트층(34)의 하위-부분(48)이 잔류하여 두께 t1의 하위 부분(46) 및 두께 t2의 하위 부분(48)을 남긴다. 두께 t2를 잔류 두께라고 한다. 두께 "t1" 및 "t2"는 용도에 따라서 임의의 소정 두께일 수 있다. 액적(38)에 함유되는 총 부피는 재료(40)의 양이 소정의 두께 t1 및 t2를 얻으면서 패터닝된 몰드(26)와 중첩되는 표면(36)의 영역을 넘어서 연장되는 것을 최소화하거나 피하도록 하는 정도일 수 있다.
도 2, 3 및 4를 참조하면, 소정 거리 "d"에 도달한 후, 광원(22)이 화학선을 생성하여 재료(40)를 중합 및 가교시켜 가교된 중합체 재료(44)를 형성한다. 그 결과, 임프린트층(34)의 조성물이 재료(40)에서 고체인 재료(44)로 변형된다. 상세하게, 재료(44)가 고화되어 패터닝된 몰드(26)의 표면(50)의 외형에 정합되는 외형을 가진 쪽이 있는 고화된 임프린트층(134)을 형성하며, 이것은 도 5에 보다 분명하게 도시되어 있다. 그 결과, 오목부(52)와 돌출부(54)를 가진 고화된 임프린트층(134)이 형성된다. 고화된 임프린트층(134)의 형성 후에, 거리 "d"를 증가시켜 패터닝된 몰드(26)와 고화된 임프린트층(134)을 이격시킨다. 통상, 이 공정을 수회 반복하여 기판(32)의 상이한 영역들(도시하지 않음)을 패터닝하며, 이것을 단계 및 반복 공정이라고 한다. 예시적인 단계 및 반복 공정은 본 발명의 양수인에게 양도된 본원에 참고 인용되는 미국 특허 출원 공개 제2004-0008334호에 개시되어 있다.
도 1, 2 및 3을 참조하면, 재료(40)의 특성은 사용된 독특한 부착 공정에 비추어 효율적으로 기판(32)을 패터닝하는데 중요하다. 전술한 바와 같이, 재료(40)는 다수의 개별 및 이격된 액적(38)으로서 기판(32)에 부착된다. 액적(38)의 조합된 부피는 임프린트층(34)을 형성하고자 하는 표면(36)의 영역 위에 재료(40)가 적당히 분포되도록 하는 부피이다. 이 방식으로, 액적(38) 내의 임프린트 재료(40)의 총 부피는, 일단 소정 거리 "d"에 도달하고 나서 패터닝된 몰드(26)와 이와 중첩되는 기판(32)의 부분 사이에 형성된 갭 내의 재료(40)에 의하여 점유되는 총 부피가 액적(38) 내의 재료(40)의 총 부피와 실질적으로 동일하도록 얻고자 하는 거리 "d"를 한정한다. 그 결과, 임프린트층(34)이 확산되는 동시에 패터닝되고, 이후 패턴은 자외선과 같은 광선에 노출됨으로써 경화된다. 부착 공정을 촉진하기 위해, 재료(40)는 모든 두께 t1이 실질적으로 균일하고, 모든 잔류 두께 t2가 실질적으로 균일하도록 표면(36) 위에서 액적(38) 내의 재료(40)의 빠르고 고른 확산을 제공하는 특성을 갖는 것이 바람직하다.
재료(40)에 대한 예시적인 조성물은 규소를 함유하지 않고, 다음과 같이 구성된다:
조성물 1
이소보르닐 아크릴레이트
n-헥실 아크릴레이트
에틸렌 글리콜 디아크릴레이트
2-히드록시-2-메틸-1-페닐-프로판-1-온
조성물 1에서, 이소보르닐아크릴레이트는 조성물의 대략 55%를 구성하고, n-헥실 아크릴레이트는 대략 27%를 구성하며, 에틸렌 글리콜 디아크릴레이트는 대략 15%를 구성하고, 개시제 2-히드록시-2-메틸-1-페닐-프로판-1-온은 대략 3%를 구성한다. 개시제는 미국 뉴욕 테리타운에 소재하는 CIBA?에 의해서 상표명 DAROCUR? 1173으로 시판된다. 또한, 상기 조성물은 조성물의 작동 수명을 증가시키는 것으로 화학 분야에 널리 알려져 있는 안정제를 포함한다. 적당한 릴리스 성질을 제공하기 위하여, 조성물 1은 소수성 및/또는 낮은 표면 에너지를 가진 몰드 표면, 예를 들어 선험적 릴리스층을 갖도록 처리된 주형과 함께 사용될 수 있다.
도 3 및 5를 참조하면, 패터닝된 몰드(26)와 고화된 임프린트층(134)의 릴리스 특성을 개선하고, 고화된 임프린트층(134)이 패터닝된 몰드(26)에 점착되지 않도록 하기 위하여, 첨가제가 조성물 1에 포함될 수 있다. 이를 위하여, 재료(40)는 첨가제로서 계면활성제를 포함할 수 있다. 본 발명의 목적을 위하여, 계면활성제는 한쪽 꼬리가 소수성인 임의의 분자로서 정의한다. 계면활성제는 불소를 함유할 수 있는데, 예를 들면 불소 사슬을 포함하거나, 또는 계면활성제 분자 구조 내에 어떤 불소도 포함하지 않을 수 있다. 예시적인 계면활성제는 DUPONT™의 상표명 ZONYL? FSO-100으로 시판되고 있는 것으로서, 이것의 일반 구조식은 R1R2이고, 상기 식에서 R1 = F(CF2CF2)Y이며, Y는 1 내지 7의 범위이고, R2 = CH2CH2O(CH2CH2O)xH이며, X는 0 내지 15의 범위이다. 이것은 다음 조성을 가진 재료(40)를 제공한다:
조성물 2
이소보르닐 아크릴레이트
n-헥실 아크릴레이트
에틸렌 글리콜 디아크릴레이트
2-히드록시-2-메틸-1-페닐-프로판-1-온
RfCH2CH2O(CH2CH2O)xH
ZONYL? FSO-100 첨가제는 조성물의 1% 이하를 구성하며, 나머지 성분의 상대량은 조성물 1에 관하여 상기 논의된 바와 같다. 그러나, ZONYL? FSO-100의 백분율은 1%를 초과할 수 있다.
도 5 및 6을 참조하면, 패터닝된 몰드(26)의 패턴을 기판(32)에 전사하는 것을 촉진하기 위해, 고화된 임프린트층(134)에 인접하여 규소 함유 정합층(58)을 형성함으로써 다층 구조물(56)이 생성된다. 이를 위하여, 고화된 임프린트층(134)에 인접하여 규소 함유 재료가 부착된다. 구체적으로, 재료(40)의 부착에 관하여 상기 논의된 기술과 같은, 정합층(58)을 형성하는 임의의 공지 기술을 사용하여 규소 함유 재료가 고화된 임프린트층(134)에 인접하여 부착될 수 있다. 대안으로, 규소 함유 재료는 스핀 코팅 기술을 사용하여 고화된 임프린트층(134)에 인접하여 부착될 수 있다.
정합층(58)을 형성하기 위한 예시적인 기술에서, 규소 함유 재료를 스핀 코팅 기술을 사용하여 고화된 임프린트층(134)에 인접하여 부착한 후, 규소 함유 재료를 열 경화시켜 정합층(58)을 형성한다. 이를 위하여, 정합층(58)을 형성하는데 사용될 수 있는 예시적인 재료는 실리콘 수지, 가교제, 촉매 및 용제를 포함한다.
실리콘 수지는 공정 상용성이고, 만족할 만한 이온, 순도 및 부산물 오염 요건을 가진다. 가교제는 실리콘 수지를 가교하기 위하여 포함되며, 매우 작은 형상 크기, 즉 수 나노미터 정도의 패턴을 기록하는 특성을 정합층(58)에 제공한다. 이를 위하여, 열 에너지, 예를 들면 열에 반응하여 축합 반응을 야기하여 실리콘 수지와 가교제를 중합 및 가교시켜 가교된 중합체 재료를 형성하기 위하여 촉매가 제공된다. 선택되는 용제는 실리콘 수지와 상용성이고, 규소 함유 재료의 나머지 양을 나타낸다. 용제는, 피할 수 없다면, 예를 들어 고화된 임프린트층(134)의 팽윤으로 인한 고화된 임프린트층(134)의 변형을 유발하는 것을 최소화하는 것이 바람직하다.
실리콘 수지는 임의의 알킬 및/또는 아릴 치환 폴리실록산, 공중합체, 이들의 블렌드 또는 혼합물일 수 있다. 실리콘 수지의 예로서는 자외선(UV) 경화성 졸-겔, UV 경화성 에폭시 실리콘, UV 경화성 아크릴레이트 실리콘 및 티올렌 화학물질에 의한 UV 경화성 실리콘; 및 비경화된 재료, 예컨대 수소 실세스퀴옥산 및 폴리(메타)아크릴레이트/실록산 공중합체가 있다. 바람직하게는, 히드록실 작용성 폴리실록산, 예컨대 히드록실 작용성 유기실록산이 사용되며, 유기실록산의 예로는 메틸, 페닐, 프로필 및 그 혼합물이 있다. 실리콘 수지는 정합층(58)에 필요한 두께에 따라서 대략 2 내지 40 중량%의 양으로 규소 함유 조성물에 존재할 수 있다. 본 발명에서 사용되는 히드록실 작용성 폴리실록산의 예시적인 예는 Dow Corning?(미국 미시건 미들랜드)의 상표명 Z-6018로 구입할 수 있는 규소 T-수지 중간체이다.
가교제는 2개 이상의 중합가능한 기를 포함하는 화합물이다. 가교제는 존재하는 실리콘 수지의 양에 대하여 대략 2 내지 50 중량%의 양으로 규소 함유 조성물에 존재할 수 있다. 통상, 가교제는 대략 20 내지 30%의 양으로 규소 함유 조성물에 존재한다. 본 발명에 사용되는 가교제의 예시적인 예는 사이텍 인더스트리즈 인코포레이티드(미국 뉴저지 웨스트 패터슨)로부터 상표명 CYMEL 303ULF로 구입할 수 있는 헥사메톡시메틸멜라민(HMMM)계 아미노플라스트 가교제이다.
촉매는 축합 반응을 촉매 작용하는 성분일 수 있다. 적당한 촉매로는, 한정하는 것은 아니지만, 산성 화합물, 예컨대 술폰산이 있다. 촉매는 존재하는 실리콘 수지에 대하여 대략 0.05 내지 5 중량%의 양으로 규소 함유 재료에 존재할 수 있다. 통상, 촉매는 대략 1 내지 2%의 양으로 규소 함유 재료에 존재한다. 본 발명에 사용되는 촉매의 예시적인 예는 사이텍 인더스트리즈 인코포레이티드(미국 뉴저지 웨스트 패터슨)로부터 상표명 CYCAT 4040으로 구입할 수 있는 톨루엔술폰산이다.
조성물의 나머지로는 용제가 사용된다. 용제는 몇 가지 기준을 만족하는 임의의 용제 또는 용제들의 조합일 수 있다. 전술한 바와 같이, 용제는 고화된 임프린트층(134)을 팽윤시켜서는 안 된다. 또한, 용제의 증발 속도는 정합층(58) 형성의 진행시 규소 함유 재료의 편평화를 촉진하기에 충분한 점도를 제공하면서, 스핀 코팅 공정의 결과로서 소정 양의 용제가 증발되도록 설정되어야 한다. 적당한 용제로는, 한정하는 것은 아니지만, 알콜, 에테르, 글리콜 또는 글리콜 에테르, 케톤, 에스테르, 아세테이트 및 이들의 혼합물이 있다. 용제는 정합층(58)을 형성하는데 사용되는 규소 함유 재료에, 정합층(58)의 바람직한 두께에 따라 대략 60 내지 98 중량%의 양으로 존재할 수 있다. 본 발명에 사용되는 용제의 예시적인 예는 알드리치 컴파니(미국 미주리 세인트루이스)로부터 상표명 MAK로 구입할 수 있는 메틸아밀케톤이다.
또 다른 구체예에서, 가교 반응을 개선하고 가교 속도를 개선하기 위해 정합층(58)의 조성이 에폭시 작용성 실란 커플링제를 포함하는 것으로 변경된다. 에폭시 작용성 실란의 예로는 글리시드옥시메틸트리메톡시실란, 3-글리시드옥시프로필트리히드록시실란, 3-글리시드옥시프로필디메틸히드록시실란, 3-글리시드옥시프로필트리메톡시실란, 2,3-에폭시프로필트리메톡시실란 등이 있다. 에폭시 작용성 실란은 실리콘 수지에 대하여 규소-함유 화합물 중 대략 2 내지 30 중량%의 양, 통상 5 내지 10%의 양으로 정합층(58)에 존재할 수 있다. 본 발명에서 사용되는 에폭시 작용성 실란의 예시적인 예는 GE 실리콘/OSi 스페셜티(미국 코네티컷 윌튼)로부터 상표명 A187로 구입할 수 있는 감마-글리시드옥시프로필트리메톡시실란이다.
정합층(58)을 형성하는 예시적인 조성물은 다음과 같다:
조성물 3
히드록실 작용성 폴리실록산
헥사메톡시메틸멜라민
톨루엔술폰산
메틸 아밀 케톤
조성물 4
히드록실 작용성 폴리실록산
헥사메톡시메틸멜라민
감마-글리시드옥시프로필트리메톡시실란
톨루엔술폰산
메틸 아밀 케톤
조성물 3에서, 히드록실 작용성 폴리실록산은 조성물의 대략 4%를 구성하고, 헥사메톡시메틸멜라민은 대략 0.95%를 구성하며, 톨루엔술폰산은 대략 0.05%를 구성하고, 메틸아밀케톤은 대략 95%를 구성한다. 조성물 4에서, 히드록실 작용성 폴리실록산은 조성물의 대략 4%를 구성하고, 헥사메톡시메틸멜라민은 대략 0.7%를 구성하며, 감마-글리시드옥시프로필트리메톡시실란은 대략 0.25%를 구성하고, 톨루엔술폰산은 대략 0.05%를 구성하며, 메틸아밀케톤은 대략 95%를 구성한다.
조성물 3 및 4는 실리콘 수지의 4% 이상을 구성한다. 그러나, 경화시, 정합층(58)에 존재하는 규소량은 5 중량% 이상이며, 통상 20% 이상의 범위이다. 구체적으로, 조성물 3 및 4에 존재하는 용제의 양 및 조성은 고화된 임프린트층(134) 상에 조성물 3 또는 4를 스핀 코팅 도포하는 중에 용제의 실질적인 부분이 증발되도록 선택된다. 본 예시적인 규소 함유 재료에서, 용제의 대략 90%는 스핀 코팅 중에 증발한다. 규소 함유 재료를 열 에너지에 노출시킬 때 용제의 나머지 10%가 증발하여 대략 20 중량% 규소를 가진 정합층(58)을 남긴다.
정합층(58) 형성의 예시적인 방법은 고화된 임프린트층(134)의 중심에 인접하여 부착된 대략 4㎖의 규소 함유 재료를 회전시키는 단계를 포함한다. 이를 위하여, 핫 플레이트 위에 기판(32)을 배치하고 1분 동안 1000 회전/분으로 기판(32)을 회전시킨다. 그 후, 규소 함유 재료를 150℃에서 1분 동안 베이킹함으로써 열 에너지에 노출시킨다. 이로써 정합층(58)이 형성되는 규소 함유 재료가 생성되며, 이때 두께 변동은 20nm 이하이다. 고화된 규소 함유 층의 두께 증가, 예를 들어 두께가 200nm인 고화된 규소 함유 층을 제공하는 것이 필요하다면, 상기 스핀 코팅 및 경화 공정을 단순히 반복한다. 그 결과, 사용된 용제는 잘 경화된 정합층(58)에서 규소 함유 재료를 "씻어내어" 제거하지 않도록 선택한다.
도 5 및 6을 참조하면, 스핀 코팅 및 경화 공정에서 정합층(58)은 제1 및 제2 대향면을 포함한다. 제1 면(60)은 임프린트층(134)과 대면하며, 임프린트층(134)의 프로파일에 상보적인 프로파일을 가진다. 제2 면은 임프린트층(134)으로부터 이격 대면하며, 실질적으로 평탄하고 통상 편평한 정규 표면(62)을 형성한다. 이 방식으로, 정규 표면(62)은 실질적으로 정규화된 프로파일을 고화된 정합층(58)에 제공한다. 조성물 3 및 4의 유리 전이 온도를 경화 온도보다 낮게 함으로써 정규 표면(62)에 평탄한, 예를 들면 실질적으로 편평한 지형이 제공되는 것으로 믿어진다. 구체적으로, 유리전이 온도와 경화 온도 간의 온도차는, 규소 함유 재료가 최소 시간 안에 정규 표면(62)의 평탄성, 예를 들면 편평성을 최대화하도록 경화 중에 역류되기에 충분해야 할 것이 요망된다. 예를 들면, 조성물 3 및 4 각각은 유리 전이 온도가 대략 50℃이고, 경화 온도가 150℃이다. 그 결과, 정규 표면(62)의 지형 중에서 돌출부(54) 각각의 정점(64)과 정규 표면(62) 간의 거리 k2, k4, k6, k8 및 k10이 실질적으로 동일하게 된다. 유사하게, 오목부(52) 각각의 바닥면(66)과 정규 표면(62) 간의 거리 k1, k3, k5, k7, k9 및 k11도 실질적으로 동일하게 된다.
도 6 및 7을 참조하면, 정규 표면(62)의 형성 후에, 블랭킷 에칭을 사용하여 정합층(58)의 일부를 제거하여 다층 구조물(56)에 크라운 표면(70)을 제공한다. 비한정적인 예를 들면, 블랭킷 에칭은 미국 캘리포니아 프레몬트에 소재하는 램 리서치 인코포레이티드의 LAM Research 9400SE로서 구입 가능한 시스템에 의해 달성할 수 있다. 이 방식으로, 정규 표면(62)에 전구체의 하나 이상이 불소 함유 재료, 예를 들면, 한정하는 것은 아니지만, CHF3과 O2의 조합인, 불소가 풍부한 등방성 할로겐 반응성 이온 에칭("RIE")을 행한다. 다른 적당한 할로겐 화합물의 예로는, 한정하는 것은 아니지만, CF4가 있다. 산소는 플라스마 화학에서 부재할 것이 요망된다. 정규 표면(62)에 크라운 표면(70)이 노출되기에 충분한 블랭킷 에칭을 행한다.
크라운 표면(70)은 블랭킷 에칭 후 정합층(58)에 잔류하는 부분(74)의 상면과 각 돌출부(54)의 노출면(72)에 의해 한정된다. 정합층(58)의 조성은 블랭킷 에칭이 정합층(58)에 적용될 때 크라운 표면(70)이 실질적인 편평 프로파일을 갖추도록 하는 조성이다. 즉, "a"로 나타낸 돌출부(54)의 두께는 "b"로 나타낸 부분(74)의 두께와 실질적으로 동일하다. 예시적인 블랭킷 에칭은 불소계 화학물질을 사용하는 플라스마 에칭 공정일 수 있다.
도 7 및 8을 참조하면, 크라운 표면(70)에 이방성 에칭을 행한다. 이방성 에칭의 에칭 화학물질은 돌출부(54)와 이것과 중첩되는 임프린트층(134)의 세그먼트의 에칭을 최대화하는 한편, 오목부(52)와 중첩되는 부분(74)의 에칭을 최소화하도록 선택된다. 본 실시예에서, 임프린트층(134)과 정합층(58) 간의 규소 함량에 차이를 두는 이점을 취하였다. 구체적으로, 이방성 플라스마 에칭, 예를 들면 산소계 화학물질을 이용한 RIE 플라스마 에칭에 의해 크라운 표면(70)에 인접한 부분(74)의 영역에서 계내 경화된 마스크(76)를 생성한다. 이것은 규소 함유 중합성 재료와 산소 플라스마의 상호반응의 결과이다. 경화된 마스크(76) 및 에칭 공정의 이방성으로 인해 돌출부(54)와 중첩되는 기판(32)의 영역(78)이 노출된다. 영역(78)의 폭 U'은 도 2에 도시된 폭 W2와 최적으로 동일하다.
도 2, 7 및 8을 참조하면, 이 패터닝 공정의 이점은 여러 가지이다. 예를 들면, 부분(74)과 노출된 표면(72)의 상대적 에칭 속도의 차이에 의해서 정확한 에칭 선택성의 제공이 촉진된다. 그 결과, 영역(78)의 치수 폭 U'가 정확하게 제어될 수 있으며, 이로써 기판(32)에 패턴의 전사 왜곡이 감소될 수 있다. 생성된 구조물은 기판(32)으로의 패턴 전사를 촉진하기 위한 마스크로서 사용될 수 있다. 구체적으로, 경화된 마스크(76)와 이와 중첩되는 고화된 임프린트층(134)의 부분에 의해 제공되는 에칭 차이는 블랭킷 에칭의 존재 하에 에칭 차이를 제공한다. 이 방식으로, 기판(32)의 영역(78)은 경화된 마스크(76)와 중첩되는 기판(32)의 영역보다 빠르게 에칭된다. 재료 및 에칭 화학물질을 적당하게 선택함으로써 궁극적으로 기판(32)에 전사되는 패턴의 상이한 형상 간의 관련 치수가 필요에 따라 제어될 수 있다. 예를 들면, 불소 에칭 후 및 산소 에칭 전에 산소 플라스마 에칭을 포함하는 것이 유리한 것으로 밝혀졌다. 구체적으로, 산소 플라스마 에칭 중에 에칭 선택성이 개선되었다. 잔류 불소가 정규 표면(62)에 존재하며, 아르곤 에칭이 잔류 불소를 제거하고, 따라서 산소 플라스마 에칭 중에 이용 가능한 불소가 더 감소된다고 믿어진다.
도 1, 5 및 9를 또한 참조하면, 치수 폭 U'의 제어는 잔류 두께 t2에 비교적 독립적이다. 중합성 유체가 몰드(26) 상의 패턴을 채우는 속도는 잔류 두께 t2의 입방에 반비례한다. 그 결과, 실질적으로 전사 왜곡을 증가시키지 않으면서 처리량이 최대화되도록 잔류 두께 t2가 선택될 수 있다. 잔류 두께 t2와 전사 왜곡의 분리는 전사 왜곡을 악화시키지 않으면서 비극성 표면의 패터닝을 촉진한다. 이것은 확대 보정을 실행하는 경우 몰드(26)의 치수를 변경할 때 통상적으로 발생하는 경우에서처럼, 몰드(26)가 외력으로 인하여 변형될 때 특히 유용하다. 그 결과, 몰드 패터닝된 임프린트층(234)의 변형은 돌출부(154)의 정점(172)이 공면이 아니고/아니거나 오목부(174)의 바닥면(152)이 공면이 아닌 프로파일을 가질 수 있다.
이 프로파일로부터 야기될 수 있는 전사 왜곡을 경감하기 위해, 정합층(158)은 각 돌출부(154)의 정점(172)과 정규 표면(162) 간의 거리 ki가 다음 매개변수를 만족하도록 부착된다:
|kimin - kimax| < t3
상기 식에서, kimin은 ki에 대한 최소치이고, kimax는 ki에 대한 최대치이며, t3은 정점(172)과 바닥면(152) 사이에서 측정한 돌출부(152)의 높이이다. 따라서, 정규 표면(162)에 의해 제공되는 정규화에 대한 제약은 ki 각각의 값이 실질적으로 동일할 것이 필요하지 않도록 완화될 수 있다. 이를 위하여, 스핀 코팅 기술이나 임프린트 리소그래피 기술에 의해서 정합층(158)이 적용될 수 있다. 다음에, 스테이지(20)를 사용하여 Z 축을 따라 기판을 이동시킴으로써 정합층(158)을 편평면, 예컨대 편평화 몰드(도시하지 않음)에 대하여 가압한다. 대안으로, 편평화 몰드(도시하지 않음)를 정규 표면(162)에 대해 이동시키거나, 또는 둘 다 이동시킬 수 있다.
최종적으로, 실질적으로 규소를 함유하지 않는 중합성 유체로부터 패터닝된 임프린트층(234)을 형성하는 것은 몰드(26)의 세정 공정을 용이하게 하며, 특히 몰드(26)를 종종 훈증 실리카로부터 형성하는 것이 고려될 수 있다.
추가 편평화가 10 미크론 이하의 치수를 가진 형상을 기판(32)에 전사할 경우, 도 6에 도시된 정합층(58)을 형성할 때 바람직할 수 있다는 것을 발견하였다. 이를 위하여, 도 2 및 10에 도시된 바와 같이, 규소 함유 재료는, 정합층(58) 형성에 관하여 상기 논의된 대로 회전될 수 있거나, 또는 임프린트층(34)에 관하여 상기 논의된 대로 다수의 액적으로서 부착될 수 있다. 규소 함유 재료의 부착 후, 실질적으로 평탄하지만 편평하지는 않은 표면(82)을 가진 편평화 몰드(80)를 사용하여 정합층(58) 내의 규소 함유 재료의 고화 전에 정규 표면(62)과 접촉시킨다. 이 방식으로, 정합층(58)에 고화된 임프린트층(134)에 관하여 정규 표면이 제공된다. 이것은 통상 정규 층(58)의 형성에 사용된 규소 함유 재료를 포함하는 기판(32)의 모든 영역을 동시에 편평화기에 충분한 면적을 가진 광학 플랫을 제공함으로써 달성된다. 다음에, 정합층(58) 내의 규소 함유 재료가 고화되고, 편평화된 몰드(80)가 정합층(58)으로부터 분리되며, 정규 표면(62)이 상기 논의된 바와 같이 처리되어 동일한 것이 패터닝되고, 패턴이 기판(32)에 전사된다.
도 2, 6 및 11을 참조하면, 정규 층(58)을 형성할 경우 단계를 수행하고 편평화 공정을 반복하는 것이 바람직할 수 있다. 이를 위하여, 적외선(IR) 및 자외선을 사용하여 가교를 수행하기 위한 화학선을 제공하도록 광원(22)이 선택될 수 있다. 예시적인 광원(22)은 단일 범위 파장의 광선을 각각 생성하는 복수 광원을 포함할 수 있으며, 2개의 광원(84 및 86)을 포함하는 것이 도시된다. 광원(84)은 IR을 생성할 수 있는 당업계에 공지된 임의의 것일 수 있고, 광원(86)은 액적(38) 내의 재료를 중합 및 가교하는데 사용되는 화학선, 예를 들어 UV를 생성할 수 있는 당업계에 공지된 임의의 것일 수 있다. 구체적으로, 광원(84 및 86)에 의하여 생성되는 광선은 기판(32)을 향해 광학 경로(88)를 따라서 전파된다. 회로(도시하지 않음)는 선택적으로 UV 및 IR 스펙트럼 광선을 기판(32)에 조사할 수 있도록 광원(84 및 86)과 전기 연통한다.
도 12를 참조하면, 대안으로 광원(22)은 다중 범위의 파장을 생성하는 단일 광원을 포함할 수 있는데, 이것은 기판(32)에 연속적으로 또는 동시에 조사되도록 선택적으로 제어될 수 있다. 예시적인 광원(22)은 UV 및 IR 광선을 생성하는 단일의 넓은 스펙트럼 광원(90)으로 구성되는데, 이것은 수은(Hg) 램프로 구성될 수 있다. 기판(32)에 상이한 유형의 광선들을 선택적으로 조사하기 위하여, 필터링 시스템(92)을 사용한다. 필터링 시스템(92)은 하이 패스 필터(도시하지 않음) 및 로우 패스 필터(도시하지 않음)를 포함하며, 각각은 광원(90)과 광학 연통한다. 필터링 시스템(92)은 광학 경로(88)가 IR 광선을 포함하도록 하이 패스 필터(도시하지 않음)를 배치할 수 있거나, 또는 필터링 시스템(92)은 광학 경로(88)가 UV 광선을 포함하도록 로우 패스 필터(도시하지 않음)를 배치할 수 있다. 하이 패스 및 로우 패스 필터(도시하지 않음)는 당업계에 공지된 임의의 것, 예를 들어 스페이서가 사이에 배치된 두 개의 반-반사 코팅을 포함하는 간섭 필터일 수 있다. 굴절률 및 스페이서의 두께는 간섭 필터를 통해 선택하여 투과시키고자 하는 주파수 대역을 결정한다. 그러므로, 하이 패스 필터(도시하지 않음)와 로우 패스 필터(도시하지 않음)에 대해 적당한 굴절률 및 스페이서 두께를 선택하여, 하이 패스 필터(도시하지 않음)가 IR 광선을 통과시키고, 로우 패스 필터(도시하지 않음)가 UV 광선을 통과시키도록 한다. 프로세서(도시하지 않음)는 광원(90) 및 필터링 시스템(92)과 데이터 통신하여 선택적으로 광선의 소정 파장을 광학 경로(88)를 따라서 전파한다. 회로는 IR 광선이 필요할 경우 하이 패스 필터(도시하지 않음)를 작동시키고, UV 광선이 필요할 경우 로우 패스 필터(도시하지 않음)를 작동시킨다.
도 13을 참조하면, 기판(32) 위에는 임프린트층(34)의 부착 전에 하나 이상의 기존의 층이 배치되어 있을 수 있다. 그 결과, 규소 함유 재료를 가열하는 것이 문제될 수 있는데, 그 이유는 웨이퍼를 형성하는 재료 및/또는 웨이퍼 상의 기존의 층, 예를 들면 고화된 임프린트층(34)이 실질적으로 적외선에 비-반응성이기 때문이다. 그 결과, 매우 적은 에너지 전달이 일어나서 가교를 달성할 만큼 충분히 규소 함유 재료의 온도를 상승시키기가 어렵게 된다.
정합층(58) 내 규소 함유 재료의 가교를 촉진하기 위하여, 기판(32)과 함께 포함된 층 중 하나가 적외선 흡수층(94)일 수 있다. 흡수층(94)은 IR에 노출될 때 여기되어 국소적 열원을 생성하는 재료를 포함할 수 있다. 통상, 흡수층(94)은 고상 상태를 포함할 수 있는, 가열 공정 중에 일정한 상 상태를 유지하는 재료로부터 형성된다. 구체적으로, 흡수층(94)에 조사되는 IR은 그 안에 함유된 분자의 여기를 유발하여 열을 발생시킨다. 흡수층(94)에서 발생된 열은 웨이퍼 및/또는 임의의 간섭재료층을 통하여 전도에 의해 규소 함유 재료로 전달되며, 예를 들어 기판(32)과 고화된 임프린트층(134) 사이에 배치되도록 표면(36) 상에 흡수층(94)은 배치될 수 있다. 그 결과, 흡수층(94) 및 기판(32)이 IR을 흡수하고, 정합층(58) 내 규소 함유 재료에 의해 감지되는 국소적 열원을 생성할 수 있는 두 갈래진 열 전달 메커니즘이 제공된다. 이 방식으로, 흡수층(94)은 표면(36) 상에서 국소적 열원을 생성한다. 이를 위하여, 흡수층(94)이 스핀 코팅, 화학 증착, 물리 증착, 원자층 부착 등을 비롯한 임의의 공지 기술을 사용하여 부착될 수 있다. 예시적인 재료는 탄소계 PVD 코팅, 카본 블랙 충전제를 가진 유기 열 경화 코팅 또는 이황화몰리브덴(MoS2)계 코팅일 수 있다.
도 14를 참조하면, 흡수층(94)은 고화된 임프린트층(134)에 대향 배치된 기판(32) 쪽에 배치될 수 있다. 그 결과, 흡수층(94)은 영구 또는 탈착 가능하게 부착될 수 있다. 흡수층(94)으로서 사용될 수 있는 예시적인 재료로는 블랙 니켈 및 애노드화 블랙 알루미늄이 있다. 또한, 블랙 크롬도 흡수층(94)으로 사용될 수 있다. 통상, 블랙 크롬은 산화물의 혼합물로서 부착되며, 태양 전지용 코팅으로서 사용된다.
또한, 도 2에 도시된 바와 같이, 패터닝된 몰드(26)는 임의의 재료, 예를 들어, 한정하는 것은 아니지만, 훈증 실리카, 석영, 규소, 유기 중합체, 실록산 중합체, 보로실리케이트 유리, 플루오로카본 중합체, 및 금속 그리고 상기의 조합으로부터 제조될 수 있다. 그러나, 본 구체예에서, 화학선은 패터닝된 몰드(26)를 통하여 전파된다. 그러므로, 패터닝된 몰드(26)는 실질적으로 화학선을 투과시키는 재료로부터 제조되는 것이 바람직하다. 패터닝된 몰드(26) 상의 다수의 형상은 패터닝된 몰드(26)의 단면에 톱니형(battlement) 외형을 제공하는 돌출부(30)에 평행한 방향을 따라 연장되는 오목부(28)로서 도시되어 있다. 그러나, 오목부(28) 및 돌출부(30)는 집적 회로를 형성하는데 필요한 실제 어떤 형상에 상응할 수 있으며, 십 분의 수 나노미터 정도로 작을 수 있다.
도 2 및 15를 참조하면, 유사한 방식으로, 임프린트층(34)을 형성하는 편평화 표면을 기판(32)에 제공하는 것이 바람직할 수 있다. 이를 위하여, 상도층(96)이 기판(32)에 형성될 수 있다. 상도층(96)은 기판(32) 표면(36)이 임프린트층(34)에 형성하고자 하는 형상 치수와 비교하여 거칠게 보일 경우에 유리한 것으로 입증되었다. 또한, 기판(32)에 존재하는 미리 배치된 패터닝된 층에 임프린트층(34)을 형성할 때 상도층(96)을 부착하는 것이 유리한 것으로 밝혀졌다. 또한, 상도층(96)은 특히 임프린트층(34)과의 표준 계면을 제공하도록 기능함으로써 기판(32)을 형성하는 재료에 대한 각각의 공정을 맞춤 제공할 필요성을 감소시킬 수 있다. 또한, 상도층(96)은 임프린트층(34)과 동일한 에칭 특성을 가진 유기 재료로부터 형성될 수 있다. 상도층(96)은 임프린트층(34)에 대한 우수한 점착성을 나타낼 수 있는 연속적이고 평탄하며 비교적 결함이 없는 표면을 갖도록 하는 방식으로 제조한다. 상도층(96)을 형성하는데 사용하기 위한 예시적인 재료는 미국 미주리 롤라에 소재하는 브루어 사이언스 인코포레이티드로부터 상표명 DUV30J-6으로 구입할 수 있다.
도 5 및 15를 참조하면, 고화된 임프린트층(134)이 패터닝된 몰드(26)에 점착하지 않을 가능성을 감소시키기 위해, 표면(50)을 저 표면 에너지 코팅(98)으로 처리할 수 있다. 저 표면 에너지 코팅(98)은 임의의 공지된 공정을 사용하여 적용될 수 있다. 가공 기술의 예로는 화학 증착, 물리 증착, 원자층 부착 또는 다양한 다른 기술, 브레이징 등일 수 있다. 유사한 방식으로, 저 표면 에너지 코팅(198)이 도 16에 도시된 편평화 몰드(94)에 적용될 수 있다. 통상, 계면활성제는 층 내 중합성 재료의 표면 에너지보다 낮은 이와 관련된 표면 에너지를 가진다. 상기 계면활성제를 형성하는 재료 및 공정의 예는 문헌(Bender et al., MULTIPLE IMPRINTING IN UV-BASED NANOIMPRINT LITHOGRAPHY: RELATED MATERIAL ISSUES, Microelectronic engineering pp. 61-62, 2002)에 논의되어 있다. 계면활성제의 낮은 표면 에너지는 임프린트층(34) 또는 정합층(58)의 패터닝된 몰드(26)에 대한 점을 감소시키는 소정의 릴리스 특성을 제공한다. 계면활성제는 저 표면 에너지 코팅(98 및 198)과 함께 또는 이를 대신하여 사용될 수 있음을 이해하여야 한다.
전술한 본 발명의 구체예는 예시적이다. 많은 변형과 개량이 본 발명의 범주 내에 있으면서 전술한 개시 내용에서 이루어질 수 있다. 그러므로, 본 발명의 범주는 상기 상세한 설명을 참고해서 결정되는 것이 아니라, 균등물의 모든 범주와 함께 첨부된 특허 청구의 범위를 참고로 결정되어야 할 것이다.

Claims (25)

  1. 기판 상에 중합성 유체 조성물을 배치하고, 상기 중합성 유체 조성물을 몰드의 표면과 접촉시키고, 패터닝된 층이 형성되도록 상기 중합성 유체 조성물을 상기 중합성 유체 조성물이 중합되는 조건에 둠으로써 돌출부와 오목부를 가진 패터닝된 층을 기판 상에 형성하고, 상기 패터닝된 층 상에 정합층을 형성함으로써 기판으로부터 이격 대면하는 크라운 표면을 가진 다층 구조물을 생성하는 단계; 및
    상기 다층 구조물의 일부분을 선택적으로 제거하여 상기 돌출부와 중첩되는 상기 기판의 영역을 노출시키는 동시에, 상기 오목부와 중첩되는 상기 크라운 표면의 영역 내에는 하드-마스크를 형성하는 단계
    를 포함하는 기판의 패터닝 방법.
  2. 삭제
  3. 제1항에 있어서, 상기 패터닝된 층의 형성 단계는 정점 및 높이를 가진 상기 돌출부를 제공하는 단계를 더 포함하고, 상기 정합층의 형성 단계는 한 정점으로부터 최소 거리로 이격되고, 다른 정점으로부터 최대 거리로 이격된 정규 표면을 가진 정합층을 제공하는 단계를 더 포함하며, 상기 높이는 상기 최대 거리와 상기 최소 거리 간의 차보다 더 큰 것을 특징으로 하는 기판의 패터닝 방법.
  4. 제1항에 있어서, 상기 다층 구조물을 생성하는 단계는 규소를 함유하지 않는 유기 중합성 재료로부터 상기 패터닝된 층을 형성하는 단계, 및 규소 함유 중합성 재료로부터 상기 정합층을 형성하는 단계를 더 포함하는 것을 특징으로 하는 기판의 패터닝 방법.
  5. 제1항에 있어서, 상기 다층 구조물을 생성하는 단계는 중합성 화합물 및 상기 중합성 화합물의 표면 에너지보다 표면 에너지가 작은 계면활성제를 가진 재료로부터 상기 패터닝된 층을 형성하는 단계를 더 포함하는 것을 특징으로 하는 기판의 패터닝 방법.
  6. 제1항에 있어서, 상기 다층 구조물을 생성하는 단계는 중합성 화합물 및 상기 중합성 화합물의 표면 에너지보다 표면 에너지가 작은 계면활성제를 가진 재료로부터 상기 정합층을 형성하는 단계를 더 포함하는 것을 특징으로 하는 기판의 패터닝 방법.
  7. 삭제
  8. 제1항에 있어서, 상기 다층 구조물을 생성하는 단계는 상기 기판 상에 중합성 유체 조성물을 배치하고, 상기 중합성 유체 조성물을 편평한 표면을 가진 몰드와 접촉시키고, 상기 중합성 유체 조성물이 중합되는 조건 하에 상기 중합성 유체 조성물을 둠으로써 상기 정합층을 형성하는 단계를 더 포함하는 것을 특징으로 하는 기판의 패터닝 방법.
  9. 제1항에 있어서, 상기 정합층의 형성 단계는 상기 패터닝된 층 상에서 중합성 유체를 스핀 코팅하는 단계를 더 포함하는 것을 특징으로 하는 기판의 패터닝 방법.
  10. 제1항에 있어서, 상기 패터닝된 층과 상기 기판 사이에 상도층을 부착하는 단계를 더 포함하는 것을 특징으로 하는 기판의 패터닝 방법.
  11. 제1항에 있어서, 상기 다층 구조물을 생성하는 단계는 상기 패터닝된 층에 대향 배치되고, 상기 돌출부로부터 이격된 정규 표면을 가진 구획을 가진 상기 정합층을 형성하는 단계를 더 포함하고, 상기 구획의 일부를 제거하여 상기 돌출부를 노출시키는 단계, 상기 크라운 표면을 한정하는 단계 및 상기 크라운 표면을 에칭 화학물질에 노출시키는 단계를 더 포함하는 것을 특징으로 하는 기판의 패터닝 방법.
  12. 제1항의 기판의 패터닝 방법에서 사용하기 위한 표면 상에 층을 형성하기 위한 조성물로서, 히드록실-작용성 폴리실록산, 헥사메톡시메틸멜라민, 톨루엔술폰산 및 메틸아밀케톤을 포함하는 조성물.
  13. 제12항에 있어서, 상기 히드록실-작용성 폴리실록산은 상기 조성물 중 4 중량%이고, 상기 헥사메톡시메틸멜라민은 조성물 중 0.95 중량%이며, 상기 톨루엔술폰산은 상기 조성물 중 0.05 중량%이고, 상기 메틸아밀케톤은 상기 조성물 중 95 중량%인 것을 특징으로 하는 조성물.
  14. 제12항에 있어서, 상기 히드록실-작용성 폴리실록산, 헥사메톡시메틸멜라민, 톨루엔술폰산 및 메틸아밀케톤의 상대적 비율은 상기 조성물이 액체 상태에서 고화된 상태로 전환된 후에 규소 원자의 퍼센트가 10 중량% 내지 20 중량% 범위인 상기 조성물을 제공하는 것을 특징으로 하는 조성물.
  15. 제12항에 있어서, 상기 히드록실-작용성 폴리실록산, 헥사메톡시메틸멜라민, 톨루엔술폰산 및 메틸아밀케톤의 상대적 비율은 상기 조성물이 액체 상태에서 고화된 상태로 전환된 후에 규소 원자의 퍼센트가 20 중량%를 초과하는 상기 조성물을 제공하는 것을 특징으로 하는 조성물.
  16. 제12항에 있어서, 에폭시-작용성 실란 성분을 더 포함하며, 이때 상기 히드록실-작용성 폴리실록산은 상기 조성물 중 4 중량%이고, 상기 헥사메톡시메틸멜라민은 상기 조성물 중 0.7 중량%이고, 상기 에폭시-작용성 실란 성분은 상기 조성물 중 0.25 중량%이고, 상기 톨루엔술폰산은 상기 조성물 중 0.05 중량%이고, 상기 메틸아밀케톤은 상기 조성물 중 95 중량%인 것을 특징으로 하는 조성물.
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
  21. 삭제
  22. 삭제
  23. 제16항에 있어서, 상기 에폭시-작용성 실란 성분은 글리시드옥시프로필트리히드록시실란, 3-글리시드옥시프로필디메틸히드록시실란, 3-글리시드옥시프로필트리메톡시실란, 2,3-에폭시프로필트리메톡시실란 및 감마-글리시드옥시프로필트리메톡시실란으로 구성된 군 중에서 선택되는 것을 특징으로 하는 조성물.
  24. 삭제
  25. 삭제
KR1020057017841A 2003-03-25 2004-03-24 포지티브형 이층 임프린트 리소그래피 방법 및 그것을 위한 조성물 KR101121017B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US10/396,615 2003-03-25
US10/396,615 US7179396B2 (en) 2003-03-25 2003-03-25 Positive tone bi-layer imprint lithography method
US10/789,319 US7122079B2 (en) 2004-02-27 2004-02-27 Composition for an etching mask comprising a silicon-containing material
US10/789,319 2004-02-27
PCT/US2004/008920 WO2004088414A2 (en) 2003-03-25 2004-03-24 Positive tone bi-layer imprint lithography method and compositions therefor

Publications (2)

Publication Number Publication Date
KR20050114679A KR20050114679A (ko) 2005-12-06
KR101121017B1 true KR101121017B1 (ko) 2012-04-17

Family

ID=33134785

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020057017841A KR101121017B1 (ko) 2003-03-25 2004-03-24 포지티브형 이층 임프린트 리소그래피 방법 및 그것을 위한 조성물

Country Status (6)

Country Link
EP (1) EP1614004B1 (ko)
JP (1) JP4554597B2 (ko)
KR (1) KR101121017B1 (ko)
MY (2) MY148648A (ko)
TW (1) TWI340697B (ko)
WO (1) WO2004088414A2 (ko)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100395121C (zh) * 2004-11-19 2008-06-18 鸿富锦精密工业(深圳)有限公司 热压印方法
TWI432904B (zh) * 2006-01-25 2014-04-01 Dow Corning 用於微影技術之環氧樹脂調配物
US20090212012A1 (en) 2008-02-27 2009-08-27 Molecular Imprints, Inc. Critical dimension control during template formation
US8415010B2 (en) 2008-10-20 2013-04-09 Molecular Imprints, Inc. Nano-imprint lithography stack with enhanced adhesion between silicon-containing and non-silicon containing layers
JP5932286B2 (ja) * 2011-10-14 2016-06-08 キヤノン株式会社 インプリント装置、それを用いた物品の製造方法
JP6071255B2 (ja) * 2012-06-04 2017-02-01 キヤノン株式会社 光硬化物
JP6734913B2 (ja) 2016-02-29 2020-08-05 富士フイルム株式会社 パターン積層体の製造方法、反転パターンの製造方法およびパターン積層体
JP7263036B2 (ja) * 2019-02-14 2023-04-24 キヤノン株式会社 成形装置、成形方法および、物品製造方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4517337A (en) * 1984-02-24 1985-05-14 General Electric Company Room temperature vulcanizable organopolysiloxane compositions and method for making
US20020026183A1 (en) 1998-05-05 2002-02-28 Simpson John A. Electrode having composition-matched, common-lead thermocouple wire for providing multiple temperature-sensitive junctions

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04366958A (ja) * 1991-06-14 1992-12-18 Oki Electric Ind Co Ltd 放射線感応性樹脂組成物
US5326671A (en) * 1992-12-28 1994-07-05 At&T Bell Laboratories Method of making circuit devices comprising a dielectric layer of siloxane-caprolactone
JPH10198034A (ja) * 1997-01-08 1998-07-31 Nippon Synthetic Chem Ind Co Ltd:The 感光性樹脂組成物およびその用途
JP4004014B2 (ja) * 2000-03-28 2007-11-07 株式会社東芝 レジストパターンの形成方法
JP3848070B2 (ja) * 2000-09-27 2006-11-22 株式会社東芝 パターン形成方法
JP2002184719A (ja) * 2000-12-19 2002-06-28 Matsushita Electric Ind Co Ltd パターン形成方法
JP2002251802A (ja) * 2001-02-23 2002-09-06 Sony Corp ディスク状記録媒体の製造方法及び金型装置
JP2002270541A (ja) * 2001-03-08 2002-09-20 Matsushita Electric Ind Co Ltd モールド、モールドの製造方法及びパターン形成方法
JP2003077807A (ja) * 2001-09-04 2003-03-14 Matsushita Electric Ind Co Ltd モールド、モールドの製造方法、および、パターン形成方法
US20040202872A1 (en) * 2002-07-23 2004-10-14 Pennzoil-Quaker State Company Hydrophobic surface treatment composition and method of making and using same
JP4239598B2 (ja) * 2003-01-22 2009-03-18 ヤマハ株式会社 マイクロレンズアレイの製法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4517337A (en) * 1984-02-24 1985-05-14 General Electric Company Room temperature vulcanizable organopolysiloxane compositions and method for making
US20020026183A1 (en) 1998-05-05 2002-02-28 Simpson John A. Electrode having composition-matched, common-lead thermocouple wire for providing multiple temperature-sensitive junctions

Also Published As

Publication number Publication date
JP2006521702A (ja) 2006-09-21
JP4554597B2 (ja) 2010-09-29
EP1614004B1 (en) 2012-10-31
WO2004088414A3 (en) 2006-02-16
EP1614004A4 (en) 2009-03-25
KR20050114679A (ko) 2005-12-06
TW200502106A (en) 2005-01-16
WO2004088414A2 (en) 2004-10-14
MY148648A (en) 2013-05-15
TWI340697B (en) 2011-04-21
EP1614004A2 (en) 2006-01-11
MY151241A (en) 2014-04-30

Similar Documents

Publication Publication Date Title
CN1802265B (zh) 正性双层压印光刻法及其所用组合物
US7122079B2 (en) Composition for an etching mask comprising a silicon-containing material
US20050276919A1 (en) Method for dispensing a fluid on a substrate
JP4791357B2 (ja) 成形される領域と成形型のパターンとの間の接着を低減させる方法
TWI296127B (en) Method of patterning a conductive layer on a substrate
JP5059608B2 (ja) リバーストーン処理を利用したリセス構造の形成方法
US8066930B2 (en) Forming a layer on a substrate
US7858528B2 (en) Positive tone bi-layer method
US20110140306A1 (en) Composition for an Etching Mask Comprising a Silicon-Containing Material
US7256131B2 (en) Method of controlling the critical dimension of structures formed on a substrate
US20040038552A1 (en) Method for fabricating bulbous-shaped vias
US7041604B2 (en) Method of patterning surfaces while providing greater control of recess anisotropy
JP2007506281A (ja) 位置合わせマークを有するインプリント・リソグラフィ・テンプレート
WO2004034424A2 (en) A method and a mold to arrange features on a substrate to replicate features having minimal dimensional variability
JP5848386B2 (ja) インサイチュ嵌込み構造物形成方法
KR101121017B1 (ko) 포지티브형 이층 임프린트 리소그래피 방법 및 그것을 위한 조성물
US20060063359A1 (en) Patterning substrates employing multi-film layers defining etch differential interfaces
Watts et al. Methods for fabricating patterned features utilizing imprint lithography

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
J201 Request for trial against refusal decision
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20150206

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20160205

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20170221

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20180207

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20200213

Year of fee payment: 9