KR101092122B1 - 에칭 프로파일 제어를 위한 가스 인젝션 시스템 - Google Patents

에칭 프로파일 제어를 위한 가스 인젝션 시스템 Download PDF

Info

Publication number
KR101092122B1
KR101092122B1 KR1020100015999A KR20100015999A KR101092122B1 KR 101092122 B1 KR101092122 B1 KR 101092122B1 KR 1020100015999 A KR1020100015999 A KR 1020100015999A KR 20100015999 A KR20100015999 A KR 20100015999A KR 101092122 B1 KR101092122 B1 KR 101092122B1
Authority
KR
South Korea
Prior art keywords
gas
wafer
gas injector
edge
injector
Prior art date
Application number
KR1020100015999A
Other languages
English (en)
Other versions
KR20110096649A (ko
Inventor
서성술
고성용
채윤숙
채환국
김기현
이원묵
Original Assignee
주식회사 디엠에스
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 디엠에스 filed Critical 주식회사 디엠에스
Priority to KR1020100015999A priority Critical patent/KR101092122B1/ko
Priority to TW100105824A priority patent/TWI446441B/zh
Priority to CN2011100423680A priority patent/CN102162099B/zh
Priority to US13/032,861 priority patent/US20110203735A1/en
Publication of KR20110096649A publication Critical patent/KR20110096649A/ko
Application granted granted Critical
Publication of KR101092122B1 publication Critical patent/KR101092122B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 발명은 플라즈마 식각장비에 구비되는 가스 인젝션 시스템에 관한 것으로서, 챔버 상부에서 반응가스를 공급하는 상부 가스인젝터와 챔버 측면에서 튜닝가스를 공급하는 사이드 가스인젝터 또는 웨이퍼 하측에서 튜닝가스를 상향 분사하는 백사이드 가스인젝터로 구성하되, 사이드 가스인젝터 또는 백사이드 가스인젝터는 다수개의 분사구를 방사상으로 형성함과 동시에 튜닝가스가 웨이퍼(wafer)의 에지(edge)부에 근접 분사되도록 분사구를 에지부에 인접되게 설치하여 에지부의 식각률(etch rate)이나 CD(Critical Dimension) 균일도 또는 profile을 용이하게 제어할 수 있게 함으로써, 웨이퍼 전체의 에칭 균일도(Etching Uniformity)를 향상시켜 공정 불량을 최소화시킬 뿐 아니라, 에지부의 칩수율을 현저히 증대시킬 수 있는 에칭 프로파일 제어를 위한 가스 인젝션 시스템에 관한 것이다.

Description

에칭 프로파일 제어를 위한 가스 인젝션 시스템{Gas injection system for etching profile control}
본 발명은 플라즈마 식각장비에 구비되는 가스 인젝션 시스템에 관한 것으로서, 튜닝가스가 웨이퍼(wafer)의 에지(edge)부에 근접 분사되도록 하여 사용자가 에지부에서의 에칭 식각률(etch rate)이나 CD(Critical Dimension) 균일도 또는 profile을 정밀하게 제어할 수 있게 함으로써, 웨이퍼 전체의 CD(Critical Dimension)와 profile의 균일한 형성을 통해 에칭 균일도(Etching Uniformity)를 향상시켜 공정 불량을 최소화할 수 있는 에칭 프로파일 제어를 위한 가스 인젝션 시스템에 관한 것이다.
일반적으로 반도체 집적회로 소자에 사용되는 대구경 웨이퍼(wafer)나 액정표시장치(LCD:liquid crystal display)에 사용되는 주요 부품인 유리 기판 등은 표면에 여러 박막층이 형성되고, 또한 박막의 일부분만이 선택적으로 제거됨으로써, 표면에 원하는 형태의 초미세 구조의 회로나 패턴이 형성된다.
이러한 미세회로 또는 패턴의 제조는 일반적으로 세척공정, 증착공정, 포토리소그래피(photolithography)공정, 도금공정, 식각(etching)공정 등 많은 제조공정을 통해 이루어지게 된다.
상기와 같은 다양한 처리 공정들은 웨이퍼나 기판을 외부와 격리시킬 수 있는 챔버(chamber) 또는 반응로 내에 투입하여 처리하게 된다.
상기와 같은 공정들 중 특히 식각(etching)공정은 일반적으로 챔버나 반응로 내에 적절한 반응가스(CxFx 계열, SxFx 계열, HBr, O₂, Ar 등)를 분사시킴으로써 플라즈마 상태에서의 물리적 또는 화학적 반응을 통해 웨이퍼 표면에서 원하는 물질을 선택적으로 제거하여 기판 표면에 특정 미세회로를 형성하는 공정이다.
이러한 식각공정에서는 웨이퍼 전체 표면에서 CD(Critical Dimension)나 profile을 균일하게 하여 에칭 균일도(Etching Uniformity)를 유지하는 것이 무엇보다도 중요하기 때문에, 반응가스가 챔버 내에 균일하게 확산되어 플라즈마가 챔버 내에 균일하게 분포되도록 하는 것이 중요하다.
그러나 일반적으로 웨이퍼의 중심부(center)와 외곽(에지,edge)부의 에칭률(etch rate)이 상이할 뿐 아니라, CD(Critical Dimension) 균일도 또는 profile이 상이하게 형성됨으로써, 에지부의 칩수율이 현저히 저하되는 문제점이 있었고, 특히 웨이퍼의 대구경화 추세와 반도체 Device의 고집적화가 진행되면서 에지부에서의 CD 균일도 또는 profile 제어가 더욱 중요한 문제로 대두되고 있다.
따라서 종래에는 상기와 같은 문제점을 해결하기 위하여 coolant chiller를 inner와 outer로 나누어 웨이퍼 상에서의 온도 차이를 이용하여 CD를 제어하거나, 또는 반응가스를 공급하는 샤워헤드를 inner와 outer로 분리 형성하여 반응가스 공급지역이 구분되도록 함으로써 웨이퍼의 중심부와 에지부에서의 플라즈마의 분포를 조절하여 CD를 제어하였다.
이외에도, 웨이퍼에 O₂가스를 추가 공급하여 CD를 제어하는 방법도 사용되었다.
그러나 상기와 같은 종래의 제어방법은 다음과 같은 문제점이 있었다.
첫째, 웨이퍼의 온도를 제어하는 경우에는 산화막 식각공정(oxide 공정)과 같이 high power가 사용되는 경우 온도 제어로 인한 CD 제어 효과가 미흡하였고, 둘째, 샤워헤드를 inner와 outer로 분리 형성하거나 웨이퍼에 O₂가스를 추가 공급하는 경우에는 고밀도 ICP(Inductively Coupled Plasma) source를 사용하는 식각장치와 같이 chamber voiume이 큰 경우 가스공급부와 웨이퍼 에지부와의 이격 거리가 커지게 됨으로써, 반응가스 또는 O₂가스가 웨이퍼의 에지부까지 도달하는 과정에서 발생되는 가스의 확산(diffusion) 차이에 의해 플라즈마의 분포를 정밀하게 제어하는 것이 곤란하게 되고, 또한 CD 제어효과가 현저히 저하되어 에지부의 에칭 균일도를 확보할 수 없는 문제점이 있었다.
본 발명은 상기와 같은 문제점을 해결하기 위해 안출한 것으로서, 본 발명의 목적은 플라즈마 제어를 위한 튜닝가스가 웨이퍼의 에지부에 근접 분사되도록 함으로써, 튜닝가스 확산(diffusion) 현상의 최소화를 통해 분사효과를 최적화하여 웨이퍼 에지부의 CD 균일도 또는 profile을 효과적으로 제어하고자 하는 것이다.
본 발명의 다른 목적은 튜닝가스 분사구가 웨이퍼의 에지부를 따라 다수개가 방사상으로 설치되도록 하여 튜닝가스가 웨이퍼의 에지부 전체에 균일하게 분사되게 함으로써, 웨이퍼의 중심부와 에지부에서의 식각률(etch rate) 및 CD 차이를 효과적으로 보상하고자 하는 것이다.
본 발명의 또 다른 목적은 웨이퍼의 에지부에 발생되는 폴리머와 같은 반응 부산물을 효과적으로 제거하고, 또한 에지부의 외측면 또는 하측부 등에 부착된 유기물이나 이물질 등을 제거하여 공정 불량을 최소화하고자 하는 것이다.
본 발명의 또 다른 목적은 플라즈마의 신속하고 균일한 확산 및 제어를 통해 공정시간 단축은 물론 웨이퍼 전체 표면에서의 에칭 균일도(Etching Uniformity)를 확보함으로써, 에지부의 칩수율을 현저히 향상시키고자 하는 것이다.
상기 목적을 달성하기 위하여 본 발명은 챔버 상부에서 반응가스를 공급하는 상부 가스인젝터, 및 상기 챔버의 내주면을 따라 다수의 위치에서 튜닝가스가 동시에 분사되도록 다수개의 분사구를 방사상으로 형성하되, 상기 분사구의 단부에는 상기 튜닝가스가 상기 챔버 내측에 로딩된 웨이퍼의 에지부에 근접 분사되도록 유도관이 각각 연결 설치되는 사이드 가스인젝터를 포함하여 구성된다.
여기서 상기 유도관은 선단부가 상기 웨이퍼의 에지부의 상측부에 인접되어 위치하도록 중앙부가 하향 절곡 형성될 수 있다.
또한 상기 유도관은 선단부가 상기 웨이퍼의 에지부 상측부에 인접되도록 설치하되, 상기 튜닝가스가 상기 웨이퍼의 외측 방향에서 에지부에 일정 각도로 분사되도록 하향 경사지게 설치될 수도 있다.
한편 상기 사이드 가스인젝터는 외측부에 가스유입구를 형성하고, 상기 가스유입구가 상기 다수개의 분사구와 연통되도록 분배유로가 내부에 형성된다.
상기 분배유로는 상기 사이드 가스인젝터와 동심원을 형성하도록 내부에 관통 형성되는 것이 바람직하다.
한편 본 발명은 챔버 상부에서 반응가스를 공급하는 상부 가스인젝터, 및
웨이퍼가 로딩되는 정전척의 상측부 외주에 외삽하여 설치하되, 튜닝가스가 상기 웨이퍼의 에지부에 근접되어 상향 분사되도록 상측면에 다수개의 분사구가 이격되어 형성되는 백사이드 가스인젝터를 포함하여 구성될 있다.
이때 상기 백사이드 가스인젝터는 외측부에 가스유입구를 형성하고, 상기 가스유입구가 상기 다수개의 분사구와 연통되도록 분배유로가 내부에 형성될 수 있다.
또한 상기 백사이드 가스인젝터는 하측면에 가스유입구를 형성하고, 상기 가스유입구가 상기 다수개의 분사구와 연통되도록 분배유로를 내부에 형성하되, 상기 웨이퍼와 백사이드 가스인젝터를 지지하는 정전척에는 상기 가스유입구와 연통되도록 관통로가 형성될 수 있다.
상기 분배유로는 상기 백사이드 가스인젝터와 동심원을 형성하도록 내부에 관통 형성되는 것이 바람직하다.
이상에서 설명한 바와 같이, 본 발명은 첫째, 튜닝가스가 웨이퍼의 에지부에 근접 분사되어 가스의 확산(diffusion) 현상이 최소화됨으로써, 웨이퍼 에지부의 CD 또는 profile을 정밀하게 제어할 수 있는 효과가 있고, 둘째, 웨이퍼의 에지부에서 폴리머나 유기물 또는 이물질 등을 신속하게 제거하여 cleaning 효과를 얻음으로써 공정 불량을 최소화할 수 있으며, 셋째, 튜닝가스의 신속하고 균일한 확산을 통해 에지부의 식각률이나 CD의 효과적인 제어가 가능하여 웨이퍼 전체 표면에서의 에칭 균일도(Etching Uniformity)를 확보함으로써, 공정 효율 향상은 물론 에지부의 칩수율 증대에 따른 생산성 향상의 효과가 있다.
도 1은 본 발명의 일 실시예의 개략적인 구성도,
도 2는 본 발명의 사이드 가스인젝터의 일 실시예의 사시도,
도 3은 도 2의 A-A 단면도,
도 4는 본 발명의 다른 실시예의 개략적인 구성도,
도 5는 도 4의 실시예의 사이드 가스인젝터의 부분 단면도,
도 6은 본 발명의 또 다른 실시예의 부분 측면도,
도 7은 도 6의 백사이드 가스 인젝터의 사시도,
도 8은 본 발명의 또 다른 실시예의 부분 측면도이다.
이하 본 발명을 도면을 참조하여 상세히 설명한다.
도 1은 본 발명의 일 실시예의 개략적인 구성도를 나타낸 것이고, 도 2는 본 발명의 사이드 가스인젝터의 일 실시예의 사시도를 나타낸 것이며, 도 3은 도 2의 A-A 단면도를 나타낸 것이다.
도 1에 도시된 바와 같이, 본 발명의 가스 인젝션 시스템은 상부 가스인젝터(10)와 사이드 가스인젝터(30)로 구성된다.
상부 가스인젝터(10)는 챔버(1)의 내측 상부면에 설치되고, 사이드 가스인젝터(30)는 챔버(1)의 측면을 따라 설치된다.
챔버(1)는 식각 공정에서 외부와 격리되는 플라즈마 반응 공간을 제공하는 것으로서, 내부에 일정 크기의 밀폐 공간을 형성하게 되며, 웨이퍼(W)의 크기나 공정 특성에 따라 다양한 형태로 형성될 수 있다.
한편 챔버(1) 하측부에는 공정 수행을 위해 웨이퍼(W)가 로딩되는 정전척(ESC:Electro Static Chuck)(20)이 구비되며, 또한 반응가스나 폴리머(polymer) 또는 미립자(particle) 등과 같은 반응 부산물을 외부로 배출하는 배기구(도시하지 않음)가 설치된다.
또한 챔버(1)에는 반응가스를 방전시켜 플라즈마 상태로 변환시킴으로써, 웨이퍼(W) 표면이 플라즈마에 의해 식각 처리되도록 하는 고주파전원(RF)이 설치된다.
통상적으로 정전척(20)에는 웨이퍼(W)의 온도 조절이 가능하도록 헬륨(He) 가스 등을 순환시키는 가스배관(도시하지 않음)이나 냉매를 순환시키는 냉각수배관(도시하지 않음) 등이 설치될 수 있다.
한편 웨이퍼(W)는 정전척(20) 상부에 수평 상태로 안착되어 고정된다.
상부 가스인젝터(10)는 반응가스를 챔버(1) 내부 공간에 분사하는 것으로서, 분사된 반응가스가 챔버(1) 내부에 신속하게 확산되어 균일한 플라즈마가 형성될 수 있도록 화살표(110)와 같이 하측 방향과 측면 방향으로 반응가스를 분사시키는 다수의 분사구(15)가 형성되는 것이 바람직하다.
상부 가스인젝터(10)는 여러 방향으로 다수의 분사구(15)가 형성된 통상적인 구조의 샤워헤드가 사용될 수 있을 것이다.
따라서 상부 가스인젝터(10)는 별도로 구비되는 외부의 가스공급부(도시하지 않음)와 연결되어 적정 유량의 반응가스를 챔버(1) 내로 분사시키게 되는 것이다.
상부 가스인젝터(10)로부터 분사된 반응가스는 챔버(1) 내에 확산됨과 동시에 고전압에 의해 플라즈마 상태로 변환되고, 이 플라즈마가 웨이퍼(W) 표면과 접촉되어 반응하게 됨으로써, 웨이퍼(W)의 표면을 일정 패턴으로 식각 처리하게 되는 것이다.
이때 반응가스는 각각의 식각 공정 특성에 맞게 다양한 종류의 가스가 사용될 수 있으나, 통상적으로 CxFx 나 SxFx 계열 또는 HBr, Ar, O₂등의 가스가 사용되며, 반응이 완료된 후 반응가스와 반응 부산물들은 배기구를 통해 외부로 강제 배출된다.
한편 사이드 가스인젝터(30)는 도 1에 도시된 바와 같이, 챔버(1)의 측벽을 따라 설치되어 튜닝가스를 웨이퍼(W)의 측면 방향에서 분사시키게 된다.
통상적으로 챔버(1) 상부에 설치되는 샤워헤드 형태의 상부 가스인젝터(10)는 다수의 방향으로 반응가스를 분사하게 되나, 이때 반응가스는 웨이퍼(W)의 중심부와 에지부(edge,외주)에 도달하는 과정에서 확산(diffusion) 정도의 차이에 의해 플라즈마를 균일하게 형성하지 못하게 됨으로써, 웨이퍼(W)는 중심부와 에지부의 식각률(etch rate) 및 CD(Critical Dimension) 차이가 발생하게 되어 공정불량은 물론 에지부의 칩수율이 현저히 저하되는 것이다.
특히 웨이퍼(W)의 대구경화 추세와 반도체 디바이스(device)의 고집적화로 인해 공정 마진이 축소되고, 또한 CD가 30nm 이하로 작아짐에 따라 에지부에서의 플라즈마 분균일로 인한 불량 발생이 현저히 증가되고 있는 것이다.
따라서 사이드 가스인젝터(30)는 웨이퍼(W)의 에지부에 분포되는 플라즈마의 균일도를 정밀하게 제어하여 웨이퍼(W)의 중심부와 에지부의 식각률 차이 및 CD 차이를 보상하고자 하는 것이다.
즉 본 발명은 튜닝가스가 웨이퍼(W)의 에지부에 근접 분사되도록 하여 이동 과정에서의 확산이 최소화되게 함으로써, 튜닝가스의 독립적인 제어가 용이하게 되고, 또한 이를 통해 에지부에 도달하는 반응가스의 양과 플라즈마 분포를 효과적으로 제어할 수 있게 되어 웨이퍼(W)의 중심부와 에지부의 에칭 불균일이나 CD 편차 등을 개선할 수 있게 되는 것이다.
이하 사이드 가스인젝터(30)를 도 2와 도 3을 참조하여 상세히 설명한다.
도 2에 도시된 바와 같이, 사이드 가스인젝터(30)는 몸체부(31)와 몸체부(31)에 설치되는 유도관(36)으로 구성된다.
몸체부(31)는 튜닝가스를 챔버(1)의 측면 방향에서 분사시킬 수 있도록 챔버(1)의 외주에 설치되는 것으로서, 일정 두께의 패널 형태로 형성하되, 중앙부에는 중공부(39)가 형성된다.
몸체부(31)는 동일 크기의 상판과 하판을 서로 결합시켜 형성할 수 있으며, 챔버(1)의 크기 및 형태에 대응되도록 다양하게 형성하되, 중공부(39)는 챔버(1)의 내주면에 대응되게 형성된다.
한편 몸체부(31)의 외측 내부에는 하나 또는 둘 이상의 가스유입구(32)가 형성되며, 중공부(39)의 둘레에는 등간격으로 다수개의 분사구(35)가 배열 형성된다.
이때 몸체부(1)의 내부에는 일정 직경을 가지는 분배유로(33)가 형성된다.
분배유로(33)는 중공부(39)와 동심원을 형성하도록 구비되며, 가스유입구(32) 및 분사구(35)와 연통되도록 설치된다.
한편 분사구(35)에는 유도관(36)이 연결 설치된다.
유도관(36)은 도 1에 도시된 바와 같이, 튜닝가스가 웨이퍼(W)의 에지부에 근접 분사되도록 등간격으로 설치되는 것으로서, 후단부는 분사구(35)에 연결되고 선단부는 웨이퍼(W)의 에지부 상부에 인접되도록 설치된다.
따라서 유도관(36)은 중앙부가 일단으로 하향 절곡 형성되나 이에 한정되는 아니며, 선단부가 웨이퍼(W)의 에지부에 인접될 수 있는 굴곡 형상 등 다양한 형태로 형성될 수 있으며, 후단부는 분사구(15)에 실링부재를 게재하여 나사 결합 등으로 연결시킬 수 있을 것이다.
따라서 튜닝가스는 가스유입구(32)로 유입된 후 분배유로(33)를 경유하여 분사구(35)로 분배되어 유도관(36)을 통해 웨이퍼(W)의 에지부에 분사되는 것이다.
한편 튜닝가스는 CxFx 계열이나 O₂가스 등이 사용될 수 있다.
튜닝가스는 웨이퍼(W)의 에지부 상방에서 근접 분사되어 반응가스에 의해 에지부에 형성되는 플라즈마의 밀도 또는 분포도를 변화시키게 되는 것이다.
따라서 사이드 가스인젝터(30)는 튜닝가스가 유도관(36)을 통해 웨이퍼(W)의 에지부에 근접 분사되게 함으로써, 튜닝가스가 에지부에 도달되는 과정에서 발생되는 가스 확산(diffusion)이 최소화될 수 있게 하고, 또한 에지부에 도달되는 튜닝가스의 유량에 대한 정밀 제어가 가능하여 웨이퍼(W)의 중심부와 에지부에서의 플라즈마 분포 차이를 보상하여 중심부와 에지부에서의 식각률이나 CD 균일도 또는 profile 차이를 제거할 수 있게 되는 것이다.
한편 도 4와 도 5는 본 발명의 다른 실시예의 구성도와 사이드 가스인젝터(40)의 단면도를 각각 나타낸 것으로서, 분사구(45)와 유도관(46) 외에는 도 1,2의 실시예와 동일하므로 변경된 구성에 대해서만 설명한다.
도 5에 도시된 바와 같이, 분사구(45)는 일정 각도로 하향 경사지도록 사이드 가스인젝터(40)의 몸체부(41)의 하측부에 관통 형성되며, 일단부는 분배유로(43)와 연통되고 타단부는 유도관(46)과 연통된다.
유도관(46)은 분사구(45)와 동일한 각도를 유지한 상태에서 몸체부(41)를 지지하는 챔버(1)의 측벽을 관통하여 분사구(45)와 연통되도록 설치되는 것이다.
분사구(45)와 유도관(46)은 도 2의 실시예의 분사구(35)와 유도관(36)과 마찬가지로 다수개가 방사상으로 설치된다.
한편 유도관(46)의 선단부는 튜닝가스가 웨이퍼(W)의 외측 방향에서 일정 각도로 경사진 상태에서 에지부에 분사되도록 에지부에 인접되게 설치된다.
따라서 튜닝가스는 가스유입구(42)을 통해 유입되어 분배유로(43)를 경유한 후, 다수개의 분사구(45)로 분배되어 유도관(46)을 통해 경사지게 분사됨으로써, 에지부에서의 에칭 균일도를 제어할 수 있게 되는 것이다.
한편 도 6 내지 도 8을 참조하여 본 발명의 또 다른 실시예를 상세히 설명한다.
도 6은 본 발명의 또 다른 실시예의 부분 측면도를, 도 7은 백사이드(backside) 가스인젝터(50)의 사시도를 나타낸 것이고, 도 8은 본 발명의 또 다른 실시예의 부분 측면도를 나타낸 것으로서, 도 1의 실시예의 사이드 가스인젝터(30) 외에는 도 1의 실시예와 동일하므로 변경된 구성에 대해서만 설명한다.
백사이드 가스인젝터(50)는 도 6에 도시된 바와 같이, 웨이퍼(W)의 측면 후측 방향에서 튜닝가스를 화살표(135)와 같이 상향 분사시키는 것으로서, 정전척(20)의 상측부에 외삽되어 고정 설치된다.
또한 백사이드 가스인젝터(50)는 튜닝가스를 분사함과 동시에 정전척(20) 상부에 로딩된 웨이퍼(W) 상부로 플라즈마가 집중될 수 있게 할 뿐 아니라, 플라즈마가 정전척(20)에 접촉되어 정전척(20)이 손상되는 것을 방지하는 역할을 하게 되는 포커스 링 역할도 하게 된다.
따라서 백사이드 가스인젝터(50)는 실리콘이나 수정(quartz) 등의 소재로 구성된 링 형태로 형성되는 것이 바람직하며, 또한 다수의 링이 적층되어 고정되는 포커스 링 어셈블리로 구성될 수도 있을 것이다.
이때 백사이드 가스인젝터(50)의 상부에는 튜닝가스가 상향 분사될 수 있도록 다수개의 분사구(55)가 형성되고 외주면에는 가스유입구(52)가 형성된다.
여기서 분사구(55)는 튜닝가스가 웨이퍼(W)의 에지부에 근접 분사되도록 에지부에 인접되게 설치되고, 분사구(55)와 가스유입구(52)는 분배유로(53)에 의해 서로 연통되도록 관통 설치된다.
분배유로(53)는 도 7에 도시된 중공부(59)와 동일 중심을 형성하도록 내부에 관통 설치되며, 이때 분사구(53)는 하단부가 분배유로(53)에 연결 설치되는 것이다.
분배유로(53)는 도 2,3에 도시된 분배유로(33)와 마찬가지로 백사이드 가스인젝터(50)를 상부와 하부로 분리 형성하여 제작할 수도 있을 것이다.
따라서 튜닝가스는 화살표(130)와 같이, 가스유입구(52)로 유입된 후, 분배유로(53)를 경유하여 다수개의 분사구(55)로 각각 분배되며, 이 후 화살표(135)와 같이 상향 분사되어 웨이퍼(W)의 에지부에 형성되는 플라즈마의 분포에 영향을 주게 됨으로써, 사용자는 튜닝가스의 유량을 조절하여 웨이퍼(W) 에지부의 식각률이나 CD 균일도 또는 profile을 보다 정밀하게 제어할 수 있게 되는 것이다.
한편 가스유입구(32,52)는 필요에 따라 하나 또는 둘 이상이 형성될 수 있으며, 외부에 별도로 설치되는 가스공급부(도시하지 않음)와 연결된다.
도 8에 도시된 백사이드 가스인젝터(60)는 가스유입구(62)가 하향 형성된 것으로서, 도 7에 도시된 백사이드 가스인젝터(50)와는 가스유입구(62)의 위치만 상이하며 그 외 구성은 동일하다.
가스유입구(62)는 하나 또는 둘 이상이 하측 방향으로 형성되고, 백사이드 가스인젝터(60)를 지지하는 정전척(20)에는 가스유입구(62)와 연통되도록 가스유입구(62)의 개수에 대응되게 관통로(25)가 형성된다.
이때 정전척(20)의 관통로(25)는 외부에 설치되는 가스공급부와 연결되는 것이다.
따라서 튜닝가스는 화살표(140)와 같이, 정전척(20)의 관통로(25)를 통과하게 되고, 백사이드 가스인젝터(60)의 가스유입구(62)를 경유하여 분배유로(63)로 이동한 후 다수개의 분사구(65)를 통해 화살표(145)와 같이 상향 분사됨으로써, 웨이퍼(W)의 에지부 주변의 플라즈마 분포를 변화시키게 되는 것이다.
따라서 본 발명은 튜닝가스가 사이드 가스인젝터(30,40) 또는 백사이드 가스인젝터(50,60)에 구비되는 분사구(35,45,55,65)를 통해 웨이퍼(W)의 에지부에 근접 분사되도록 함으로써, 튜닝가스의 확산(diffusion) 현상을 최소화하여 에지부의 플라즈마 분포를 효과적으로 제어할 수 있게 되고, 웨이퍼(W)의 에지부에 발생되는 폴리머와 같은 반응 부산물의 제거는 물론 에지부의 외측면 또는 하측부의 에칭 효과를 통해 유기물이나 이물질 등을 제거할 수 있게 되며, 또한 분사구(35,45,55,65)가 웨이퍼(W)의 에지부를 따라 다수개가 방사상으로 설치됨으로써, 튜닝가스가 에지부 전체에 균일하게 분사되게 하여 웨이퍼(W)의 중심부와 에지부에서의 식각률(etch rate) 및 CD 차이를 효과적으로 보상하여 공정시간 단축은 물론 웨이퍼 전체 표면에서의 에칭 균일도(Etching Uniformity)를 확보할 수 있게 되는 것이다.
이상, 상기의 실시 예는 단지 설명의 편의를 위해 예시로서 설명한 것에 불과하므로 특허청구범위를 한정하는 것은 아니며, 스퍼터(Sputter) 장비나 화학기상증착장비(CVD)와 같은 여타 플라즈마 진공 처리장비에도 모두 적용 가능하다.
* 도면의 주요 부분에 대한 부호의 설명 *
1 : 챔버 10 : 상부 가스인젝터
20 : 정전척 25 : 관통로
30,40 : 사이드 가스인젝터
31,41 : 몸체부 32,42,52,62 : 가스유입구
33,43,53,63 : 분배유로 15,35,45,55,65 : 분사구
36,46 : 유도관 39,59 : 중공부
50,60 : 백사이드 가스인젝터
110,130,135,140,145 : 화살표
W : 웨이퍼

Claims (9)

  1. 챔버 상부에서 반응가스를 공급하는 상부 가스인젝터; 및
    상기 챔버의 내주면을 따라 다수의 위치에서 튜닝가스가 동시에 분사되도록 다수개의 분사구를 방사상으로 형성하고, 상기 분사구의 단부에는 상기 튜닝가스가 상기 챔버 내측에 로딩된 웨이퍼의 에지부에 근접 분사되도록 유도관이 각각 연결 설치되는 사이드 가스인젝터; 를 포함하여 구성하되,
    상기 사이드 가스인젝터는 외측부에 가스유입구를 형성하고, 상기 가스유입구가 상기 다수개의 분사구와 연통되도록 분배유로가 내부에 형성되며,
    상기 분배유로는 상기 사이드 가스인젝터와 동심원을 형성하도록 내부에 관통 형성되며,
    상기 사이드 가스인젝터는 상판과 하판으로 분리 구성되어 결합되도록 한 것을 특징으로 하는 에칭 프로파일 제어를 위한 가스 인젝션 시스템.
  2. 제 1항에 있어서,
    상기 유도관은 선단부가 상기 웨이퍼의 에지부의 상측부에 인접되어 위치하도록 중앙부가 하향 절곡 형성되는 것을 특징으로 하는 에칭 프로파일 제어를 위한 가스 인젝션 시스템.
  3. 제1항에 있어서,
    상기 유도관은 선단부가 상기 웨이퍼의 에지부 상측부에 인접되도록 설치하되, 상기 튜닝가스가 상기 웨이퍼의 외측 방향에서 에지부에 일정 각도로 분사되도록 하향 경사지게 설치되는 것을 특징으로 하는 에칭 프로파일 제어를 위한 가스 인젝션 시스템.
  4. 삭제
  5. 삭제
  6. 챔버 상부에서 반응가스를 공급하는 상부 가스인젝터; 및
    웨이퍼가 로딩되는 정전척의 상측부 외주에 외삽하여 설치되고, 튜닝가스가 상기 웨이퍼의 에지부에 근접되어 상향 분사되도록 상측면에 다수개의 분사구가 이격되어 형성되는 백사이드 가스인젝터; 를 포함하여 구성하되,
    상기 백사이드 가스인젝터는 외측부에 가스유입구를 형성하고, 상기 가스유입구가 상기 다수개의 분사구와 연통되도록 분배유로가 내부에 형성되며,
    상기 분배유로는 상기 백사이드 가스인젝터와 동심원을 형성하도록 내부에 관통 형성되며,
    상기 백사이드 가스인젝터는 상판과 하판으로 분리 구성되어 결합되도록 한 것을 특징으로 하는 에칭 프로파일 제어를 위한 가스 인젝션 시스템.
  7. 삭제
  8. 삭제
  9. 삭제
KR1020100015999A 2010-02-23 2010-02-23 에칭 프로파일 제어를 위한 가스 인젝션 시스템 KR101092122B1 (ko)

Priority Applications (4)

Application Number Priority Date Filing Date Title
KR1020100015999A KR101092122B1 (ko) 2010-02-23 2010-02-23 에칭 프로파일 제어를 위한 가스 인젝션 시스템
TW100105824A TWI446441B (zh) 2010-02-23 2011-02-22 用於蝕刻輪廓控制的氣體注入系統
CN2011100423680A CN102162099B (zh) 2010-02-23 2011-02-22 用于蚀刻轮廓控制的气体注入***
US13/032,861 US20110203735A1 (en) 2010-02-23 2011-02-23 Gas injection system for etching profile control

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020100015999A KR101092122B1 (ko) 2010-02-23 2010-02-23 에칭 프로파일 제어를 위한 가스 인젝션 시스템

Publications (2)

Publication Number Publication Date
KR20110096649A KR20110096649A (ko) 2011-08-31
KR101092122B1 true KR101092122B1 (ko) 2011-12-12

Family

ID=44463544

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020100015999A KR101092122B1 (ko) 2010-02-23 2010-02-23 에칭 프로파일 제어를 위한 가스 인젝션 시스템

Country Status (4)

Country Link
US (1) US20110203735A1 (ko)
KR (1) KR101092122B1 (ko)
CN (1) CN102162099B (ko)
TW (1) TWI446441B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9362137B2 (en) 2014-08-18 2016-06-07 Samsung Electronics Co., Ltd. Plasma treating apparatus, substrate treating method, and method of manufacturing a semiconductor device

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5902896B2 (ja) * 2011-07-08 2016-04-13 東京エレクトロン株式会社 基板処理装置
US9941100B2 (en) * 2011-12-16 2018-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Adjustable nozzle for plasma deposition and a method of controlling the adjustable nozzle
US9961323B2 (en) 2012-01-30 2018-05-01 Samsung Electronics Co., Ltd. Method and apparatus for multiview video encoding based on prediction structures for viewpoint switching, and method and apparatus for multiview video decoding based on prediction structures for viewpoint switching
CN105164788B (zh) * 2013-04-30 2020-02-14 应用材料公司 具有空间分布的气体通道的气流控制衬垫
CN105529283B (zh) * 2014-09-29 2020-06-30 盛美半导体设备(上海)股份有限公司 晶圆的双面气相刻蚀装置
CN105702600A (zh) * 2014-11-28 2016-06-22 中国科学院微电子研究所 一种半导体设备进气装置
JP6404111B2 (ja) * 2014-12-18 2018-10-10 東京エレクトロン株式会社 プラズマ処理装置
US9966270B2 (en) * 2015-03-31 2018-05-08 Lam Research Corporation Gas reaction trajectory control through tunable plasma dissociation for wafer by-product distribution and etch feature profile uniformity
JP6820736B2 (ja) * 2016-12-27 2021-01-27 東京エレクトロン株式会社 基板処理方法および基板処理装置
US20200258718A1 (en) * 2019-02-07 2020-08-13 Mattson Technology, Inc. Gas Supply With Angled Injectors In Plasma Processing Apparatus
CN112466809B (zh) * 2021-02-02 2021-06-08 北京中硅泰克精密技术有限公司 半导体工艺设备及承载装置

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2653633B1 (fr) * 1989-10-19 1991-12-20 Commissariat Energie Atomique Dispositif de traitement chimique assiste par un plasma de diffusion.
JP2763222B2 (ja) * 1991-12-13 1998-06-11 三菱電機株式会社 化学気相成長方法ならびにそのための化学気相成長処理システムおよび化学気相成長装置
US5744049A (en) * 1994-07-18 1998-04-28 Applied Materials, Inc. Plasma reactor with enhanced plasma uniformity by gas addition, and method of using same
TW283250B (en) * 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
TW356554B (en) * 1995-10-23 1999-04-21 Watkins Johnson Co Gas injection system for semiconductor processing
US6070551A (en) * 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
AU3145197A (en) * 1996-06-28 1998-01-21 Lam Research Corporation Apparatus and method for high density plasma chemical vapor deposition
CN1186873A (zh) * 1996-11-26 1998-07-08 西门子公司 带多个气体入口和独立质流控制回路的反应室的分布板
US6042687A (en) * 1997-06-30 2000-03-28 Lam Research Corporation Method and apparatus for improving etch and deposition uniformity in plasma semiconductor processing
US6486081B1 (en) * 1998-11-13 2002-11-26 Applied Materials, Inc. Gas distribution system for a CVD processing chamber
US6263829B1 (en) * 1999-01-22 2001-07-24 Applied Materials, Inc. Process chamber having improved gas distributor and method of manufacture
US6206976B1 (en) * 1999-08-27 2001-03-27 Lucent Technologies Inc. Deposition apparatus and related method with controllable edge exclusion
KR100500246B1 (ko) * 2003-04-09 2005-07-11 삼성전자주식회사 가스공급장치
TW200508413A (en) * 2003-08-06 2005-03-01 Ulvac Inc Device and method for manufacturing thin films
US20050092245A1 (en) * 2003-11-03 2005-05-05 Ahn-Sik Moon Plasma chemical vapor deposition apparatus having an improved nozzle configuration
US7988816B2 (en) * 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
KR101109912B1 (ko) * 2004-07-09 2012-06-27 세키스이가가쿠 고교가부시키가이샤 베이스 외주 처리 방법 및 장치
JP4590363B2 (ja) * 2005-03-16 2010-12-01 日本碍子株式会社 ガス供給部材及びそれを用いた処理装置
JP4336680B2 (ja) * 2006-01-10 2009-09-30 株式会社アルバック 反応性イオンエッチング装置
US8097120B2 (en) * 2006-02-21 2012-01-17 Lam Research Corporation Process tuning gas injection from the substrate edge
JP5074741B2 (ja) * 2006-11-10 2012-11-14 株式会社日立ハイテクノロジーズ 真空処理装置
US7879250B2 (en) * 2007-09-05 2011-02-01 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor with independent wafer edge process gas injection
US20090221149A1 (en) * 2008-02-28 2009-09-03 Hammond Iv Edward P Multiple port gas injection system utilized in a semiconductor processing system

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9362137B2 (en) 2014-08-18 2016-06-07 Samsung Electronics Co., Ltd. Plasma treating apparatus, substrate treating method, and method of manufacturing a semiconductor device

Also Published As

Publication number Publication date
CN102162099A (zh) 2011-08-24
KR20110096649A (ko) 2011-08-31
TW201130041A (en) 2011-09-01
US20110203735A1 (en) 2011-08-25
TWI446441B (zh) 2014-07-21
CN102162099B (zh) 2013-06-26

Similar Documents

Publication Publication Date Title
KR101092122B1 (ko) 에칭 프로파일 제어를 위한 가스 인젝션 시스템
KR101095172B1 (ko) 플라즈마 반응 챔버의 사이드 가스 인젝터
US7879250B2 (en) Method of processing a workpiece in a plasma reactor with independent wafer edge process gas injection
US7832354B2 (en) Cathode liner with wafer edge gas injection in a plasma reactor chamber
US8097120B2 (en) Process tuning gas injection from the substrate edge
TWI430395B (zh) 電漿反應器腔室中具有晶圓邊緣氣體注入之陰極襯墊
JP5902896B2 (ja) 基板処理装置
US20170345626A1 (en) Localized Process Control Using A Plasma System
KR100992392B1 (ko) 플라즈마 반응장치
US20060196420A1 (en) High density plasma chemical vapor deposition apparatus
JP2009253263A (ja) プラズマ処理装置
TWI782002B (zh) 具有靈活的晶圓溫度控制之靜電夾頭
TW201318024A (zh) 改進等離子均勻性和效率的電感耦合等離子裝置
TW201523773A (zh) 用以控制感應耦合電漿室中邊緣表現的設備與方法
KR20190056030A (ko) 상부 샤워 헤드 및 하부 샤워 헤드를 포함하는 증착 장치
KR20180002104A (ko) 기판 처리 장치 및 그것을 이용한 박막 증착 방법
US20150284847A1 (en) Method of Forming an Epitaxial Layer and Apparatus for Processing a Substrate Used for the Method
KR101081736B1 (ko) 플라즈마 처리 장치 및 방법
KR20070090470A (ko) 균일한 가스분사를 위한 가스분배판
KR102647683B1 (ko) 기판 처리 장치 및 이를 이용한 기판 처리 방법
KR20090078979A (ko) 기판 지지장치 및 이를 구비하는 기판 처리장치
KR20180003827A (ko) 기판 처리 장치 및 방법
KR101445743B1 (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
KR102072044B1 (ko) 기판 처리 장치

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20141001

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20151126

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20161201

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20171027

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20181101

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20191031

Year of fee payment: 9