KR101058917B1 - 전기 도금 장치 - Google Patents

전기 도금 장치 Download PDF

Info

Publication number
KR101058917B1
KR101058917B1 KR1020057016780A KR20057016780A KR101058917B1 KR 101058917 B1 KR101058917 B1 KR 101058917B1 KR 1020057016780 A KR1020057016780 A KR 1020057016780A KR 20057016780 A KR20057016780 A KR 20057016780A KR 101058917 B1 KR101058917 B1 KR 101058917B1
Authority
KR
South Korea
Prior art keywords
plating
plating solution
substrate
workpiece
stirring
Prior art date
Application number
KR1020057016780A
Other languages
English (en)
Other versions
KR20050114226A (ko
Inventor
후미오 구리야마
다카시 다케무라
노부토시 사이토
마사아키 기무라
레이 기우미
Original Assignee
가부시키가이샤 에바라 세이사꾸쇼
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 에바라 세이사꾸쇼 filed Critical 가부시키가이샤 에바라 세이사꾸쇼
Publication of KR20050114226A publication Critical patent/KR20050114226A/ko
Application granted granted Critical
Publication of KR101058917B1 publication Critical patent/KR101058917B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/008Current shielding devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1619Apparatus for electroless plating
    • C23C18/1628Specific elements or parts of the apparatus
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/001Apparatus specially adapted for electrolytic coating of wafers, e.g. semiconductors or solar cells
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D21/00Processes for servicing or operating cells for electrolytic coating
    • C25D21/10Agitating of electrolytes; Moving of racks
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/08Electroplating with moving electrolyte e.g. jet electroplating
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05568Disposition the whole external layer protruding from the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05573Single external layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/1147Manufacturing methods using a lift-off mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01004Beryllium [Be]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01005Boron [B]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01006Carbon [C]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01015Phosphorus [P]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01023Vanadium [V]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01029Copper [Cu]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01033Arsenic [As]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01074Tungsten [W]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01076Osmium [Os]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01078Platinum [Pt]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01079Gold [Au]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01082Lead [Pb]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/014Solder alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Electrochemistry (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Sustainable Development (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Electroplating Methods And Accessories (AREA)
  • Chemically Coating (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

본 발명은, 반도체 웨이퍼의 표면 내에 형성된 트렌치들, 비아 홀들 또는 레지스트 개구부들에 도금막을 형성하고, 패키지의 전극들에 전기적으로 연결될 범프들을 반도체 웨이퍼의 기판 상에 형성하는데 사용되는 도금 장치에 관한 것이다. 도금 장치(170)는 도금 용액(188)을 유지하는 도금 탱크(186), 및 작업물(W)을 유지하고 상기 도금 탱크(186)내의 도금 용액(188)과 상기 작업물의 도금될 표면을 접촉시키는 홀더(160)를 가진다. 상기 도금 탱크(186)내에 도금 용액(188)을 공급하도록 상기 홀더(160)에 의해 유지된 상기 작업물의 도금될 표면에 도금 용액(188)을 분사시키는 복수의 도금 용액 분사 노즐(222)들을 갖는 링형상의 노즐 파이프(22), 또는 교반 날개를 갖는 교반 기구가 상기 도금 탱크(186)내에 배치된다.

Description

전기 도금 장치{ELECTROPLATING APPARATUS}
본 발명은, 기판 등의 표면(도금될 표면)을 도금시키는 도금 장치에 관한 것으로, 보다 상세하게는, 도금 기술에 따라 LSI 회로들 등을 위한 기판 상에 금속막(metal film) 및 상호연결부(interconnect)들을 형성하고, 반도체 웨이퍼 등의 표면 내에 형성된 미세한 상호연결 홈들(트렌치들), 비아 홀들(via holes), 또는 레지스트 개구부들에 도금막을 형성하며, 패키지 등의 전극들에 전기적으로 연결될 범프들(돌출된 전극들)을 반도체 웨이퍼의 표면 상에 형성하는데 사용되는 도금 장치에 관한 것이다.
최근 몇년동안에는, 도금 기술에 따라 실리콘 웨이퍼들 또는 여타의 기판들상에 금속성 막(metallic film)들을 형성함으로써 반도체 회로들내에 상호연결부 또는 범프들을 형성하는 방법이 채택되었다.
예를 들어, TAB(Tape Automated Bonding) 또는 FC(Flip Chip)에서는, 미리설정된 부분들(전극들)에 금, 구리, 솔더(solder), 무연 솔더(lead-free solder) 또는 니켈, 또는 이들 금속들의 다층 적층물(multi-layer laminate)로 된 돌출된 연결 전극들(범프들)을, 상호연결부들이 형성된 반도체 칩의 표면 상에 형성하고, 상기 범프들을 통해, 상호연결부들을 패키지의 전극들 또는 TAB 전극들에 전기적으로 연결(electrically connect)시키는 것이 널리 시행되었다. 범프들을 형성하는 방법들은, 전기 도금(electroplating), 기상 침착(vapor deposition), 프린팅 및 볼 범핑(ball bumping)과 같은 다양한 방법들을 포함한다. 최근, 반도체 칩내의 I/O의 개수의 증가와 보다 미세한 피치(pitch)들을 지향하는 추세로 인해, 전기 도금이 보다 빈번하게 채택되었는데, 그 이유는 전기 도금이 미세한 처리에 대처(cope)할 수 있고 비교적 안정된 성능을 가지기 때문이다.
특히, 전기 도금에 의해 생성된 금속성 막들은, 고순도이고, 고속으로 성장될 수 있으며, 그 두께들이 용이하게 제어될 수 있다는 점에서 유익하다. 한편, 무전해 도금(electroless plating)은, 기판 등과 같은 도금될 작업물(workpiece)상에 전류를 통과시키는 시드층(seed layer)이 필요하지 않기 때문에, 상호연결부들 또는 범프들을 형성하는데 요구되는 단계들의 수가 줄어들 수도 있다는 점이 유익하다. 반도체 기판 상에 형성된 막이 균일한 두께로 되어 있을 것을 엄격히 요구하기 때문에, 상기의 도금 공정들에서는 이러한 요건을 충족시키기 위해서 많은 시도들이 행해졌다.
도 27은 소위 페이스-다운 방법(face-down method)을 채택하는 종래의 무전해 도금 장치의 일례를 도시하고 있다. 무전해 도금 장치는, 도금 용액(무전해 도금 용액)을 유지하는 상향 개방된 도금 탱크(12), 및 기판(W)의 앞면(도금될 표면)이 아래쪽으로 향하도록 한(페이스-다운) 상태에서 도금될 작업물인 기판(W)을 해제가능하게(detachably) 유지하는 수직으로 이동가능한 기판 홀더(14)를 가진다. 상기 도금 탱크(12)의 상부 주위에는 오버플로우 탱크(overflow tank; 16)가 제공 되며, 상기 오버플로우 탱크(16)에는 도금 용액 배출 라인(18)이 연결되어 있다. 또한, 도금 용액 공급 노즐(22)이 상기 도금 탱크(12)의 저부에 제공되고 도금 용액 공급 라인(20)에 연결된다.
작동시, 기판 홀더(14)에 의해 수평으로 유지된 기판(W)은, 도금 탱크(12)의 상단부에 있는 개구부를 폐쇄시키도록 소정 위치에 위치된다. 이 상태에서, 도금 용액(10)은, 도금 용액 공급 노즐(22)로부터 도금 용액 탱크(12)로 공급되고, 상기 도금 탱크(12)의 상부에서 오버플로우되므로, 따라서 기판 홀더(14)에 의해 유지된 기판(W)의 표면을 따라 도금 용액(10)이 유동(flow)되고, 도금 용액 배출 라인(18)을 통해 순환 탱크(미도시됨)로 복귀되게 된다. 따라서, 기판(W)의 예비처리된 표면(pretreated surface)과 도금 용액을 접촉시키면, 기판(W)의 표면 상에 금속이 침착되어 금속막이 형성되게 된다.
도금 장치에 따르면, 기판(W)의 표면 상에 형성된 금속막 두께의 균일성(uniformity)은, 도금 용액 공급 노즐(22)로부터 공급된 도금 용액(10)의 공급 속도를 조정하고 기판 홀더(14)을 회전시키는 것 등을 행함으로써 어느 정도 조정될 수 있다.
도 28은 소위 디핑 방법(dipping method)을 채택하는 종래의 전기 도금 장치의 일례를 도시하고 있다. 상기 전기 도금 장치는, 도금 용액(전기 도금 용액)을 유지하는 도금 탱크(12a), 및 기판(W)의 외주부(peripheral portion)가 방수 시일링된(water-tightly sealed) 채로 앞면(도금된 표면)이 노출되도록 한 상태에서 기판(W)을 해제가능하게 유지하는 수직으로 이동가능한 기판 홀더(14a)를 가진다. 애 노드(anode; 24)는 애노드 홀더(26)에 의해 유지되며 상기 도금 탱크(12a)내에서 수직으로 배치된다. 또한, 기판 홀더(14a)에 의해 유지된 기판(W)이 애노드(24)를 향하는 위치에 배치되는 경우, 중심 구멍(28a)을 갖는 유전 물질로 만들어진 조절판(28)이 애노드(24)와 기판(W) 사이에 위치되도록 도금 탱크(12a)내에 배치된다.
작동시, 애노드(24), 기판(W) 및 조절판(28)은 도금 탱크(12a)내의 도금 용액에 침지(immserse)된다. 이와 동시에, 애노드(24)는 도전체(conductor; 30a)를 통해 도금 전원 장치(32)의 애노드에 연결되고, 기판(W)은 도전체(30b)를 통해 도금 전원 장치(32)의 캐소드(cathode)에 연결된다. 따라서, 기판(W)과 애노드(24)간의 전위차로 인해, 도금 용액내의 금속 이온들은 기판(W)의 표면으로부터의 전자들을 수용하므로, 기판(W)의 표면 상에 금속이 침착되어 금속막이 형성되게 된다.
도금 장치에 따르면, 기판(W)의 표면 상에 형성된 금속막의 두께 분포는, 애노드(24)와 애노드(24)를 향하는 위치에 배치된 기판(W) 사이에 중심 구멍(28a)을 갖는 조절판(28)을 배치시키고, 조절판(28)을 이용하여 도금 탱크(12a)상의 전위 분포를 조정함으로써, 어느 정도 조정될 수 있다.
도 29는 소위 디핑 장치를 채택하는 종래의 전기 도금 장치의 또 다른 예시를 도시하고 있다. 상기 전기 도금 장치는, 조절판 대신에 링-형상의 더미 캐소드(dummy cathode)(더미 전극)가 제공되고, 더미 캐소드(34)가 기판(W)의 주위에 배치되도록 한 상태에서 기판 홀더(14a)에 의해 기판(W)이 유지되며, 도금시 더미 캐소드(34)가 도전체(30c)를 통해 도금 전원 장치(32)의 캐소드로 연결된다는 점에서 도 28에 도시된 전기 도금 장치와 다르다.
도금 장치에 따르면, 기판(W)의 표면 상에 형성된 도금막 두께의 균일성은 더미 캐소드(34)의 전위를 조정함으로써 개선될 수 있다.
도 30은 소위 디핑 방법을 채택하는 종래의 또 다른 전기 도금 장치를 도시하고 있다. 상기 전기 도금 장치는, 조절판이 존재하지 않고, 패들 샤프트(paddle shaft)(교반 기구(stirring mechanism))(36)가 도금 탱크(12a) 위에 위치되고 기판 홀더(14a)와 애노드(24) 사이에 또한 그와 평행하게 배치되며, 복수의 패들(교반 로드(stirring rod)들(38)이 교반 날개(stirring vane)들로서 패들 샤프트(36)의 하부면으로부터의 실질적으로 수직으로 현가(suspend)되는데, 이 구성은 도금 공정시, 패들 샤프트(36)가 기판(W)에 대해 평행한 패들(38)들을 왕복 운동시킴으로써 도금 탱크(12a)내의 도금 용액을 교반시키도록 구성되어 있다는 점에서 도 28에 도시된 전기 도금 장치와 다르다.
도금 장치에 따르면, 패들 샤프트(36)는 기판(W)에 대해 평행한 패들(38)들을 왕복 운동시켜, 기판(W)의 전체 표면에 걸친 기판(W)의 표면에 따라 도금 용액의 유동들을 균일화(즉, 도금 용액의 유동들의 지향성(directivity)을 제거)함에 따라, 기판(W)의 전체 표면에 걸쳐 보다 균일한 두께의 도금막을 형성하게 된다.
반도체 기판(웨이퍼) 표면 상의 상호연결부들 또는 범프들로서 금속막(도금막)을 형성하기 위해서는, 예를 들어 기판의 전체 표면에 걸쳐 형성된 금속막의 막 두께 및 표면 구성이 균일할 것이 요구된다. 최근 몇년 동안에 이용된 SOC, WL-CSP 등과 같은 고도로 조밀한 패킹 기술들(highly dense packaging technologies)은 보다 고도로 정확한 균일성을 요구하지만, 종래의 도금 장치는 이러한 고도로 정확한 균일성에 대한 요건들을 충족시키는 금속막을 생성하는 것이 더욱 어려울 수도 있다.
보다 상세하게는, 종래의 도금 장치들의 각각은, 그에 따라 형성된 도금막의 막 두께 분포 특성을 나타내는 장치 자체의 구조적 특성들을 가지며, 도금 장치를 개선시킴으로써 보다 양호한 막 두께 균일성을 갖는 도금막을 생성할 것을 요구한다. 균일한 막 두께로 된 도금막을 생성하기 위해서는, 기판 등의 도금될 표면 근처에서 도금 용액의 유동들을 균일화하는 것이 효과적이다. 도금 용액의 균일한 유동을 만들고 기판 등의 도금될 표면과 도금 용액을 접촉시키는 공정이 요구된다. 또한, 도금 장치 자체는 용이한 유지보수를 위해 설계된 단순한 구조 및 기구를 갖도록 요구된다. 예를 들어, 도 29에 도시된 도금 장치는, 더미 전극을 조정하고 더미 전극상에 침착된 도금된 금속을 제거하도록 작동될 필요가 있다. 도금 장치를 보다 양호하게 핸들링(handle)하고, 작동의 문제와 관리 복잡성들 없이 도금 장치를 단순하게 관리하는 것이 요구되었다. 도금 시간을 단축시키기 위해서는, 도금 속도를 증가시키는 것이 매우 바람직하다. 도금 속도를 증가시키려면, 기판 등의 도금될 표면에 도금 용액내의 금속 이온들을 효율적으로 공급할 필요가 있다.
전기 도금시, 전류 밀도를 증가시키는 것이 도금 속도를 증가시키는 한가지 접근법이 될 수도 있다. 하지만, 단순히 전류 밀도를 증가시키는 것은, 연소된 침전물(burnt deposit)들, 도금 결함들, 애노드 표면의 패시베이션(passivation) 등을 유도할 수도 있어, 도금 실패의 결과를 초래할 수도 있다.
본 발명은 상기의 단점의 관점에서 만들어졌다. 그러므로, 본 발명의 제 1 목적은, 도금 속도를 증가시킬 수 있고 도금 탱크 내의 도금 용액의 유동들을 보다 균일하게 조정할 수 있는 도금 장치를 제공하여, 비교적 단순한 구성으로 도금막의 막 두께의 보다 높은 위드인-웨이퍼 균일성(within-wafer uniformity)을 달성하는 것이다.
본 발명의 제 2 목적은, 비교적 단순한 구성으로 그리고 복잡한 작동 및 설정을 필요로 하지 않고, 작업물의 도금될 표면 상에 보다 양호한 막 두께 균일성을 갖는 도금막을 형성할 수 있는 도금 장치를 제공하는 것이다.
상술된 목적들을 달성하기 위해서, 도금 용액을 유지하는 도금 탱크; 작업물을 유지시키고 상기 도금 탱크 내의 도금 용액과 작업물의 도금될 표면을 접촉시키는 홀더; 및 상기 도금 탱크 내에 도금 용액을 공급하도록 상기 홀더에 의해 유지된 상기 작업물의 도금될 표면을 향해 도금 용액을 분사시키는 복수의 도금 용액 분사 노즐들을 갖는 링형상의 노즐 파이프를 포함하는 도금 장치가 제공된다.
본 발명에 따르면, 도금 용액은 링형상의 노즐 파이프상에 형성된 도금 용액 분사 노즐들로부터 분사되고, 강한 물줄기(strong stream)들로서 작업물의 도금될 표면 상에 가해짐에 따라, 작업물의 도금될 전체 표면 상의 전위 분포의 균일성이 외란(disturb)되지 않으면서 도금 용액내의 이온들을 작업물의 도금될 표면으로 효율적으로 공급하게 된다. 따라서, 도금막의 품질을 저하시키지 않고 도금 속도가 증가된다. 또한, 도금막의 막 두께의 균일성은, 작업물의 도금될 표면 근처에서 도금 용액의 보다 균일한 유동을 제공하도록, 도금 용액 분사 노즐들로부터 분사된 도금 용액의 방향 및 유속을 조정함으로써 증가될 수 있다.
도금 용액 분사 노즐들로부터 분사된 도금 용액의 물줄기들은, 홀더에 의해 유지된 작업물의 도금될 표면의 실질적으로 중심 영역 상에서 또는 상기 중심 영역 앞에서 서로 결합되는 것이 바람직하다.
도금 용액의 결합된 유동이 작업물의 도금될 표면의 실질적으로 중심 영역에 대해 수직으로 가해지고, 그 후 작업물의 도금될 표면을 따라 바깥쪽으로 퍼지도록(spread) 그 방향을 변경하기 때문에, 도금 용액의 유동이 작업물의 도금될 표면 상에 닿은(impinge) 후의 상기 유동은, 도금 용액의 배출된 유동(discarged flow)과 간섭(interfere)하는 것이 방지되므로, 일정하고 연속적이며 안정된 유동으로서 형성된다.
도금 장치는 애노드를 갖는 전기 도금 장치를 포함하여 이루어질 수도 있으며, 애노드와 작업물 사이에 도금 전압이 인가되어 작업물 상에 전기 도금을 수행하게 된다.
도금 장치가 애노드를 갖는 전기 도금 장치를 포함하는 경우, 도금 장치는 도금 탱크 내에 도금 용액을 공급시키도록 애노드를 향해 도금 용액을 분사시키는 도금 용액 분사 노즐을 더 포함하는 것이 바람직하다.
애노드가 용해(dissolve)되는 속도는, 전기 도금 속도의 증가와 동등한 속도로 애노드를 용해시킬 수 있도록 증가된다.
도금 장치는 작업물 상에 무전해 도금을 수행하도록 작업물의 도금될 표면과 무전해 도금 용액을 접촉시키는 무전해 도금 장치를 포함하여 이루어질 수도 있다.
작업물은 수평 또는 수직으로 배치될 수도 있다.
노즐 파이프는 작업물의 외측 프로파일(outer profile)을 따라 연장되도록 형성될 수도 있다. 예를 들어, 작업물이 원형의 외측 프로파일을 가지는 경우, 노즐 파이프는 원형의 링형상 노즐 파이프를 포함하여야 한다. 작업물이 직사각형 외측 프로파일을 가지는 경우, 노즐 파이프는 직사각형 링형상 노즐 파이프를 포함하여야 한다.
노즐 파이프는 홀더에 의해 유지된 작업물에 대해 상대적으로 이동가능한 것이 바람직하다. 이 구성예예서, 노즐 파이프는 작업물의 도금될 표면에 대해 앞쪽 방향 및 뒤쪽 방향, 왼쪽 방향 및 오른쪽 방향으로, 또는 위쪽 방향 또는 아래쪽 방향, 또는 이들 방향의 조합으로 이동될 수도 있거나, 작업물의 도금될 표면에 대해 평행한 평면내의 원형 패턴내에서 이동될 수도 있거나, 도금막의 막 두께의 균일성을 더욱 증가시키기 위해서, 노즐 파이프는 스위블링 동작(swiveling motion)을 행할 수도 있다.
노즐 파이프 및/또는 도금 용액 분사 노즐들은 전기 절연 물질로 만들어지는 것이 바람직하다. 전기 절연 물질로 만들어진 노즐 파이프 및/또는 도금 용액 분사 노즐들은 도금 탱크 내의 전기장 분포가 외란되는 것을 방지하는데 효과적이다.
또한, 본 발명에 따르면, 도금 용액을 유지하는 도금 탱크; 및 상기 도금 탱크 내의 도금 용액에 침지되는 교반 날개를 가지며, 작업물의 도금될 표면을 향하는 위치에 배치되는 교반 기구를 포함하는 도금 장치가 제공되며, 상기 교반 날개는 도금 용액을 교반시키도록 작업물의 도금될 표면에 대해 평행하게 왕복 운동하며; 상기 교반 날개는 1 이상의 측면상에 요철부들(irregularities)을 가진다.
상기 구성예에 따르면, 1 이상의 측면 상에 요철부들을 갖는 교반 날개는, 상기 교반 날개가 왕복 운동하는 경우에, 도금 용액내에 다수의 와류(swirl)들을 균일하고 전반적으로(generally) 생성할 수 있다. 따라서, 작업물의 도금될 표면과 접촉하는 도금 용액의 유동이 보다 균일하고 효율적으로 적용되어, 작업물의 도금될 표면 상에는 보다 양호한 막 두께 균일성을 갖는 도금막이 형성된다.
요철부들은, 예를 들어, 삼각형 또는 직사각형의 톱니형 요철부들의 연속 또는 미리 설정된 간격들로 형성된 다수의 좁은 홈들을 포함한다.
요철부들이 삼각형 또는 직사각형의 톱니형 요철부들의 연속 또는 미리설정된 간격들로 형성된 다수의 좁은 홈들을 포함하기 때문에, 교반 날개가 왕복 운동하는 경우, 많은 와류들이 도금 용액내에 균일하고 전반적으로 생성된다. 따라서, 작업물의 도금될 표면과 접촉하는 도금 용액의 유동이 보다 균일하고 효율적으로 적용되어, 작업물의 도금될 표면 상에는 보다 양호한 막 두께 균일성을 갖는 도금막이 형성된다.
요철부들이 제공된 교반 날개의 측면은 작업물의 도금될 표면을 향하는 것이 바람직하다.
요철부들이 제공된 교반 날개의 측면이 작업물의 도금될 표면을 향해 있기 때문에, 교반 날개가 왕복 운동하는 경우, 많은 와류들이 도금 용액내에 균일하고 전반적으로 생성된다. 따라서, 작업물의 도금될 표면과 접촉하는 도금 용액의 유동이 보다 균일하고 효율적으로 적용되어, 작업물의 도금될 표면 상에는 보다 양호한 막 두께 균일성을 갖는 도금막이 형성된다.
교반 기구는 복수의 교반 날개들을 가지는 것이 바람직하다.
교반 기구가 복수의 교반 날개들을 가지면, 교반 날개가 왕복 운동하는 경우, 보다 많은 와류들이 작업물의 도금될 표면 근처의 도금 용액내에 균일하고 전반적으로 생성된다. 따라서, 작업물의 도금될 표면과 접촉하는 도금 용액의 유동이 보다 균일하고 효율적으로 적용되어, 작업물의 도금될 표면 상에는 보다 양호한 막 두께 균일성을 갖는 도금막이 형성된다.
또한, 본 발명에 따르면, 도금 용액을 유지하는 도금 탱크; 및 상기 도금 탱크 내의 도금 용액에 침지되는 교반 날개를 구비하여 상기 도금 용액을 교반시키는 교반 기구를 더 포함하는 도금 장치가 제공되며, 상기 교반 날개는 각자의 독립적인 구동 기구들에 의해 작동가능한 복수의 교반 날개들을 포함하여 이루어진다.
상기 구성예에 따르면, 교반 날개가 각자의 독립적인 구동 기구들에 의해 작동가능한 복수의 교반 날개들을 포함하기 때문에, 작업물의 도금될 표면 상에 보다 양호한 막 두께 균일성을 갖는 도금막을 형성하도록 도금 용액의 교반 분포가 조정될 수 있다.
교반 날개들은 서로 상이한 형상으로 되어 있는 것이 바람직하다.
교반 기구들의 교반 날개들이 서로 상이한 형상으로 되어 있기 때문에, 작업물의 도금될 표면 상에 보다 양호한 막 두께 균일성을 갖는 도금막을 형성하도록 도금 용액의 교반 분포가 조정될 수 있다.
교반 날개들은 작업물의 도금될 표면에 대해 평행한 방향들로 왕복 운동하는 것이 바람직하다.
교반 날개들이 작업물의 도금될 표면에 대해 평행한 방향들로 왕복 운동하기 때문에, 작업물의 도금될 표면 상에 보다 양호한 막 두께 균일성을 갖는 도금막을 형성하도록 도금 용액의 교반 분포가 조정될 수 있다.
또한, 본 발명에 따르면, 도금 용액을 유지하는 도금 탱크; 및 상기 도금 탱크 내의 도금 용액에 침지되는 교반 날개를 가지고, 작업물의 도금될 표면을 향하는 위치에 배치되는 교반 기구를 포함하는 도금 장치가 제공되며, 상기 교반 날개는 상기 도금 용액을 교반시키도록 상기 작업물의 도금될 표면에 대해 평행하게 왕복 운동하며; 상기 교반 날개는 작업물의 도금될 표면에 대해 각도를 가지되, 상기 각도는 상기 교반 날개가 이동되는 방향이 변화됨에 따라 변동될 수 있다.
상기 구성예에 따르면, 교반 날개가 이동되는 방향이 변화됨에 따라, 작업물의 도금될 표면에 대한 교반 날개의 각도가 변화되므로, 도금 용액의 유동을 균일하고 전반적으로 생성하게 된다. 따라서, 작업물의 도금될 표면과 접촉하는 도금 용액의 유동은, 작업물의 도금될 표면 상에 보다 양호한 막 두께 균일성을 갖는 도금막을 형성하도록 보다 균일하고 효율적으로 적용된다.
교반 기구는 복수의 교반 날개들을 가지는 것이 바람직하다.
복수의 교반 날개들이 사용되면, 교반 날개들이 왕복 운동하는 경우, 균일하고 전반적으로 도금 용액의 유동이 생성된다. 따라서, 작업물의 도금될 표면과 접촉하는 도금 용액의 유동은, 작업물의 도금될 표면 상에 보다 양호한 막 두께 균일성을 갖는 도금막을 형성하도록 보다 균일하고 효율적으로 적용된다.
또한, 본 발명에 따르면, 도금 용액을 유지하는 도금 탱크; 상기 도금 탱크 내의 도금 용액에 침지되고 작업물의 도금될 표면을 향하는 위치에 배치된 애노드; 및 상기 도금 탱크 내의 도금 용액을 교반시키는 교반 기구를 포함하는 도금 장치가 제공되며, 상기 교반 기구는 상기 작업물의 도금될 표면과 근접하여 배치된 제 1 교반 날개 및 상기 애노드에 근접하여 배치된 제 2 교반 날개를 가진다.
상기 구성예에 따르면, 상기 교반 기구는 작업물의 도금될 표면과 근접하여 배치된 제 1 교반 날개 및 애노드에 근접하여 배치된 제 2 교반 날개를 가진다. 상기 제 1 및 제 2 교반 날개들이 이동되는 경우, 작업물의 도금될 표면과 애노드에 근접하여 도금 용액의 유동이 생성된다. 작업물의 도금될 표면과 접촉하는 도금 용액의 유동은, 작업물의 도금될 표면 상에 보다 양호한 막 두께 균일성을 갖는 도금막을 형성하도록 보다 균일하고 효율적으로 적용된다.
제 1 교반 날개는 작업물의 도금될 표면에 대해 평행하게 왕복 운동하고, 제 2 교반 날개는 작업물의 도금될 표면을 향하는 애노드의 표면에 대해 평행하게 왕복 운동하는 것이 바람직하다.
제 1 교반 날개가 작업물의 도금될 표면에 대해 평행하게 왕복 운동하고, 제 2 교반 날개가 작업물의 도금될 표면을 향하는 애노드의 표면에 대해 평행하게 왕복 운동하는 경우, 도금 용액의 유동은 애노드 및 작업물의 도금될 표면에 근접하게 생성된다. 작업물의 도금될 표면과 접촉하는 도금 용액의 유동은, 작업물의 도금될 표면 상에 보다 양호한 두께 균일성을 갖는 도금막을 형성하도록 보다 균일하고 효율적으로 제공된다.
이하, 첨부한 도면들과 연계하여, 예시의 방식으로, 본 발명의 상기 목적 및 다른 목적, 특징 및 장점들을 설명한다.
도 1은 본 발명의 일 실시예에 따른 도금 장치(전기 도금 장치)를 구비한 도금 설비(plating facility)의 전체 레이아웃을 도시한 도면;
도 2는 도 1에 도시된 도금 설비내의 도금 공간에 배치된 이송 로봇의 개략도;
도 3은 도 1에 도시된 도금 설비에 제공된 도금 장치(전기 도금 장치)의 단면도;
도 4는 도 3에 도시된 도금 장치의 고정판 및 노즐 파이프의 사시도;
도 5a 내지 도 5e는 기판 상에 범프(돌출된 전극)를 형성하는 공정을 순서대로 예시하는 단면도들;
도 6은 본 발명의 또 다른 실시예에 따른 도금 장치(전기 도금 장치)의 개략적 단면도;
도 7은 본 발명의 또 다른 실시예에 따른 도금 장치(전기 도금 장치)의 개략적 단면도;
도 8은 본 발명의 또 다른 실시예에 따른 도금 장치(전기 도금 장치)의 개략적 단면도;
도 9는 노즐 파이프의 동작(스위블링 동작)의 일례를 도시하는 도면;
도 10은 본 발명의 또 다른 실시예에 따른 도금 장치(무전해 도금 장치)의 개략적 단면도;
도 11은 도 10에 도시된 도금 장치의 노즐 파이프의 평면도;
도 12는 도 11에 도시된 노즐 파이프의 우측도;
도 13은 노즐 파이프의 수정예의 평면도;
도 14는 본 발명의 또 다른 실시예에 따른 도금 장치(전기 도금 장치)의 개략적 단면도;
도 15는 노즐 파이프의 또 다른 수정예의 평면도;
도 16은 본 발명의 또 다른 실시예에 따른 도금 장치(전기 도금 장치)의 개략적 사시도;
도 17a 내지 도 17c는 각각 교반 날개들의 상이한 형상들을 도시하는 도면들;
도 18은 본 발명의 또 다른 실시예에 따른 도금 장치(전기 도금 장치)의 개략적 사시도;
도 19a는 또 다른 교반 기구의 평면도;
도 19b는 또 다른 교반 기구의 정면도;
도 20a는 또 다른 교반 기구의 측면도;
도 20b는 또 다른 교반 기구의 정면도;
도 21a는 또 다른 교반 기구의 측면도;
도 21b는 또 다른 교반 기구의 정면도;
도 22는 또 다른 교반 날개의 사시도;
도 23은 도 22에 도시된 교반 날개의 동작 방향과 작업물의 도금될 표면에 대한 교반 날개의 각도와의 관계를 보여주는 도면;
도 24는 도 22에 도시된 교반 날개의 또 다른 동작 방향과 작업물의 도금될 표면에 대한 교반 날개의 각도와의 관계를 보여주는 도면;
도 25는 본 발명의 또 다른 실시예에 따른 도금 장치(전기 도금 장치)의 개략적 사시도;
도 26은 본 발명의 또 다른 실시예에 따른 도금 장치(전기 도금 장치)의 개략적 사시도;
도 27은 종래의 도금 장치(무전해 도금 장치)의 개략적 사시도;
도 28은 종래의 도금 장치(전기 도금 장치)의 개략적 단면도;
도 29는 종래의 또 다른 도금 장치(전기 도금 장치)의 개략적 단면도; 및
도 30은 종래의 또 다른 도금 장치(전기 도금 장치)의 개략적 단면도이다.
이하, 도면들을 참조하여 본 발명의 실시예들을 설명한다. 다음의 실시예들은 도금될 작업물로서 기판, 예컨대 반도체 웨이퍼가 사용되는 예시들을 도시한다.
도 1은 본 발명의 실시예에 따른 도금 장치를 구비한 도금 설비의 전체 레이아웃을 도시하고 있다. 도금 설비는 순서대로 기판의 전처리(pretreatment), 도금 및 도금의 후 처리를 포함하는 모든 도금 공정을 자동으로 수행하도록 설계된다. 외장 패널(armored panel)이 부착된 장치 프레임(110)의 내부는, 격벽(partition plate; 112)에 의해, 도금 용액이 부착되는 기판의 처리들 및 기판의 도금 공정을 수행하는 도금 공간(116)과, 그 이외의 공정들, 즉 도금 용액과 직접적으로 관련이 없는 공정들을 수행하는 세정 공간(114)으로 분리된다. 2개의 기판 홀더(160)(도 2 참조)들은 평행하게 배치되며, 각각의 기판 홀더(160)로부터 기판을 부착시키고 기판을 해제시키는 부착/해제 스테이지(162)들은, 기판 전달부(substrate delivery section)로서, 세정 공간(114)으로부터 도금 공간(116)을 분리시키는 격벽(112)에 의해 분할된 격벽부(partition portion)상에 제공된다. 기판들을 하우징(housing)하는 기판 카세트들이 장착된 로딩/언로딩 포트(120)들이 세정 공간(114)에 연결된다. 또한, 장치 프레임(110)에는 콘솔 패널(console panel; 121)이 제공된다.
세정 장치(114)에는, 미리설정된 방향으로 기판의 노치 또는 방위 플랫(orientation flat)을 정렬시키는 정렬기(122), 도금될 기판을 세정하고 기판을 고속으로 회전시켜 기판을 스핀-건조(spin-dry)시키는 2개의 세정/건조 디바이스(124)들, 및 기판의 전처리, 예컨대 본 발명에 따르면, 기판의 앞면(도금될 표면)을 향해 순수(pure water)를 분사시켜 순수로 기판 표면을 세정함과 동시에, 순수로 기판 표면을 습식화(wet)하여 기판 표면의 친수성(hydrophilicity)을 향상시키는 것을 포함하는 헹굼 전처리(rinsing pretreatment)를 수행하는 전처리 디바이스(126)가 4개의 코너들에 배치된다. 또한, 이들 처리 디바이스들, 즉 정렬기(122), 세정/건조 디바이스(124)들 및 전처리 디바이스(126)의 실질적으로 중심에 제 1 이송 로봇(128)이 배치됨에 따라, 처리 디바이스들(122, 124, 126), 기판 부착/해제 스테이지(162)들 및 로딩/언로딩 포트(120)들상에 장착된 기판 카세트들 사이에 기판이 이송되고 전달된다.
세정 공간(114)내에 배치된 정렬기(122), 세정/건조 디바이스(124)들 및 전처리 디바이스(126)들은, 기판의 앞면이 위쪽으로 향하는 수평 상태에서 기판을 유지하고 처리하도록 설계된다. 상기 이송 로봇(128)은 기판의 앞면이 위쪽으로 향하는 수평 상태에서 기판을 이송하고 전달하도록 설계된다.
도금 공간(116)에는, 격벽(112) 측부터 순서대로, 기판 홀더(160)를 저장하거나 임시로 저장하는 스토커(stocker; 164), 기판의 표면 상에 형성된 시드층(seed layer)상의, 예를 들어 큰 전기 저항을 갖는 산화막을, 황산 또는 염산과 같은 화학액으로 에칭하여 산화막을 제거하는 활성화 처리 디바이스(activation treatment device; 166), 순수로 기판의 표면을 헹구는 제 1 헹굼 디바이스(168a), 제 2 헹굼 디바이스(168b), 및 도금된 기판을 탈수(dewatering)시키는 블로잉 장치(blowing device; 172)가 배치되어 있다. 이들 장치 옆에는 2개의 제 2 이송 로봇(174a, 174b)이 레일(176)을 따라 이동할 수 있도록 배치되어 있다. 제 2 이송 로봇들 중 하나(174a)는 기판 부착/해제 스테이지들(162)과 스토커(164) 사이에서 기판 홀더(160)들을 이송한다. 제 2 이송 로봇들 중 다른 하나(174b)는 스토커(164), 활성화 처리 디바이스(166), 제 1 헹굼 디바이스(168a), 도금 장치(170), 제 2 헹굼 디바이스(168b) 및 블로잉 디바이스(172) 사이에서 기판 홀더(160)들을 이송한다.
도 2에 도시된 바와 같이, 제 2 이송 로봇(174a, 174b)들의 각각은 수직 방향으로 연장된 몸체(178) 및 상기 몸체(178)를 따라 수직으로 이동할 수 있고 그 축선을 중심으로 회전할 수 있는 아암(arm; 180)을 가진다. 상기 아암(180)은 기판 홀더(160)들을 해제가능하게 리테이닝(ratain)하기 위해 평행하게 제공된 2개의 기판 홀더 리테이닝부(182)들을 가진다. 기판 홀더(160)는, 기판의 외주부가 시일링된 채로 기판의 앞면이 노광되도록 한 상태에서 기판(W)을 유지하고, 기판 홀더(160)에 기판(W)을 부착시킬 수 있고 기판 홀더(160)로부터 기판(W)을 해제시킬 수 있도록 설계된다.
스토커(164), 활성화 처리 디바이스(166), 헹굼 디바이스(168a, 168b)들 및 도금 장치(170)는 각각의 기판 홀더(160)의 양 단부들에 제공된 바깥쪽으로 돌출된 부분(160a)과 맞물리도록 설계됨에 따라, 기판 홀더(160)들이 수직 방향으로 현가되도록 한 상태에서 기판 홀더(160)들을 유지하게 된다. 활성화 처리 디바이스(166)는 화학액을 유지하는 2개의 활성화 처리 탱크(183)들을 가진다. 도 2에 도시된 바와 같이, 기판(W)과 함께 로딩되는 기판 홀더(160)들을 유지하는 제 2 이송 로봇(174b)의 아암(180)은, 활성화 처리 탱크(183)들의 상단부들과 기판 홀더(160)들을 맞물리게 하도록 수직 상태에서 하강되어, 필요에 따라 현가되는 방식으로 기판 홀더(160)들을 유지하게 된다. 따라서, 활성화 처리 디바이스(166)는 기판 홀더(160)들이 기판(W)들과 함께 활성화 처리 탱크(183)들내의 화학액에 침지되도록 설계되어, 활성화 처리를 수행하게 된다.
이와 유사하게, 헹굼 디바이스(168a, 168b)들은, 각각 순수를 유지하는 2개의 헹굼 탱크(184a)들 및 2개의 헹굼 탱크(184b)들을 가지며, 도금 장치(170)는 도금 용액을 유지하는 복수의 도금 탱크들을 가진다. 헹굼 장치(168a, 168b)들 및 도금 장치(170)는, 기판 홀더(160)들이 기판과 함께 헹굼 탱크(184a, 184b)들내의 순 수에 또는 도금 탱크(186)들내의 도금 용액에 침지되도록 설계되므로, 상기에 서술된 것과 동일한 방식으로 헹굼 처리 또는 도금을 수행하게 된다. 수직 상태에서 기판(W)과 기판 홀더(160)들을 유지하는 제 2 이송 로봇(174b)의 아암(180)이 하강되고, 기판 홀더(160)들 및 기판(W)들에 부착된 액체를 블로잉하고 기판(W)들을 탈수시키도록, 공기 또는 불활성 가스가 기판 홀더(160)들상에 장착된 기판(W)들을 향해 분사된다. 따라서, 블로잉 디바이스(172)는 블로잉 처리를 수행하도록 설계된다.
도 3에 도시된 바와 같이, 도금 장치(170)내의 각각의 도금 탱크(186)는 도금 용액(188)을 유지하도록 설계된다. 따라서, 기판(W)들의 외주부들이 기판 홀더(160)들과 방수 시일링된 채로 정면들(도금될 표면들)이 노출되도록 한 상태에서 유지되는 기판(W)들이 도금 용액(188)에 침지된다.
도금 탱크(186)의 오버플로우 위어(overflow weir; 200)의 상단부에서 오버플로우된 도금 용액(188)을 수용하는 도금 탱크(186)의 측면에 오버플로우 탱크(202)가 제공된다. 도금 용액 배출 라인(204)이 오버플로우 탱크(202)에 연결된다. 도금 용액 배출 라인(204)과 이하에 서술되는 도금액 공급 라인(218) 사이에 연결된 도금액 순환 라인(208)은, 순환 펌프(208), 유속 조정 유닛(210) 및 필터(212)를 가진다. 순환 펌프(208)의 작동에 의해 도금 탱크(186) 안으로 공급된 도금 용액(188)은 도금 탱크(186)를 채운 다음, 오버플로우 위어(200)에서 오버플로우되고, 오버플로우 탱크(202) 안으로 유동되며 순환 펌프(208)로 복귀된다. 따라서, 도금 용액(188)이 순환되며, 유속 조정 유닛(210)에 의해 도금액 순환 라인(208)을 따라 유동하는 도금 용액의 유속이 조정된다.
기판(W)과 같이 형성된 디스크의 형태로 된 애노드(214)는, 애노드 홀더(216)에 의해 유지되며 도금 탱크(186)내에 수직으로 배치된다. 도금 탱크(186)가 도금 용액(188)으로 채워지는 경우, 애노드(216)는 도금 용액(188)에 침지되고 기판 홀더(160)에 의해 유지된 기판(W)에 직면해 있으며, 도금 탱크(186)내의 미리설정된 위치에 위치된다.
도금 탱크(186)내에는, 도금 탱크(186)내의 미리설정된 위치에 위치된 기판 홀더(160)와 애노드(214) 사이에 위치된 링형상의 노즐 파이프(220)가 배치된다. 노즐 파이프(220)는 도금 용액 공급 라인(218)에 연결된다. 도 4에 도시된 바와 같이, 노즐 파이프(220)는 기판(W)의 외측 프로파일을 따라 원형의 링으로서 형성되고, 상기 노즐 파이프(220)의 원주 방향으로 이격된 각자의 미리설정된 위치들내의 미리설정된 피치에 위치된 복수의 도금 용액 분사 노즐(222)들을 가진다. 상술된 바와 같이, 순환 펌프(208)의 작동에 의해 순환되는 도금 용액(188)은 도금 용액 분사 노즐(222)들로부터 분사되며 도금 탱크(186)안으로 공급된다.
이 실시예에서, 노즐 파이프(220)는, 체결기구(fastener; 226)들에 의해, 개구부(224a)가 형성되어 있고, 도금 탱크(186)의 내부를, 애노드(214)를 하우징하는 격실과 기판(W)을 하우징하는 격실로 분리시키는 직사각형의 고정판(224)에 고정된다. 개구부(224a)는 노즐 파이프(220)의 내부 직경과 실질적으로 같거나 약간 작은 크기를 가진다. 노즐 파이프(220)는 고정판(224)의 기판쪽에 위치되며 개구(224a)의 주위 에지에 대해 주위에 배치된다. 도금 용액 분사 노즐(222)들은, 도금 용액 분사 노즐(222)들로부터 분사된 도금 용액(188)의 물줄기들이 기판 홀더(160)에 의해 유지된 기판(W)의 실질적으로 중심 영역 앞에 있는 결합점(joint point; P)에서 서로 결합되도록 방위가 잡혀지고, 도금 탱크(186)내의 미리설정된 위치에 배치된다.
링형상의 노즐 파이프(220)상에 형성된 도금 용액 분사 노즐(222)들은, 도금 용액을 분사시켜 도금 탱크(186) 안으로 도금 용액(188)을 공급하고 도금 용액(188)을 순환시킨다. 이 때, 도금 용액 분사 노즐(222)들로부터 분사된 도금 용액(188)은 강한 물줄기들로서 기판(W)의 표면(도금될 표면)에 적용됨에 따라, 기판(W)의 전체 표면 상의 전위 분포의 균일성이 외란되는 것이 방지되면서 기판(W)의 표면에 도금 용액(188)내의 이온들을 효율적으로 공급하게 된다. 따라서, 도금막의 품질을 저하시키지 않으면서 도금 속도가 증가된다. 또한, 기판(W)의 표면 상에 형성된 도금막의 막 두께의 균일성은, 기판(W)의 표면 근처에서 도금 용액(188)의 보다 균일한 유속을 제공하도록 도금 용액 분사 노즐(222)들로부터 분사된 도금 용액(188)의 방향 및 유속을 조정함으로써 증가될 수 있다.
특히, 도금 용액 분사 노즐(222))들로부터 분사된 도금 용액(188)의 물줄기들이 기판(W)의 표면의 실질적으로 중심 영역의 앞에 있는 결합점(P)에서 서로 연결되기 때문에, 도금 용액(188)의 유속은 기판(W)의 표면의 실질적으로 중심 영역에 대해 수직으로 가해진다. 그 후, 도금 용액(188)의 유속은 기판(W)의 표면을 따라 바깥쪽으로 퍼지도록 그 방향을 변경시킨다. 그러므로, 기판(W)의 표면 상에 도달된 후의 도금 용액(188)의 유속은, 도금 용액(188)의 배출된 유속을 간섭하지 않 게 됨에 따라, 일정하고 연속적이며 안정된 유동으로서 형성된다.
노즐 파이프(220), 도금 용액 분사 노즐(222)들 및 고정판(22)은 PVC, PP, PEEK, PES, HT-PVE, PFA, PTFE, 또는 여타의 수지 물질(resin material)들과 같은 유전 물질로 만들어지는 것이 바람직하다. 이들 유전 물질들은, 도금 탱크(186)내의 전기장 분포가 외란되는 것을 방지하는데 효과적이다.
도금 탱크(186)의 내부는 개구부(224a)를 갖는 고정판(224)에 의해 분리된다. 도금 용액(188)은 개구부(224a)를 통과한 후, 오버플로우 탱크(202) 안으로 유동된다. 결과적으로, 기판(W)의 전체 영역에 걸쳐 전위 분포가 보다 균일해진다.
도금 장치(170)는 다음과 같이 작동한다: 먼저, 도금 탱크(186)가 도금 용액(188)으로 채워진다. 그 후, 기판(W)이 도금 탱크(186)내의 도금 용액(188)에 침지되는 미리설정된 위치에 기판(W)을 위치시키도록, 기판(W)을 유지하는 기판 홀더(160)가 하강된다. 그 후, 도금 용액 분사 노즐(222)들로부터 기판(W)의 표면 쪽으로 도금 용액(188)을 분사시킴에 따라, 도금 탱크(186)내에 도금 용액(188)을 공급하고 도금 용액(188)을 순환시키도록 순환 펌프(208)가 작동된다. 이와 동시에, 애노드(214)는 도전체(228a)를 통해 도금 전원 장치(230)의 애노드에 연결되며, 기판(W)은 도전체(228b)를 통해 도전 전원 장치(230)의 캐소드에 연결됨에 따라, 기판(W)의 표면 상에 금속을 침전(precipitate)시켜 금속막을 형성하게 된다.
이 때, 도금 용액 분사 노즐(222)들로부터 분사된 도금 용액(188)은, 강한 물줄기들로서 기판(W)의 (도금될) 표면 상에 가해지므로, 도금막의 품질을 저하시키지 않고 도금 속도가 증가될 수 있다. 또한, 기판(W)의 표면 상에 형성된 도금막 의 막 두께의 균일성은, 기판(W)의 표면 근처에서 도금 용액(188)의 보다 균일한 유동을 제공하도록 조정들을 행하여 증가될 수 있다.
도금 공정이 종료된 후, 도금 전원 장치(230)는 기판 및 애노드(214)으로부터 단전(disconnect)되고, 기판 홀더(160)가 기판(W)과 함께 위쪽으로 당겨진다. 그 후, 기판(W)이 처리되고, 예를 들어 물로 세정되고 헹궈지며, 그 후에 도금된 기판(W)이 다음 공정으로 전달된다.
이하, 도 5a 내지 도 5e를 참조하여, 이에 따라 구성된 도금 설비내의 일련의 범프 도금 공정들을 설명한다. 먼저, 도 5a에 도시된 바와 같이, 기판(W)의 표면 상의 공급층(feeding layer)으로서 시드층(500)이 침착되고, 예를 들어 약 20 내지 120㎛의 높이(H)를 갖는 레지스트(502)가 시드층(500)의 전체 표면 상에 도포된다. 그 후, 예를 들어 약 20 내지 200㎛의 직경(D1)을 갖는 개구부(502)가 레지스트(502)의 미리설정된 위치에 형성된다. 이에 따라 준비된 기판(W)들은, 기판들의 앞면들(도금될 표면들)이 위로 향하도록 한 상태에서 기판 카세트내에 하우징된다. 기판 카세트는 로딩/언로딩 포트(120)상에 장착된다.
제 1 이송 로봇(128)에 의해 로딩/언로딩 포트(120)상에 장착된 카세트로부터 기판(W)들 중 하나가 꺼내어지고 미리설정된 방향으로 기판의 노치 또는 방위 플랫을 정렬시키는 정렬기(122)상에 배치된다. 이에 따라 정렬된 기판(W)은 제 1 이송 로봇(128)에 의해 전처리 디바이스(126)로 이송된다. 전처리 디바이스(126)에서는, 전처리 액체로서 순수를 이용하는 전처리(헹굼 전처리)가 수행된다. 한편, 스토커(164)에 수직 상태로 저장된 2개의 기판 홀더(160)들은 제 2 이송 로봇(174a)에 의해 취해지며, 기판 홀더(160)들이 수평 상태가 되도록 90°회전된 후, 기판 부착/해제 스테이지(162)상에 평행하게 배치된다.
그 후, 상기에 언급된 전처리(헹굼 전처리)를 거친 기판(W)들은, 기판(W)들의 외주부들이 시일링도록 한 상태에서 기판 부착/해제 스테이지(162)상에 배치된 기판 홀더(160)들내에 로딩된다. 기판(W)들과 함께 로딩된 2개의 기판 홀더(160)들이 동시에 리테이닝되고 리프팅된 후, 제 2 이송 로봇(174a)에 의해 스토커로 이송된다. 기판 홀더(160)들은 90°회전되어 수직 상태로 되며, 2개의 기판 홀더(160)들이 스토커(164)내에 현가되는 방식으로 유지되도록(임시로 저장되도록) 하강된다. 상기의 작업은, 기판들이 스토커(164)내에 저장된 기판 홀더(160)들내에 순차적으로 로딩되고, 미리설정된 위치들에서 현가되는 방식으로 스토커(164)내에 순차적으로 유지(임시로 저장)되도록, 순차적인 방식으로 반복하여 수행된다.
한편, 기판들과 함께 로딩되고 스토커(164)내에 임시로 저장된 2개의 기판 홀더(160)들은 동시에 리테이닝되고, 리프팅된 후, 제 2 이송 로봇(174a)의 의해 활성화 전처리 디바이스(166)로 이송된다. 각각의 기판은 활성화 처리 탱크(183)내에 유지된 황산 또는 염산과 같은 화학액에 침지됨에 따라, 시드층의 표면 상에 형성된, 큰 저항을 갖는 산화막을 에칭하여 깨끗한 금속 표면을 노출시킨다. 기판들과 함께 로딩된 기판 홀더(160)들은 상술된 것과 같은 방식으로 제 1 헹굼 디바이스(168a)로 이송되어 헹굼 탱크(184a)들내에 유지된 순수로 기판들의 표면들이 헹궈진다.
헹궈진 기판들과 함께 로딩된 기판 홀더(160)들은 상술된 것과 같은 방식으로 도금 장치(170)로 이송된다. 각각의 기판(W)은, 기판(W)이 도금 탱크(186)내에 유지된 도금 용액(188)에 침지되도록 한 상태에서 도금 탱크(186)에 의해 현가되는 방식으로 지지됨에 따라, 기판(W)의 표면 상에 도금을 수행하게 된다. 미리설정된 시간 주기가 경과된 후, 기판들과 함께 로딩된 기판 홀더(160)들은 다시 리테이닝되며, 제 2 이송 로봇(174b)에 의해 도금 탱크(186)로부터 위로 당겨진다. 따라서, 도금 공정이 완료된다.
그 후, 기판 홀더(160)들은 상술된 것과 동일한 방식으로 제 2 헹굼 디바이스(168b)로 이송된다. 기판 홀더(160)들은 헹굼 탱크(184b)들내의 순수에 침지되어 기판들의 표면들이 순수로 세정된다. 그 후, 기판들과 함께 로딩된 기판 홀더(160)들은 상술된 것과 동일한 방식으로 블로잉 디바이스(172)에 이송된다. 블로잉 디바이스(172)에서는, 기판들 및 기판 홀더(160)들에 부착된 도금 용액 및 물방울들을 블로잉키도록 판들을 향해 불활성 가스 또는 공기가 분사된다. 그 후, 기판들과 함께 로딩된 기판 홀더(160)들은 스토커(164)내의 미리설정된 위치들로 복귀되고 상술된 것과 동일한 방식으로 현가된 상태에서 유지된다.
제 2 이송 로봇(174b)은 상기의 작업을 반복하여 순차적으로 실행하므로, 도금된 기판들과 함께 로딩된 기판 홀더(160)들은 스토커(164)내의 미리설정된 위치들로 순차적으로 복귀되며 현가된 상태에서 유지된다.
한편, 도금된 기판들과 함께 로딩된 2개의 기판 홀더(160)들은 상술된 것과 동일한 방식으로 제 2 이송 로봇(174a)에 의해 기판 부착/해제 스테이지(162)들상 에 동시에 리테이닝되고 배치된다.
세정 공간(114)내에 배치된 제 1 이송 로봇(128)은 기판 부착/해제 스테이지(162)상에 배치된 기판 홀더(160)들로부터 기판을 가져오고 세정/건조 디바이스(124)들 어느 하나로 기판을 이송한다. 세정/건조 디바이스(124)에서, 기판의 앞면이 위로 향하도록 수평 상태로 유지된 기판은, 순수 등으로 세정되고 고속으로 회전되어 기판을 스핀-건조시키게 된다. 그 후, 기판은 제 1 이송 로봇(128)에 의해 로딩/언로딩 포트(120)상에 장착된 기판 카세트로 복귀된다. 따라서, 일련의 도금 공정들이 완료된다. 그 결과로, 도 5b에 도시된 바와 같이, 도금막(504)이 레지스트(502)내에 형성된 개구부(502a)내에 성장된 기판(W)이 얻어질 수 있다.
상술된 바와 같이, 스핀-건조된 기판(W)은 예를 들어 50 내지 60℃의 온도에서 아세톤과 같은 용매(solvent)내에 침지되어 도 5c에 도시된 바와 같이 기판(W)으로부터 레지스트(502)를 제거한다. 또한, 도 5d에 도시된 바와 같이, 도금후 노출된 불필요한 시드층(502)이 제거된다. 다음, 기판(W)상에 형성된 도금막(504)은, 도 5e에 도시된 바와 같이 표면 인장으로 인해 둥근 형상을 갖는 범프(506)를 형성하도록 재유동(reflow)된다. 그 후, 기판(W)은, 예를 들어 100℃ 이상의 온도에서 어닐링되어 범프(506)내의 잔여 응력을 제거하게 된다.
이 실시예에 따르면, 도금 공간(116)내의 기판들의 전달은 도금 공간(116)내에 배치된 제 2 이송 로봇(174a, 174b)에 의해 수행되는 한편, 세정 공간(114)내의 기판들의 전달은 세정 공간(114)내에 배치된 제 1 이송 로봇(128)에 의해 수행된다. 따라서, 기판의 전처리, 도금 및 도금의 후처리를 포함하는 모든 도금 공정들 을 연속적인 방식으로 수행하는 도금 설비에 있어 기판 주위의 청정도(cleanliness)를 개선시킬 수 있고 도금 설비의 스루풋(throughput)을 증가시킬 수 있다. 또한, 도금 설비와 연관된 설비들상의 부하들을 감소시킬 수 있고 도금 설비의 크기를 감축시킬 수 있다.
본 실시예에서, 도금 공정을 수행하는 도금 장치(170)는 작은 풋프린트(footprint)로 된 도금 탱크(186)를 가진다. 그러므로, 다수의 도금 탱크(185)들을 갖는 도금 장치(170)의 크기가 작아질 수도 있으며 도금 플랜트(plating plant)에 부속된 설비 부하들이 보다 작게 만들어질 수도 있다. 도 1에서, 2개의 세정/건조 디바이스(124)들 중 하나는 전처리 디바이스로 대체될 수도 있다.
도 6은 본 발명의 또 다른 실시예에 따른 도금 장치(전기 도금 장치)를 도시하고 있다. 도 6에 도시된 도금 장치는, 중심 구멍(232a)과 0.5내지 10mm의 범위의 두께를 갖고 PVC, PP, PEEK, PES, HT-PVC, PFFA, PTFE, 또는 여타의 수지 물질들과 같은 유전 물질로 만들어진 조절판(232)이 기판(W)을 유지하고 도금 탱크(186)내의 미리설정된 위치내에 배치된 기판 홀더(160)와, 도금 용액 분사 노즐(222)들을 갖는 노즐 파이프(220) 사이에 배치된다는 점에서, 도 3 및 도 4에 도시된 도금 장치와 다르다. 도 6에 도시된 도금 장치의 다른 구조적 세부사항들은 도 3 및 도 4에 도시된 도금 장치의 세부사항들과 동일하다.
본 실시예에서, 중심 구멍(232a)을 갖는 조절판(232)은, 기판(W)의 외주 에지상에 성장된 도금막의 두께가 증가되는 것을 방지하도록 도금 탱크(186)내의 전위 분포를 조절한다.
도 7은 본 발명의 또 다른 실시예에 따른 도금 장치(전기 도금 장치)를 도시하고 있다. 도 7에 도시된 도금 장치는, 교반 날개(패들)가 아래로 현가되어 있는 교반 기구(236)가 기판(W)을 유지하고 도금 탱크(186)내의 미리설정된 위치에 배치된 기판 홀더(160)와 조절판(232) 사이에 배치되어 있다는 점에서, 도 6에 도시된 도금 장치와 다르다. 교반 기구(236)는 기판 홀더(160)에 의해 유지된 기판(W)에 대해 평행하게 교반 날개(234)를 왕복 운동시킴에 따라, 도금 용액(188)을 교반시키게 된다.
본 실시예에서, 교반 날개(234)는 교반 기구(236)에 의해 도금 용액(188)내의 기판(W)에 대해 평행하게 왕복 운동하여, 조절판(232)과 기판(W) 사이에 존재하는 도금 용액(188)을 교반시키므로, 기판(W)의 전체 표면에 걸친 기판(W)의 표면을 따라 도금 용액(188)의 유동을 보다 균일하게 하여, 기판(W)의 전체 표면에 걸쳐 보다 균일한 막 두께의 도금막을 형성하게 된다.
본 발명에 따르면, 교반 날개(234)는 기판(W)을 향하는 상기 날개쪽상에 요철부(234a)들을 가진다. 기판(W)을 향하는 상기 날개쪽상에 요철부(234a)들을 갖는 교반 날개(234)는, 교반 날개(234)가 왕복 운동하는 경우, 하기에 서술되는 실시예들과 마찬가지로, 도금 용액(188)내에 균일하고 전반적으로 많은 와류들을 생성할 수 있다. 따라서, 보다 양호한 막 두께 균일성을 갖는 도금막, 즉 기판(W)의 표면 상에서 보다 균일한 막 두께를 갖는 도금막을 형성하도록, 기판(W)의 (도금될) 표면과 접촉하는 도금 용액(188)의 유동이 보다 균일하고 효율적으로 적용된다.
도 8은 본 발명의 또 다른 실시예에 따른 도금 장치(전기 도금 장치)를 도시 한다. 도 8에 도시된 도금 장치는, 노즐 파이프(220)가 애노드(214)를 향하는 그 쪽 상에서 애노드(214)를 향해 도금 용액(188)을 분사시키는 복수의 도금 용액 분사 노즐(240)들을 가짐에 따라, 도금 용액 분사 노즐(24)들로부터 애노드(214)로 분사되는 도금 용액(188)의 물줄기들을 적용시키게 된다. 또한, 도금 용액의 분사된 물줄기들이 애노드(214)에 적용되기 때문에, 애노드(214)가 용해되는 속도는 도금 속도의 증가와 동등한 속도로 애노드(214)를 용해시킬 수 있도록 증가된다.
상기의 실시예들에서, 노즐 파이프(220)는 고정판(224)에 의해 도금 탱크(186)내에 고정적으로 장착된다. 하지만, 노즐 파이프는 기판 홀더(160)에 의해 유지된 기판(W)에 대해, 앞쪽 및 뒷쪽 방향으로, 왼쪽 및 오른쪽 방향으로, 또는 윗쪽 및 아래쪽 방향으로, 또는 이들 방향들의 조합으로 이동될 수 있다. 노즐 파이프는 기판의 표면에 대해 평행한 평면내의 원형 패턴내에 이동될 수도 있거나, 도 9에 도시된 바와 같이 노즐 파이프(220)는 스위블링 동작을 행할 수도 있다. 이는 도금막의 막 두께의 균일성을 더욱 증가시킬 수 있다. 이는 하기에 서술될 실시예들의 각각에 대해 동일하게 적용된다.
도 10 내지 도 12는 본 발명의 또 다른 실시예에 따른 도금 장치를 도시하고 있다. 본 실시예에 따르면, 본 발명의 원리들은 아래로 향하는 기판과 함께 유지되는 기판(W)의 표면(도금될 표면)상에 무전해 도금을 수행하는 무전에 도금 장치에 적용된다.
무전해 도금 장치는 도금 용액(무전해 도금 용액)을 유지하는 상향 개방된 도금 탱크(302) 및 표면(도금될 표면)이 아래로 향한 채로 기판(W)을 수평으로 해 제가능하게 유지하는 수직으로 이동가능한 기판 홀더(304)를 가진다. 오버플로우 탱크(306)는 도금 탱크(302)의 상부 주위에 배치되며 도금 용액 배출 라인(308)에 연결된다. 도금 용액 공급 라인(310)에 연결된 노즐 파이프(312)는, 상기 노즐 파이프(312)가 도금 탱크(302)내에 유지된 도금 용액(300)에 침지된 도금 탱크(302)내의 위치에 수평으로 배치된다. 노즐 파이프(312)는 상기 노즐 파이프(312)의 원주 방향으로 이격된 각자의 미리설정된 위치들내의 미리설정된 피치에 위치된 복수의 도금 용액 분사 노즐(314)들을 가진다. 도금 용액 배출 라인(308) 및 도금 용액 공급 라인(310)은, 상기에 서술된 실시예들과 마찬가지로 도금 용액 순환 라인과 서로 연결된다.
도금 용액 분사 노즐(314)들은, 상기 노즐들이 도금 용액(300)을 (중심을 향해) 위쪽으로 또한 안쪽으로 분사하도록 방위가 잡혀지며, 도금 용액 분사 노즐(314)들로부터 분사된 도금 용액(300)의 물줄기들은 기판(W)의 하부면의 실질적으로 중심 영역의 앞에서 서로 결합된다.
본 실시예에서, 도금 용액(300)은 도금 용액 분사 노즐(314)들로부터 기판 홀더(304)에 의해 유지된 기판(W)쪽으로 분사되고, 도금 탱크(302)의 상단부에 있는 개구부와 근접한 위치내에 배치되며, 필요하다면 회전되고, 도금 탱크(302)로 공급되고 순환되어 무전해 도금을 수행하게 된다. 본 실시예에서, 도금 용액 분사 노즐(314)들로부터 분사된 도금 용액(300)은 강한 물줄기들로서 기판(W)의 (도금될) 표면에 적용됨에 따라, 도금막의 품질을 저하시키지 않고 도금 속도를 증가시킨다. 또한, 기판(W)의 표면 상에 형성된 도금막의 막 두께의 균일성은 기판(W)의 표면 주위의 도금 용액(300)의 보다 균일한 유동을 제공하기 위해 조정들을 행함으로써 증가될 수 있다.
도 13에 도시된 바와 같이, 각자의 도금 용액 분사 노즐(314)들을 갖는 세그먼트(segment; 316)들은 링형상의 패턴내의 결합부(318)들에 의해 상호연결될 수 있으므로, 노즐 파이프(312)를 제공하게 된다. 이 구성을 이용하면, 노즐 파이프(312)가 편리하게 제작될 수 있다. 이는 상기의 실시예들 및 하기에 서술될 실시예들에 대해 동일하게 적용될 수 있다.
도 14는 본 발명의 또 다른 실시예에 따른 도금 장치를 도시하고 있다. 본 발명에 따르면, 본 발명의 원리들은 표면이 아래쪽으로 향해 있는 기판과 함께 유지된 기판(W)의 표면(도금될 표면)상에 전기 도금을 수행하는 전기 도금 장치에 적용된다. 도 14에 도시된 도금 장치는, 도금 용액(300)으로서 전기 도금 용액이 사용되고 평탄한 판의 형태로 된 애노드(320)가 노즐 파이프(312) 아래의 도금 탱크(302)의 저부에 배치되어 있다는 점에서 도 10 내지 도 12에 도시된 도금 장치와 다르다. 도금 용액 분사 노즐(314)들은 기판(W)의 표면 쪽으로 도금 용액(300)을 분사시켜 도금 탱크(302)내에 도금 용액(300)을 공급하고 도금 용액(300)을 순환시킨다. 이와 동시에, 애노드(320)는 도전체(322a)를 통해 도금 전원 장치(324)에 연결되고, 기판(W)은 도전체(322b)를 통해 도금 전원 장치(324)의 캐소드에 연결됨에 따라 도금(전기 도금) 공정을 수행하게 된다.
상기의 실시예들에서, 도금될 작업물인 기판(W)은 원형으로 되어 있으며, 노즐 파이프(220, 312)들은 기판(W)의 외측 프로파일을 따라 연장된 원형의 링형상으 로 되어 있다. 도 15에 도시된 바와 같이, 직사각형 기판 등이 도금될 작업물로서 채택되는 경우, 도금 용액 분사 노즐(340)들을 갖는 직사각형 링형상이 각자의 4개의 코너들상에 배치되고 미리설정된 방향으로 방위가 잡혀진 노즐 파이프(342)가 채택될 수도 있다. 노즐 파이프(342)는 직사각형 기판의 전체 표면에 걸쳐 보다 균일한 도금 용액 유동을 형성할 수 있다.
본 발명에 따르면, 상술된 바와 같이, 기판의 표면 상의 전위 분포가 외란되는 것을 방지하면서 도금 용액내의 이온들이 기판의 (도금될) 표면에 효율적으로 공급될 수 있으므로, 도금막의 품질을 저하시키지 않고 도금 속도가 증가될 수 있다. 또한, 도금될 표면 근처의 도금 용액의 보다 균일한 유동을 제공하도록 도금 용액 분사 노즐들로부터 분사된 도금 용액의 방향 및 유속을 조정함으로써, 도금막의 막 두께의 균일성이 증가될 수 있다.
도 16은 본 발명의 또 다른 실시예에 따른 도금 장치(전기 도금 장치)를 도시하고 있다. 도 16에 도시된 바와 같이, 도금 장치(610)는 기판 홀더(612)에 의해 유지된 기판(W) 및 애노드 홀더(614)에 의해 유지된 애노드(615)가 서로에 대해 마주하여 서로 평행하게 수직으로 배치되는 도금 용액을 유지하는 도금 탱크(61)를 가진다. 기판(W)은 도전체(616)를 통해 도금 전원 장치(617)의 캐소드에 연결되고 애노드(615)는 도전체(618)를 통해 도금 전원 장치(617)의 애노드로 연결된다.
도금 용액을 교반시키는 교반 날개(619)를 갖는 교반 기구(620)는 기판(W)과 애노드(615) 사이에 배치된다. 교반 날개(619)는 상부로부터 도금 탱크(611)의 저부쪽으로 실질적으로 수직으로 연장된다. 교반 기구(620)는 기판(W)에 대해 평행한 방향들로 교반 날개(619)를 왕복 운동시킨다. 교반 날개(619)는 기판(W)을 향하는 그 한 쪽상에 연속한 삼각형 날의 형태로 된 톱니형 요철부(619a)들을 가지는 판을 포함한다. 도금 탱크(611)는 상기 도금 탱크(611) 안으로 도금 용액을 공급하는 도금 용액 공급 포트(621) 및 상기 도금 탱크(611)로부터 도금 용액을 배출시키는 도금 용액 배출 포트(622)를 가진다.
본 실시예에서, 기판(W)을 향하는 한 쪽상에 톱니형 요철부(619a)들을 갖는 교반 날개(619)가 교반 기구(620)에 의해 기판(W)에 대해 평행한 방향들로 왕복 운동하는 경우, 도금 용액내에는 많은 와류들이 균일하고 전반적으로 생성된다. 따라서, 기판(W)의 (도금될) 표면과 접촉하는 도금 용액의 유동이 보다 균일하고 효율적으로 적용되어, 보다 양호한 막 두께 균일성을 갖는 도금막, 즉 기판(W)의 표면 상에 보다 균일한 막 두께를 갖는 도금막을 형성하게 된다.
상기 실시예에서, 연속한 삼각형 날의 형태로된 톱니형 요철부들이 도 17a에 도시된 바와 같이 기판(W)을 향하는 교반 날개(619)의 측면상에 배치된다. 하지만, 톱니형 요철부들은 도 17a에 도시된 것들로 제한되지 않으며, 도 17b에 도시된 바와 같은 연속한 직사각형 날의 형태로 된 톱니형 요철부(619b)들이거나, 도 17c에 도시된 바와 같이 미리설정된 간격들로 형성된 다수의 좁은 홈들의 형태로 된 요철부(619c)들일 수도 있다. 교반 날개(619)가 그 한쪽상에 연속한 삼각형 날의 형태로 된 톱니형 요철부(619b)들, 연속한 직사각형 날의 형태로 된 톱니형 요철부(619b)들 또는 미리설정된 간격들로 형성된 다수의 좁은 홈들로 구성된 요철부(619c)들을 가지기 때문에, 교반 날개(619)의 왕복 운동으로 인한 도금 용액의 유동은 도금 용액내에 많은 와류들을 균일하고 전반적으로 생성하게 된다. 기판(W)과 접촉하는 도금 용액의 유동이 보다 균일하고 효율적으로 적용되기 때문에, 기판(W)의 표면 상의 보다 양호한 막 두께 균일성을 갖는 도금막을 형성할 수 있다.
도 18은 본 발명의 또 다른 실시예에 따른 도금 장치(전기 도금 장치)를 도시하고 있다. 도 17에 도시된 것들과 동일한 참조 부호로 표시된 도 18에 도시된 도금 장치의 이들 부분들은 도 17에 도시된 이들 부분들과 동일하거나 그에 대응한다. 이 원리는 다른 도면들에 적용된다. 도 18에 도시된 바와 같이, 도금 장치(610)의 교반 기구(620)는 복수의(도 18에는 2개) 교반 날개들을 가지며, 그 각각은 기판(W)을 향하는 그 한 측면상에 톱니형 요철부(619a)들을 가진다. 교반 기구(620)의 교반 날개(619)들이 기판(W)에 대해 평행하게 왕복 운동하는 경우, 도금 용액내에는 많은 와류들이 균일하고 전반적으로 생성되게 된다. 따라서, 기판(W)과 접촉하는 도금 용액의 유동이 보다 균일하고 효율적으로 적용되어, 기판(W)의 표면(도금될 표면)상에 보다 양호한 막 두께 균일성을 갖는 도금막을 형성하게 된다. 교반 날개(619)들의 각각은 도 17a 내지 도 17c에 도시된 요철부(619a, 619b, 619c) 중 어느 하나를 가질 수도 있다.
도 19a는 또 다른 교반 기구의 평면도이고, 도 19b는 다른 교반 기구의 정면도이다. 도 19a 및 도 19b에 도시된 바와 같이, 교반 기구(620)는 각자의 독립적인 구동 기구(623)들에 의해 작동가능한 복수의(도 19a 및 도 19b에서는 2개) 교반 날개(619)들을 가진다. 구동 기구(623)들의 각각은 구동 모터(623-1), 크랭크(crank; 623-2), 안내 부재(623-3), 구동 샤프트(623-4) 및 베어링(623-5)을 포함한다. 교 반 날개(619)는 구동 샤프트(623-4)의 말단부상에 장착된다. 도 16에 도시된 바와 같이, 교반 날개(619)들은 도금 탱크의 상부로부터 저부쪽으로 실질적으로 수직으로 연장된다.
구동 모터(623-1)가 화살표(A)로 표시된 바와 같이 회전하도록 동력이 가해지는(energized) 경우, 구동 모터(623-1)의 구동 샤프트에 커플링된 단부를 갖는 크랭크(623-2)는 안내 부재(623-3) 내에 형성된 안내 홈(623-3a)을 따라 왕복 운동하는 그 다른 단부를 가진다. 크랭크(623-2)의 다른 단부에 연결되고 베어링(623-5)에 의해 지지되는 구동 샤프트(623-4)는 화살표(B)로 표시된 바와 같이 왕복 운동함에 따라, 구동 샤프트(623-4)의 말단부상에 장착된 교반 날개(619)를 왕복 운동시키게 된다.
상술된 바와 같이, 교반 기구(620)는 각자의 독립적인 구동 기구(623)에 의해 작동가능한 복수의(도 19a 및 도 19b에서는 2개) 교반 날개(619)들을 가진다. 교반 날개(619)들이 각자의 독립적인 구동 기구(623)에 의해 작동되는 경우, 기판(W)의 (도금될) 표면 상의 보다 양호한 막 두께를 갖는 도금막을 형성하도록 도금 용액의 교반 분포가 조정될 수 있다.
도 19a 및 도 19b에 도시된 교반 기구(620)의 구동 기구(623)상에 장착된 교반 날개(619)들은 서로 동일한 형상을 가진다. 하지만, 교반 날개들은 상이한 형상을 가질 수도 있다. 보다 상세하게는, 도 20a 및 도 도 20b는 서로 실질적으로 같은 길이를 가지고 각자의 독립적인 구동 기구(623)들에 의해 작동가능한 수직으로 연장된 교반 날개(624, 625)들을 갖는 교반 기구를 도시하고 있다. 교반 날개(624, 625)들은 상기 교반 날개(624, 625)들의 교반 표면들을 서로 정렬시키도록 서로 정렬되는 그들의 한쪽상에 각자의 선단부(tip end; 624a, 624b)들을 가지므로, 상기 교반 날개(624, 625)들은 수직으로 상이한 영역들내의 도금 용액을 교반시킬 수 있게 된다. 도 21a 및 도 21b는 각각 상부 및 하부 위치에 배치되고 각자의 독립적인 구동 기구(623)들에 의해 왕복 운동하는 보다 긴 교반 날개(632) 및 보다 짧은 교반 날개(634)를 갖는 교반 기구를 도시하고 있다. 교반 날개(632, 634)들은 상기 교반 날개(624, 625)들의 교반 표면들을 서로 정렬시키도록 서로 정렬되는 그들의 한쪽상에 각자의 선단부(624a, 624b)들을 가지므로, 상기 교반 날개(624, 625)들은 수직으로 상이한 영역들내의 도금 용액을 교반시킬 수 있게 된다. 따라서, 상이한 형상들을 갖는 교반 날개들을 선택적으로 이용하면, 기판(W)의 표면 상에 보다 양호한 균일성을 갖는 도금막을 형성하도록 도금 용액의 교반 분포가 조정될 수 있다.
도 22는 또 다른 교반 날개를 도시하고 있다. 도 22에 도시된 바와 같이, 교반 날개(626)는 교반 날개(626)의 각도를 변경시킬 수 있도록 각도에 순응적으로 이동가능한(angularly movable) 회전 샤프트(627)상에 장착된다. 도 23 및 도 24에 도시된 바와 같이, 예를 들어 이러한 복수의 교반 날개(626)들은 왕복 이동가능한 구동 기구(예컨대, 도 19a 및 도 19b에 도시된 구동 기구(623))상에 장착된다. 교반 날개(626)들은 도 23에 도시된 화살표(D) 또는 도 24에 도시된 화살표(C)로 표시된 바와 같이 기판(W)의 (도금될) 표면(Wa)에 대해 평행하게 왕복 운동하고, 교반 날개(626)들이 운동하는 방향이 변경됨에 따라 기판(W)에 대해 교반 날개(626) 의 각도를 변경시키기 때문에, 회전 샤프트(627)들이 각도에 순응적으로 이동된다.
상술된 바와 같이, 도 22에 도시된 교반 날개(626)가 교반 기구의 교반 날개들로서 사용되고 교반 날개(626)들이 이동되는 방향이 변경됨에 따라 기판(W)에 대한 교반 날개(626)들의 각도가 변경되는 경우, 도 23 및 도 24에 도시된 바와 같이, 도금 용액내에는 도 23에 도시된 화살표(F) 또는 도 24에 도시된 화살표(E)로 표시된 바와 같은 유동이 유도된다. 따라서, 교반 날개(626)들의 동작에 의해 생성된 도금 용액의 유동은 균일하고 전반적으로 생성되며, 도금 용액을 기판(W)의 도금될 표면에 균일하고 효율적으로 적용시킴에 따라, 기판(W)의 표면 상에 보다 양호한 막 두께 균일성을 갖는 도금막을 형성하게 된다. 특히, 복수의 교반 날개(626)들이 채택되기 때문에, 도금 용액의 유동은 기판(W)의 표면(Wa) 근처에서 보다 균일하고 전반적으로 생성됨에 따라, 기판(W)의 표면(Wa)상에 보다 양호한 막 두께 균일성을 갖는 도금막을 형성하게 된다.
도 25는 본 발명의 또 다른 실시예에 따른 도금 장치(전기 도금 장치)를 도시하고 있다. 도 25에 도시된 바와 같이, 도금 장치는 각자의 교반 날개(628)들을 가지며 도금 탱크 내에서 서로에 대해 마주하여 배치된 기판(W)과 애노드(615) 사이에 배치된 2개의 마주하는 교반 기구(629, 630)들을 가진다. 교반 기구들 중 하나(629)는 기판(W)에 근접하게 배치되고, 다른 하나의 교반 기구(630)는 애노드(615)에 근접하게 배치된다. 기판(W)과 애노드(615) 양자 모두에 접촉하는 도금 용액의 유동은, 교반 기구(629)의 교반 날개(628)(제 1 교반 날개) 및 교반 기구(630)의 교반 날개(628)(제 2 교반 날개)에 의해 보다 균일하고 효율적으로 적용됨 에 따라, 기판(W)의 표면 상의 보다 양호한 균일성을 갖는 도금막을 형성하게 된다.
도 25에 도시된 도금 장치에서, 교반 기구(629, 630)들의 교반 날개(628, 628)들에는 기판(W) 및 애노드(615)를 향하는 그들 측면들상에 요철부들이 없다. 하지만, 도 26에 도시된 바와 같이, 기판(W) 및 애노드(615)를 향하는 그들의 한쪽상에 도 17a에 도시된 바와 같은 연속한 삼각형 날의 형태로 된 톱니형 요철부(619a)들을 갖는 교반 날개(619)들이 교반 기구(629, 630)들상에 장착될 수도 있다. 대안적으로, 교반 날개(619)들의 각각은 도 17b에 도시된 바와 같은 연속한 직사각형 날의 형태로 된 톱니형 요철부(619b), 또는 도 17c에 도시된 바와 같은 미리설정된 간격들로 형성된 다수의 좁은 홈들의 형태로 된 요철부(619c)들을 가질 수도 있다.
도 25 및 도 26에 도시된 도금 장치에서, 교반 기구(629, 630)들은 도 25에 도시된 화살표(G)들로 표시된 바와 같이 서로 일치하여 또는 서로 따로 왕복 운동한다.
본 발명의 실시예들이 상기에 서술되었지만, 본 발명은 상기의 실시예들로 제한되는 것이 아니라, 상세한 설명 및 도면들, 및 청구항들의 범위내에 서술된 기술적 개념의 범위내에서 다양한 변형예 및 수정예가 행해질 수도 있다. 상세한 설명 및 도면들에 직접 설명되지 않은 여하한의 구성들, 구조들, 및 물질들은, 그들이 작동되고 본 발명에 따른 장점들을 제공하는한 본 발명의 기술적 개념의 범위내에 포함된다.
본 발명은 기판의 도면을 도금하는 도금 장치에 관한 것으로, 보다 상세하게는 반도체 웨이퍼의 표면내에 형성된 트렌치들, 비아 홀들 또는 레지스트 개구부들에 도금막을 형성하고, 패키지의 전극들에 전기적으로 연결될 범프들을 반도체 웨이퍼의 기판 상에 형성하는데 사용되는 도금 장치에 관한 것이다.

Claims (21)

  1. 전기 도금 장치에 있어서,
    도금 용액을 유지하는 도금 탱크;
    상기 도금 탱크 내에 배치되어, 상기 도금 탱크 내에 유지된 상기 도금 용액에 침지되는 애노드;
    작업물을 유지시키고 상기 도금 탱크 내에 유지된 도금 용액과 상기 작업물의 도금될 표면을 접촉시키는 홀더;
    그 안에 개구(opening)를 가지고, 상기 도금 탱크의 내부를, 애노드를 수용하는 애노드 구획과 상기 홀더에 의해 유지되는 상기 작업물을 수용하는 작업물 구획으로 나누도록 배치된 고정판; 및
    상기 도금 탱크 내에 유지된 상기 도금 용액 내에 침지되도록 상기 고정판에 고정되고, 상기 작업물의 외측 프로파일(outer profile)을 따라 연장되도록 형성되고, 상기 도금 탱크 내에 상기 도금 용액을 공급하도록 상기 홀더에 의해 유지된 상기 작업물의 도금될 표면 쪽으로 상기 도금 용액을 분사시키는 복수의 도금 용액 분사 노즐들을 갖는 링형상의 노즐 파이프를 포함하는 전기 도금 장치.
  2. 제1항에 있어서,
    상기 도금 용액 분사 노즐들로부터 분사된 도금 용액의 물줄기들은, 상기 홀더에 의해 유지된 상기 작업물의 도금될 표면의 실질적으로 중심 영역 상에서 또는 상기 중심 영역 앞에서 서로 결합되는 전기 도금 장치.
  3. 제1항에 있어서,
    상기 도금 탱크 내에 도금 용액을 공급하도록 상기 애노드 쪽으로 상기 도금 용액을 분사시키는 도금 용액 분사 노즐을 더 포함하는 전기 도금 장치.
  4. 제1항에 있어서,
    상기 노즐 파이프는 상기 홀더에 의해 유지된 상기 작업물에 대해 상대적으로 이동가능한 전기 도금 장치.
  5. 제1항에 있어서,
    상기 노즐 파이프 및 상기 도금 용액 분사 노즐들 중 하나 이상은 전기 절연 물질로 만들어지는 전기 도금 장치.
  6. 제1항에 있어서,
    상기 도금 탱크 내의 도금 용액에 침지되는 교반 날개를 가지고, 작업물의 상기 도금될 표면을 향하는 위치에 배치되는 교반 기구;를 더 포함하고, 상기 교반 날개는 상기 도금 용액을 교반시키도록 상기 작업물의 도금될 표면에 대해 평행하게 왕복 운동하며;
    상기 교반 날개는 1 이상의 측면 상에 요철부들을 가지며, 상기 요철부들은 삼각형 또는 직사각형의 톱니형 요철부들의 연속, 또는 미리 설정된 간격들로 형성된 다수의 좁은 홈들을 포함하는 전기 도금 장치.
  7. 제6항에 있어서,
    상기 요철부들이 제공된 상기 교반 날개의 측면은, 상기 작업물의 도금될 표면을 향하는 전기 도금 장치.
  8. 제6항에 있어서,
    상기 교반 기구는 복수의 교반 날개들을 가지는 전기 도금 장치.
  9. 제1항에 있어서,
    상기 도금 탱크 내의 도금 용액에 교반 날개가 침지되어 상기 도금 용액을 교반시키는 교반 기구를 더 포함하며,
    상기 교반 날개는 각자의 독립적인 구동 기구들에 의해 작동가능한 복수의 교반 날개들을 포함하는 전기 도금 장치.
  10. 제9항에 있어서,
    상기 교반 날개들은 서로 상이한 형상을 가지는 전기 도금 장치.
  11. 제9항에 있어서,
    상기 교반 날개들은 작업물의 도금될 표면에 대해 평행한 방향들로 왕복 운동하는 전기 도금 장치.
  12. 제1항에 있어서,
    상기 도금 탱크 내의 도금 용액에 침지되는 교반 날개를 가지고, 상기 작업물의 도금될 표면을 향하는 위치에 배치되는 교반 기구;를 더 포함하고, 상기 교반 날개는 상기 도금 용액을 교반시키도록 상기 작업물의 도금될 표면에 대해 평행하게 왕복 운동하며;
    상기 교반 날개는 상기 작업물의 도금될 표면에 대해 각도를 가지되, 상기 각도는 상기 교반 날개가 이동되는 방향이 변화됨에 따라 변동가능한 전기 도금 장치.
  13. 제12항에 있어서,
    상기 교반 기구는 복수의 교반 날개들을 가지는 전기 도금 장치.
  14. 제1항에 있어서,
    상기 도금 탱크 내의 상기 도금 용액을 교반시키는 교반 기구를 더 포함하며,
    상기 교반 기구는 상기 작업물의 도금될 표면과 근접하여 배치된 제 1 교반 날개 및 상기 애노드에 근접하여 배치된 제 2 교반 날개를 가지는 전기 도금 장치.
  15. 제14항에 있어서,
    상기 제 1 교반 날개는 상기 작업물의 도금될 표면에 대해 평행하게 왕복 운동하고, 상기 제 2 교반 날개는 상기 작업물의 도금될 표면을 향하는 상기 애노드의 표면에 대해 평행하게 왕복 운동하는 전기 도금 장치.
  16. 제1항에 있어서,
    상기 작업물은 원형의 외측 프로파일을 가지며, 상기 고정판의 상기 개구는 상기 노즐 파이프의 내부 직경과 실질적으로 동일하거나, 또는 상기 노즐 파이프의 내부 직경보다 약간 작은 크기를 가지는 전기 도금 장치.
  17. 제1항에 있어서,
    중심 구멍을 가지고, 상기 고정판과 상기 홀더에 의해 유지된 상기 작업물 사이의 상기 도금 탱크 내에 배치되는 조절판을 더 포함하는 전기 도금 장치.
  18. 제1항에 있어서,
    상기 고정판과 상기 홀더에 의해 유지된 상기 작업물 사이의 상기 도금 탱크 내에 있는 교반 날개를 더 포함하며, 상기 교반 날개는 상기 도금 탱크 내에 유지된 상기 도금 용액을 교반시키도록 상기 작업물의 도금될 표면에 대해 평행하게 왕복 운동하도록 구성된 전기 도금 장치.
  19. 삭제
  20. 삭제
  21. 삭제
KR1020057016780A 2003-03-11 2004-03-09 전기 도금 장치 KR101058917B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
JP2003065476 2003-03-11
JPJP-P-2003-00065476 2003-03-11
JP2003208315 2003-08-21
JPJP-P-2003-00208315 2003-08-21
PCT/JP2004/003040 WO2004081261A2 (en) 2003-03-11 2004-03-09 Plating apparatus

Publications (2)

Publication Number Publication Date
KR20050114226A KR20050114226A (ko) 2005-12-05
KR101058917B1 true KR101058917B1 (ko) 2011-08-23

Family

ID=32992950

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020057016780A KR101058917B1 (ko) 2003-03-11 2004-03-09 전기 도금 장치

Country Status (7)

Country Link
US (2) US7875158B2 (ko)
EP (1) EP1602127A2 (ko)
JP (2) JP4805141B2 (ko)
KR (1) KR101058917B1 (ko)
CN (1) CN101812711B (ko)
TW (2) TWI341875B (ko)
WO (1) WO2004081261A2 (ko)

Families Citing this family (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070117365A1 (en) 2003-10-02 2007-05-24 Ebara Corporation Plating method and apparatus
KR101146525B1 (ko) * 2005-06-30 2012-05-25 엘지디스플레이 주식회사 기판 고정 지그 및 그 제조방법
NL1032540C2 (nl) * 2006-09-19 2008-03-20 Meco Equip Eng Inrichting voor het elektrolytisch neerslaan van materiaal op een plaatvormig substraat.
JP4684979B2 (ja) * 2006-10-19 2011-05-18 本田技研工業株式会社 メッキ装置
JP2008121062A (ja) * 2006-11-10 2008-05-29 Ebara Corp めっき装置及びめっき方法
DE102007026633B4 (de) * 2007-06-06 2009-04-02 Atotech Deutschland Gmbh Vorrichtung und Verfahren zum elektrolytischen Behandeln von plattenförmiger Ware
DE102007026635B4 (de) * 2007-06-06 2010-07-29 Atotech Deutschland Gmbh Vorrichtung zum nasschemischen Behandeln von Ware, Verwendung eines Strömungsorgans, Verfahren zum Einbauen eines Strömungsorgans in die Vorrichtung sowie Verfahren zur Herstellung einer nasschemisch behandelten Ware
JP4942580B2 (ja) * 2007-08-20 2012-05-30 株式会社荏原製作所 アノードホルダ用通電ベルトおよびアノードホルダ
US8784636B2 (en) * 2007-12-04 2014-07-22 Ebara Corporation Plating apparatus and plating method
US8177944B2 (en) * 2007-12-04 2012-05-15 Ebara Corporation Plating apparatus and plating method
JP4547016B2 (ja) * 2008-04-04 2010-09-22 東京エレクトロン株式会社 半導体製造装置、半導体製造方法
JP5155755B2 (ja) * 2008-07-10 2013-03-06 株式会社荏原製作所 磁性体膜めっき装置及びめっき処理設備
US9017560B2 (en) * 2009-05-29 2015-04-28 Holger Blum Method and device for treating ballast water with acrolein
WO2011103214A1 (en) * 2010-02-16 2011-08-25 Cypress Semiconductor Corporation Integrated shielding for wafer plating
US20110284385A1 (en) * 2010-05-21 2011-11-24 Pioneer Metal Finishing Method and Apparatus For Anodizing Objects
KR101693217B1 (ko) * 2010-07-20 2017-01-05 주식회사 케이엠더블유 전기도금 장치
US9222194B2 (en) * 2010-08-19 2015-12-29 International Business Machines Corporation Rinsing and drying for electrochemical processing
KR101153537B1 (ko) * 2010-09-10 2012-06-11 삼성전기주식회사 인쇄회로기판 도금 장치
JP6092653B2 (ja) * 2012-02-27 2017-03-08 株式会社荏原製作所 基板洗浄装置及び洗浄方法
JP5788349B2 (ja) * 2012-03-19 2015-09-30 東京エレクトロン株式会社 めっき処理装置、めっき処理方法および記憶媒体
CN102660764B (zh) * 2012-05-25 2014-07-16 深圳顺络电子股份有限公司 一种镀篮内阴极
US8920616B2 (en) * 2012-06-18 2014-12-30 Headway Technologies, Inc. Paddle for electroplating for selectively depositing greater thickness
CN104379819B (zh) 2012-07-02 2016-10-26 新日铁住金株式会社 电镀装置
ES2772808T3 (es) * 2014-03-11 2020-07-08 Qualital Servizi S R L Instalación y procedimiento para el tratamiento de anodización de productos fabricados de aluminio o aleaciones del mismo
EP3144417B1 (en) 2014-05-12 2019-09-18 Yamamoto-ms Co., Ltd. Plating apparatus and container bath
JP6411943B2 (ja) * 2014-05-26 2018-10-24 株式会社荏原製作所 基板電解処理装置、および該基板電解処理装置に使用されるパドル
GB2564894B (en) * 2017-07-27 2021-11-24 Semsysco Gmbh System for chemical and/or electrolytic surface treatment
JP6995544B2 (ja) 2017-09-20 2022-01-14 上村工業株式会社 表面処理装置および表面処理方法
CN111247272A (zh) * 2017-10-20 2020-06-05 Almex Pe 株式会社 表面处理装置
JP6966958B2 (ja) * 2018-03-01 2021-11-17 株式会社荏原製作所 めっき液を撹拌するために用いるパドルおよびパドルを備えるめっき装置
JP6790016B2 (ja) * 2018-04-10 2020-11-25 上村工業株式会社 表面処理装置、表面処理方法及びパドル
JP6895927B2 (ja) * 2018-05-28 2021-06-30 三菱電機株式会社 半導体装置の製造装置および半導体装置の製造方法
KR102159043B1 (ko) * 2018-07-05 2020-09-23 주식회사 테토스 웨이퍼 도금 시스템
US11136688B1 (en) * 2018-08-08 2021-10-05 University Of Louisville Research Foundation, Inc. Use of electropolishing for uniform surface treatment of metal components with complex external geometries
CN110888305A (zh) * 2018-09-07 2020-03-17 王彦智 高阶负型光阻剥膜槽
JP7034880B2 (ja) * 2018-10-05 2022-03-14 株式会社荏原製作所 洗浄装置、これを備えためっき装置、及び洗浄方法
US10865496B2 (en) * 2018-10-30 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Plating apparatus and plating method
CN210176983U (zh) * 2019-03-22 2020-03-24 Pyxis Cf私人有限公司 电镀设备
JP7169939B2 (ja) * 2019-05-27 2022-11-11 株式会社荏原製作所 湿式基板処理装置
JP7383441B2 (ja) * 2019-10-07 2023-11-20 上村工業株式会社 表面処理装置、表面処理方法及びパドル
CN211479988U (zh) * 2019-10-14 2020-09-11 Pyxis Cf私人有限公司 湿法处理设备
CN112442725B (zh) * 2020-11-27 2024-05-24 京东方科技集团股份有限公司 电化学沉积设备组和电化学沉积方法
KR102528900B1 (ko) * 2020-11-30 2023-05-04 주식회사 호진플라텍 도금액 순환, 도금액 교반 및 기포 제거를 동시에 수행하는 하이브리드 패들을 포함하는 기판용 도금장치
JP2022111687A (ja) * 2021-01-20 2022-08-01 株式会社荏原製作所 めっき装置における短絡検知方法、めっき装置の制御方法、およびめっき装置
TWI784691B (zh) * 2021-08-27 2022-11-21 台灣先進系統股份有限公司 水平式電鍍系統
CN113798247B (zh) * 2021-09-28 2023-05-12 京东方科技集团股份有限公司 镀后清洗风干机构、***、方法及电化学沉积设备
TWI813129B (zh) * 2022-01-06 2023-08-21 日月光半導體製造股份有限公司 化學鍍槽、化學鍍系統及化學鍍方法
CN116497302B (zh) * 2023-06-21 2023-11-24 山东华辉通信科技有限公司 一种通讯铁塔加工防锈用镀锌设备

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020153246A1 (en) 1998-07-09 2002-10-24 Hui Wang Method and apparatus for electropolishing metal interconnections on semiconductor devices

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS53119227A (en) * 1977-03-28 1978-10-18 Sankuesuto Kk Plating method
JPS592116Y2 (ja) * 1979-09-05 1984-01-20 松下電器産業株式会社 メッキ装置
JPS59208092A (ja) * 1983-05-11 1984-11-26 Hitachi Ltd 貴金属メツキ法
JPS61270889A (ja) * 1985-05-25 1986-12-01 三菱電機株式会社 めつき装置
JPH05331679A (ja) * 1992-06-01 1993-12-14 Sumitomo Metal Ind Ltd めっき装置
JPH0754189A (ja) * 1993-08-12 1995-02-28 Matsushita Electric Ind Co Ltd 電気メッキ装置
US5421987A (en) * 1993-08-30 1995-06-06 Tzanavaras; George Precision high rate electroplating cell and method
JPH07210823A (ja) 1994-01-10 1995-08-11 Fuji Elelctrochem Co Ltd 薄膜磁気ヘッドの磁極形成方法
US5683564A (en) * 1996-10-15 1997-11-04 Reynolds Tech Fabricators Inc. Plating cell and plating method with fluid wiper
JPH10317199A (ja) * 1997-05-20 1998-12-02 Hitachi Metals Ltd めっき方法およびめっき装置
JPH11181590A (ja) * 1997-12-17 1999-07-06 Hitachi Ltd 電解めっき方法および装置
US6071388A (en) * 1998-05-29 2000-06-06 International Business Machines Corporation Electroplating workpiece fixture having liquid gap spacer
KR100773165B1 (ko) 1999-12-24 2007-11-02 가부시키가이샤 에바라 세이사꾸쇼 반도체기판처리장치 및 처리방법
US6547937B1 (en) * 2000-01-03 2003-04-15 Semitool, Inc. Microelectronic workpiece processing tool including a processing reactor having a paddle assembly for agitation of a processing fluid proximate to the workpiece
CN2405647Y (zh) * 2000-01-21 2000-11-15 华东师范大学 液体搅拌装置
TWI281516B (en) * 2000-03-17 2007-05-21 Ebara Corp Plating apparatus and plating method
KR100800531B1 (ko) 2000-06-30 2008-02-04 가부시키가이샤 에바라 세이사꾸쇼 구리 도금액, 도금 방법 및 도금 장치
JP2002115096A (ja) * 2000-10-10 2002-04-19 Applied Materials Inc めっき装置
JP3501747B2 (ja) * 2000-10-26 2004-03-02 秀行 小林 メッキ装置の流量調整可能なメッキ液噴出ノズルシステム
JP3340724B2 (ja) * 2000-12-01 2002-11-05 丸仲工業株式会社 メッキ装置のメッキ液噴出ノズル装置
CN2471797Y (zh) * 2001-01-15 2002-01-16 太原风华高新技术有限公司 电镀用循环过滤搅拌装置
US20030155185A1 (en) * 2001-03-08 2003-08-21 Masami Nomura Elevator
JP2002367998A (ja) * 2001-06-11 2002-12-20 Ebara Corp 半導体装置及びその製造方法
US6875333B2 (en) * 2002-02-14 2005-04-05 Electroplating Engineers Of Japan Limited Plating apparatus for wafer
US7390382B2 (en) * 2003-07-01 2008-06-24 Semitool, Inc. Reactors having multiple electrodes and/or enclosed reciprocating paddles, and associated methods

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020153246A1 (en) 1998-07-09 2002-10-24 Hui Wang Method and apparatus for electropolishing metal interconnections on semiconductor devices

Also Published As

Publication number Publication date
CN101812711A (zh) 2010-08-25
JP2010106369A (ja) 2010-05-13
JP2006519932A (ja) 2006-08-31
WO2004081261A2 (en) 2004-09-23
TWI498451B (zh) 2015-09-01
US20110073482A1 (en) 2011-03-31
TWI341875B (en) 2011-05-11
EP1602127A2 (en) 2005-12-07
JP4805141B2 (ja) 2011-11-02
KR20050114226A (ko) 2005-12-05
JP5175871B2 (ja) 2013-04-03
US20060113185A1 (en) 2006-06-01
WO2004081261B1 (en) 2005-07-14
TW201131012A (en) 2011-09-16
WO2004081261A3 (en) 2005-05-26
TW200422429A (en) 2004-11-01
US8252167B2 (en) 2012-08-28
CN101812711B (zh) 2011-11-16
US7875158B2 (en) 2011-01-25

Similar Documents

Publication Publication Date Title
KR101058917B1 (ko) 전기 도금 장치
JP4434948B2 (ja) めっき装置及びめっき方法
US9899230B2 (en) Apparatus for advanced packaging applications
JP4624738B2 (ja) めっき装置
TWI750344B (zh) 鍍覆方法及鍍覆裝置
US20060081478A1 (en) Plating apparatus and plating method
KR20130130000A (ko) 전기 도금 방식의 무연 범프 퇴적
CN100436643C (zh) 镀覆装置
JP5232844B2 (ja) めっき装置
JP2004300462A (ja) めっき方法及びめっき装置
TWI726080B (zh) 基板之製造方法及基板
US20060163058A1 (en) Apparatus for plating a semiconductor wafer and plating solution bath used therein
JP2006117966A (ja) めっき装置及びめっき方法
US20240141541A1 (en) Electrodeposition of metals using an ionically resistive ionically permeable element or a shield spatially tailored to die-level patterns on a substrate
JP2004162129A (ja) めっき装置及びめっき方法
JP2005226119A (ja) 基板処理方法、およびめっき方法並びに装置
JPH03271392A (ja) 電気メッキ装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20140721

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20150716

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20160720

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20170719

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20180718

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20190718

Year of fee payment: 9