KR101056142B1 - 레티클 설계 데이터의 결함을 검출하기 위한 컴퓨터로구현되는 방법 - Google Patents

레티클 설계 데이터의 결함을 검출하기 위한 컴퓨터로구현되는 방법 Download PDF

Info

Publication number
KR101056142B1
KR101056142B1 KR1020067017453A KR20067017453A KR101056142B1 KR 101056142 B1 KR101056142 B1 KR 101056142B1 KR 1020067017453 A KR1020067017453 A KR 1020067017453A KR 20067017453 A KR20067017453 A KR 20067017453A KR 101056142 B1 KR101056142 B1 KR 101056142B1
Authority
KR
South Korea
Prior art keywords
reticle
wafer
design data
vpwq
printed
Prior art date
Application number
KR1020067017453A
Other languages
English (en)
Other versions
KR20060131860A (ko
Inventor
자인 케이. 새이딘
얄린 시옹
랜스 글레이저
칼 헤스
모쉐 이. 프레일
Original Assignee
케이엘에이-텐코 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 케이엘에이-텐코 코포레이션 filed Critical 케이엘에이-텐코 코포레이션
Publication of KR20060131860A publication Critical patent/KR20060131860A/ko
Application granted granted Critical
Publication of KR101056142B1 publication Critical patent/KR101056142B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • G06F11/22Detection or location of defective computer hardware by testing during standby operation or during idle time, e.g. start-up testing
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/82Auxiliary processes, e.g. cleaning or inspecting
    • G03F1/84Inspecting
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70533Controlling abnormal operating mode, e.g. taking account of waiting time, decision to rework or rework flow
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/7065Defects, e.g. optical inspection of patterned layer for defects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70653Metrology techniques
    • G03F7/70666Aerial image, i.e. measuring the image of the patterned exposure light at the image plane of the projection system
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • G06F11/07Responding to the occurrence of a fault, e.g. fault tolerance
    • G06F11/08Error detection or correction by redundancy in data representation, e.g. by using checking codes
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06QINFORMATION AND COMMUNICATION TECHNOLOGY [ICT] SPECIALLY ADAPTED FOR ADMINISTRATIVE, COMMERCIAL, FINANCIAL, MANAGERIAL OR SUPERVISORY PURPOSES; SYSTEMS OR METHODS SPECIALLY ADAPTED FOR ADMINISTRATIVE, COMMERCIAL, FINANCIAL, MANAGERIAL OR SUPERVISORY PURPOSES, NOT OTHERWISE PROVIDED FOR
    • G06Q30/00Commerce
    • G06Q30/02Marketing; Price estimation or determination; Fundraising
    • G06Q30/0201Market modelling; Market analysis; Collecting market data
    • G06Q30/0206Price or cost determination based on market factors

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Business, Economics & Management (AREA)
  • Development Economics (AREA)
  • Strategic Management (AREA)
  • Finance (AREA)
  • Accounting & Taxation (AREA)
  • Entrepreneurship & Innovation (AREA)
  • General Engineering & Computer Science (AREA)
  • Quality & Reliability (AREA)
  • Data Mining & Analysis (AREA)
  • Game Theory and Decision Science (AREA)
  • Economics (AREA)
  • Marketing (AREA)
  • General Business, Economics & Management (AREA)
  • Computer Hardware Design (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)

Abstract

레티클 설계 데이터 내 결함을 검출하는 컴퓨터 구현 방법이 제공된다. 한 방법은 레티클 제조 공정을 이용하여 어떻게 레티클 설계 데이터가 레티클에 프린트될지를 나타내는 제1시뮬레이션 이미지를 생성하는 단계를 포함한다. 또한, 이 방법은 제1시뮬레이션 이미지를 이용하여 제2시뮬레이션 이미지를 생성하는 단계를 포함한다. 이 제2시뮬레이션 이미지는 어떻게 레티클이 웨이퍼 프린팅 공정의 하나 이상의 매개변수의 상이한 수치로 웨이퍼에 프린트될지를 나타낸다. 또한, 이 방법은 제2시뮬레이션 이미지를 이용하여 레티클 설계 데이터 내의 결함을 검출하는 단계를 포함한다. 또 다른 방법은 상이한 수치의 함수로서 제2시뮬레이션 이미지의 특성의 변화율을 결정하는 것에 더하여 앞서 설명한 생성하는 단계를 포함한다. 또한, 이 방법은 상기 변화율에 기초하여 레티클 설계 데이터 내의 결함을 검출하는 것을 포함한다.
레티클, 웨이퍼, 결함, 시뮬레이션 이미지

Description

레티클 설계 데이터의 결함을 검출하기 위한 컴퓨터로 구현되는 방법{COMPUTER-IMPLEMENTED METHODS FOR DETECTING DEFECTS IN RETICLE DESIGN DATA}
본 발명은 레티클 설계 데이터의 결함을 검출하는 컴퓨터 구현 방법에 관한 것이다. 특정 실시예는 웨이퍼 프린팅 공정의 하나 이상의 매개변수 중 상이한 수치로 웨이퍼에 레티클이 웨이퍼에 어떻게 프린트될지를 나타내는 시뮬레이션 된 이미지를 이용하여 레티클 설계 데이터의 결함을 검출하는 것을 포함하는 컴퓨터 구현 방법에 관한 것이다.
다음의 설명 및 예들은 본 배경기술 영역 내에 포함됨으로써 선행기술로 인정되어서는 안된다.
논리 및 메모리 장치와 같은 반도체 장치를 제조하는 것은 반도체 장치의 여러 특징과 다수의 레벨을 형성하기 위해 수많은 반도체 제조 공정을 사용하여 반도체 웨이퍼와 같은 기판을 처리하는 것을 포함한다. 예를 들어, 리소그래피(lithography)는 레티클로부터 반도체 웨이퍼에 배열된 레지스트(resist)로 패턴을 전송하는 것을 포함하는 반도체 제조 공정이다.
반도체 제조 공정의 다른 예는 화학-기계 폴리싱(chemical-mechanical polishing), 에칭(etch), 증착(deposition) 및 이온 주입(ion implantation)을 포 함하며, 이에 한정되는 것은 아니다. 다중 반도체 장치는 반도체 웨이퍼의 장치에서 제조될 수 있고, 두 개의 반도체 장치로 분리될 수 있다.
일반적으로 리소그래피는 웨이퍼에서 특징이 패턴화되는 공정이므로 집적회로 제조에서 가장 중요한 공정 중 하나이다. 리소그래피에 의해 레지스트에서 프린트된 패턴은 이어서 일어나는 단계에서 웨이퍼의 추가 층에 패턴을 전달하도록 마스크 층으로 이용된다. 따라서, 리소그래피가 일어나는 동안 웨이퍼에 형성된 패턴은 웨이퍼에 형성된 집적회로의 특성에 직접 영향을 미친다. 결과적으로, 리소그래피 공정 중에 웨이퍼에 형성된 결함은 집적회로 제조공정에 대해 큰 문제가 된다. 리소그래피 공정 중에 패턴화된 웨이퍼에 결함이 형성될 수 있는 여러가지 방법 중 하나는 레티클에 있는 결함을 웨이퍼에 전달하는 것이다. 따라서, 레티클의 여러가지 결함이 리소그래피 공정 중에 웨이퍼로 전달되지 않도록, 원치 않는 미립자나 기타의 물질과 같은 레티클의 결함을 검출하고 수정하는 것이 보다 엄격히 수행된다.
그러나, 집적회로의 치수(dimension)가 줄어들고 레티클로부터 웨이퍼로 전달되는 패턴이 더 복잡해지므로, 레티클에 형성된 특징 내의 경계 내지 결함은 점점 더 중요해진다. 특히, 패턴이 레티클에 정확하게 형성되지 않는다면, 패턴의 치수가 감소하고 패턴의 복잡성이 증가될 때 이러한 불일치는 웨이퍼 상에서의 결함을 증가시킨다. 또한, 레티클 설계의 경계 때문에 이 설계는 웨이퍼에 부정확하게 프린트될 수 있다. 따라서, 웨이퍼 상에서 문제를 일으킬 수 있는 설계에서 또는 레티클 상의 패턴에서 문제점을 검출하는데 사용될 수 있는 방법 및 시스템에 엄청 난 노력이 든다. 이러한 노력은, 적어도 부분적으로, 레티클에 형성된 패턴(이상적인 패턴과 비교하여)의 불일치 내지 경계라고 해서 모두 집적회로에 악영향을 미칠 수 있는 웨이퍼상의 에러를 유발하는 것은 아니라는 사실 때문에, 비교적 복잡하고 어렵다. 즉, 레티클에 형성된 패턴의 에러 중 일부는 웨이퍼상의 결함을 전혀 일으키지 않을 수도 있고, 집적회로의 성능특성을 감소시키지 않을 웨이퍼상의 결함을 생성할 수도 있다. 따라서, 레티클 패턴을 알맞게하기 위해 적절한 방법 및 시스템을 개발하는데에 있어서 수많은 도전 중 하나는 중요한 패턴의 결함 내지 경계와 중요하지 않은 패턴의 결함 내지 경계를 구별하는 것이다.
레티클이 제조되기 전에 레티클 패턴을 검사하는 한 방법은 설계규칙검사(design rule checking; DRC)이다. 그러나 종래의 설계규칙검사는 명목상의 공정 조건에서만 작동하며, 즉, 기껏해야 한정된 수의 공정 조건 및/또는 장치 내의 한정된 지점에서만 작동한다. 레티클의 제조에 앞서 설계 패턴의 결함을 검출하기 위해 소프트웨어에 기초한 다른 방법들이 제시되어 왔고, 이러한 방법 중 하나는 위드(Weed)의 미국특허출원 제2003/0119216A1호에 기재되어 있으며, 이 출원내용은 본 문서에 완전히 기재된 것처럼 참고로 포함된다. 그러나, 이 방법은 초점(focus) 및 노광(exposure)의 세팅이 가장 좋은 경우만 결정하도록 설계되어 있고, 각 설계에 이용될 수 있는 공정 윈도우 조건의 모든 범위를 조사하도록 설계되어 있지 않다. 본 문서에 완전히 기재된 것처럼 참고로 포함되는 불라(Bula) 등의 미국특허 제6,373,975호는 특정 설계규칙의 위반사항을 시험하기 위해서만 시뮬레이션을 실행하고, 임의의 결함을 검출하도록 완전한 칩의 시뮬레이션된 이미지를 기준값과 비교하지는 않는다.
따라서, 이러한 소프트웨어 방법은 여러 단점을 갖고 있다. 특히, 이러한 소프트웨어 방법은 공정 윈도우 조건의 모든 범위를 노광하지 않고, 따라서 공정 윈도우 경계와 일어날 가능성이 있는 못 찾고 있는 결함을 검출할 수 없게 된다. 또한, 이러한 방법은 정확한 초점과 노광 조건을 결정하지 않게 되며, 이 조건에서 결함이 발생하고 따라서 완벽하게 설계를 최적화하는 것을 방해하게 된다. 또한 완전한 공정 윈도우 정보가 결여됨으로써, 장치에서의 모든 주요 특징에 걸쳐서 중요한 치수 제어를 위해 개선된 공정 제어 기술을 수행할 수 있는 능력이 제한된다.
따라서, 집적회로 제조에서 사용되기에 적합한 레티클의 제조비용을 줄이기 위해서 또한 집적회로 제조에 대한 자격을 부여하는 레티클 제조와 관련된 시간을 줄이기 위해서, 레티클이 제조되기 전에 초점 및 노광과 같은 공정 조건의 범위에 걸쳐서 그리고 전체 칩내에서 레티클 설계 결함 내지 경계를 검출할 수 있는 방법 및 시스템을 개발하는 것이 바람직할 것이다.
레티클 설계 데이터 내의 결함을 검출하는 컴퓨터 구현 방법의 여러 실시 형태에 대한 다음의 설명은 어떤식으로든 첨부된 청구항의 내용을 한정하는 것으로 해석되어서는 아니된다. 본 문서에 기재된 방법은 보통 가상 공정 윈도우 평가(vPWQ) 방법으로 불린다.
본 발명의 실시 형태는 레티클 설계 데이터 내의 결함을 검출하는 컴퓨터 구현 방법에 관한 것이다. 이 방법은 레티클 제조 공정을 이용하여 레티클 설계 데이터가 레티클에 어떻게 프린트될지를 나타내는 제1시뮬레이션 이미지를 생성하는 단계를 포함한다. 또한 이 방법은 상기 제1시뮬레이션 이미지를 이용하여, 제2시뮬레이션 이미지를 생성하는 단계를 포함한다. 제2시뮬레이션 이미지는 웨이퍼 프린팅 공정의 하나 이상의 매개변수의 상이한 수치로 레티클이 웨이퍼에 어떻게 프린트될지를 나타낸다. 따라서, 상기 방법은 두 간계의 접근법으로 시뮬레이션을 수행하는 단계를 포함한다: 우선, 설계로부터 레티클에 시뮬레이션(즉, 마스크 제조 공정의 시뮬레이션); 그리고난 후, 레티클을 웨이퍼 패턴 전송에 시뮬레이션(즉, 웨이퍼 제조 공정의 시뮬레이션. 또한, 본 방법은 상기 제2시뮬레이션 이미지를 이용하여, 레티클 설계 데이터 내의 결함을 검출하는 단계를 포함한다.
한 실시 형태에서, 상기 제1시뮬레이션 이미지 및 상기 제2시뮬레이션 이미지는 레티클 설계 데이터로 한정된 완전한 칩의 시뮬레이션 이미지를 포함한다. 일부 실시 형태에서는, 상이한 수치가 웨이퍼 프린팅 공정의 하나 이상의 매개변수에 대한 미리 정해진 공정 윈도우에 놓여진다. 따라서, 본 방법은 공정 조건(초점 및 노광) 이 변하게 될 때 우선 실패하게 될 장치의 영역을 결정하기 위해 완전한 공정 윈도우를 가로질러 완전한 칩의 시뮬레이션을 포함한다. 다른 실시 형태에서, 레티클 설계 데이터는 분석향상기술(RET, Resolution Enhancement Technolodgy) 특성 데이터에 의해 수정된 집적회로 설계 데이터를 포함한다.
다른 실시 형태에서, 본 방법은 상기 검출하는 단계의 결과에 기초하여 웨이퍼 프린팅 공정에 대한 공정 윈도우를 결정하는 단계를 포함한다. 또 다른 실시 형태에서, 본 방법은 상기 결함 중 적어도 하나의 결함이 제2시뮬레이션 이미지에 나타나는 상이한 수치를 측정하는 단계를 포함한다. 추가 실시 형태에서, 본 방법은 레티클의 다른 영역에서 결함이 나타나는 상이한 수치보다 웨이퍼 프린팅 공정의 하나 이상의 매개변수에 대한 명목상 수치에 더 가까운 상이한 수치로 결함이 나타나는 레티클 설계 데이터 내의 영역을 결정하는 것을 포함한다.
한 실시 형태에서, 상기 검출하는 단계는 제2시뮬레이션 이미지를 기준 이미지와 비교하는 단계를 포함한다. 이런식으로, 본 방법은 기준 이미지와의 비교에 의해 레티클 설계 데이터 내의 결함이 있는 영역을 식별하는 단계를 포함한다. 상기 기준 이미지는 어떻게 레티클이 웨이퍼 프린팅 공정의 하나 이상의 매개변수의 명목상의 수치로 웨이퍼에 프린트될지를 나타내는 추가 시뮬레이션 이미지를 포함할 수 있다. 또 다른 실시 형태에서, 기준 이미지는 어떻게 레티클 설계 데이터가 웨이퍼에 이상적으로 프린트될지를 나타낸다. 다른 실시 형태에서, 상기 검출하는 단계는 어떻게 레티클이 하나의 제2시뮬레이션 이미지에 대응하는 상이한 수치보다 웨이퍼 프린팅 고정의 하나 이상의 매개변수에 대한 명목상 수치에 더 가까운 상이한 수치로 웨이퍼에 프린트될지를 나타내는 추가 시뮬레이션 이미지와 상기 제2시뮬레이션 이미지 중 하나를 비교하는 단계를 포함한다.
일부 실시 형태에서, 본 방법은 어떻게 레티클 설계 데이터가 레티클 제조 공정의 하나 이상의 매개변수의 상이한 수치로 레티클에 프린트될지를 나타내는 추가 시뮬레이션 이미지를 생성하는 단계를 포함할 수 있다. 이 실시 형태는 레티클에 최소의 설계 패턴 결함을 생성하는 레티클 제조 공정의 하나 이상의 매개변수의 상이한 수치를 선택하는 단계를 포함한다. 이와 같이, 본 방법은 레티클 설계 데이터에 대해 가장 적절한 마스크 제조 공정을 선택하는 것을 포함할 수 있다. 또 다른 실시 형태에서, 본 방법은 상기 검출하는 단계의 결과에 기초하여 레티클 설계 데이터를 변경하는 단계를 포함한다. 이 변경하는 단계는 레티클 설계 데이터의 분석향상기술 특성 데이터를 변경하는 단계를 포함할 수 있다. 이런식으로, 본 방법은 분석향상, 광학 근접효과 보정(OPC) 규칙, 설계 레이아웃 등 중에서 최적으로 선택하는 것을 고려한다.
한 실시 형태에서, 본 방법은 상기 검출하는 단계의 결과에 기초하여 레티클에 대한 검사 공정을 생성하는 것을 더 포함할 수 있다. 이러한 실시 형태에서, 본 발명은 검사자의 선택적인 감도를 끌어내도록 레티클 검사에 vPWQ 데이터를 연결하는 것을 포함할 수 있다. 추가 실시 형태에서, 본 방법은 상기 검출하는 단계의 결과에 기초하여 웨이퍼에 대한 검사 공정을 생성하는 것을 더 포함할 수 있다. 이러한 실시 형태에서, 본 방법은 검사자의 선택적인 감도를 끌어내도록 웨이퍼 검사에 vPWQ 데이터를 연결하는 것을 포함할 수 있다. 다른 실시 형태에서, 본 방법은 상기 검출하는 단계에 이어 레티클을 제조하는 단계와; 상기 레티클을 검사하는 단계; 및 상기 검출하는 단계의 결과와 상기 검사하는 단계의 결과에 기초하여 웨이퍼에 대한 검사 공정을 생성하는 단계를 포함할 수 있다. 이 방법에서, 본 방법은 웨이퍼 검사자의 선택적인 감도를 끌어내도록 웨이퍼 검사에 vPWQ 및 레티클 검사 데이터의 조합을 연결시키는 것을 포함할 수 있다. 다른 실시 형태에서, 본 방법은 상기 검출하는 단계에 이어 레티클을 제조하는 단계와; 상기 레티클을 검사하는 단계; 및 상기 검출하는 단계의 결과, 상기 검사하는 단계의 결과, 레티클 설계 데이터의 설계자에 의해 생성된 임계 특성 데이터, 또는 이들을 조합한 것에 기초하여 웨이퍼에 대해 검사 공정을 생성하는 단계를 포함할 수 있다. 이와 같이, 본 방법은 웨이퍼 검사 감도, 최적의 결과를 위한 계측 샘플 계획 및 임계치수(CD) 제어 시스템을 끌어내도록 vPWQ, 레티클, 검사 및/또는 설계자에 의해 확인된 임계특성의 조합을 연결하는 것을 포함할 수 있다.
추가 실시 형태에서, 본 방법은 레티클 설계 데이터 내의 제2영역보다 결함을 가지고 프린트 될 가능성이 더 큰 레티클 설계 데이터 내의 제1영역을 식별하는 단계와, 상기 식별 단계의 결과에 기초하여 레티클로 프린트될 웨이퍼에 대한 공정 제어 방법을 생성하는 단계를 포함할 수 있다. 이러한 실시 형태에서, 본 방법은 최적의 샘플링 계획을 끌어내고 vPWQ에 의해 확인된 임계 영역 내의 공정 실패의 가장 빠른 신호를 검출하도록 vPWQ를 웨이퍼 CD 계측 도구에 연결하는 것을 포함할 수 있다. 또 다른 실시 형태에서, 본 방법은 레티클 설계 데이터 내의 제2영역보다 결함을 가지고 프린트될 가능성이 더 큰 레티클 설계 데이터 내의 제1영역을 식별하는 단계와, 상기 식별 단계에 기초하여 레티클 설계 데이터를 변경하는 단계를 포함할 수 있다. 이런식으로, 공정 윈도우 오차의 조건과 관련하여 가장 제한된 vPWQ에 의해 확인된 영역 내의 장치 전기 매개변수를 최적화할 수 있도록, 본 방법은 설계자 및/또는 설계 공정에 대해 vPWQ 데이터의 피드백을 포함할 수 있다. 앞서 설명된 방법에 대한 실시 형태 각각은 본 문서에 기재된 다른 단계를 포함할 수 있다.
또 다른 실시 형태는, 레티클 제조 공정을 통해 레티클 설계 데이터가 레티클에 어떻게 프린트될지를 나타내는 제1시뮬레이션 이미지를 생성하도록 구성된 시뮬레이션 엔진에 관한 것이다. 이 시뮬레이션 엔진은 또한 상기 제1시뮬레이션 이미지를 이용하여 제2시뮬레이션 이미지를 생성하도록 구성된다. 제2시뮬레이션 이미지는 웨이퍼 프린팅 공정의 하나 이상의 매개변수의 상이한 수치로 레티클이 웨이퍼에 어떻게 프린트될지를 나타낸다. 이 제2시뮬레이션 이미지는 레티클 설계 데이터의 결함을 검출하는데 사용될 수 있다. 이 시뮬레이션 엔진은 본 문헌에 기재되는 바에 따라 추가로 구성될 수 있다.
또 다른 실시 형태는 레티클 설계 데이터의 결함을 검출하도록 구성된 시스템에 관한 것이다. 이 시스템은 레티클 제조 공정을 통해 레티클 설계 데이터가 레티클에 어떻게 프린트될지를 나타내는 제1시뮬레이션 이미지를 생성하도록 구성된 시뮬레이션 엔진을 포함한다. 이 시뮬레이션 엔진은 또한 상기 제1시뮬레이션 이미지를 이용하여 제2시뮬레이션 이미지를 생성하도록 구성되어 있다. 이 제2시뮬레이션 이미지는 웨이퍼 프린팅 공정의 하나 이상의 매개변수의 상이한 수치로 레티클이 웨이퍼에 어떻게 프린트될지를 나타낸다. 본 시스템은 또한 상기 제2시뮬레이션 이미지를 기준 이미지와 비교하여 레티클 설계 데이터 내의 결함을 검출하도록 구성된 프로세서를 포함한다. 본 시스템은 본 문헌에 기재된 바에 따라 추가로 구성될 수 있다.
또 다른 실시 형태는 레티클 설계 데이터 내의 결함을 검출하는 다른 방법에 관련된다. 이 방법은 레티클 제조 공정을 이용하여 어떻게 레티클 설계 데이터가 레티클에 프린트될지를 나타내는 제1시뮬레이션 이미지를 생성하는 단계를 포함한다. 또한 이 방법은 제2시뮬레이션 이미지를 생성하는 단계를 포함한다. 제2시뮬레이션 이미지는 상기 제1시뮬레이션 이미지를 이용하여, 어떻게 레티클이 웨이퍼 프린팅 공정의 하나 이상의 매개변수의 상이한 수치로 웨이퍼에 프린트될지를 나타낸다. 또한, 본 방법은 상이한 수치의 함수로서 상기 제2시뮬레이션 이미지의 특성의 변화율을 측정하는 단계를 포함한다. 나아가 본 방법은 상기 변화율에 기초하여 레티클 설계 내의 결함을 검출하는 단계를 포함한다. 한 실시 형태에서, 상기 검출하는 단계는 레티클 설계 데이터 내의 결함을 검출하기 위해 상기 변화율과 상기 제2시뮬레이션 이미지를 함께 이용하는 단계를 포함할 수 있다. 이 방법의 각 실시 형태는 본 문서에 기재된 다른 단계를 포함할 수 있다.
또 다른 실시 형태는 레티클에 프린트된 레티클 설계 데이터 내의 결함을 검출하는 방법에 관한 것이다. 이 방법은 웨이퍼 프린팅 공정의 하나 이상의 매개변수의 상이한 수치로 웨이퍼에 레티클의 이미지를 프린팅하는 단계를 포함한다. 또한, 이 방법은 상이한 수치의 함수로서 이미지의 특성의 변화율을 측정하는 단계를 포함한다. 또한, 이 방법은 상기 변화율에 기초하여 레티클 설계 데이터 내의 결함을 검출하는 단계를 포함한다. 또한, 이 방법은 본 문서에 기재된 다른 단계를 포함할 수 있다.
본 발명의 또 다른 특징들은 수반되는 도면을 참고로 바람직한 실시예에 대한 아래의 상세한 설명에 의해 당업자에게 명백할 것이다.
도1은 집적회로 제조에서 생산용 레티클에 자격을 부여하는 방법을 나타내는 흐름도.
도2는 레티클 설계 데이터에서 결함을 검출하는 컴퓨터 구현 방법의 한 실시예를 나타내는 흐름도.
도3은 레티클 설계 데이터에서 결함을 검출하는 컴퓨터 구현 방법과 다른 공정 단계 사이의 데이터 흐름에 대한 방법의 한 실시예를 나타내는 흐름도.
도4는 임계치의 상이한 레벨과 임계치의 형태를 갖는 레티클 설계 데이터의 상이한 영역의 한 예를 나타내는 개략적인 다이어그램.
도5는 시뮬레이션된 이미지가 생성될 수 있는 웨이퍼 프린팅 공정의 매개변수의 상이한 수치에 대한 예를 나타내는 다이어그램으로로서, 레티클 설계 데이터 내의 결함을 검출하는데 사용될 수 있는 개략적인 다이어그램.
도6은 시뮬레이션된 이미지가 생성될 수 있는 웨이퍼 프린팅 공정의 매개변수의 상이한 수치에 대한 한 실시예를 나타내는 다이어그램으로서, 레티클 설계 데이터 내의 결함을 검출하는데 이용될 수 있는 개략적인 다이어그램.
도7은 레티클 설계 데이터 내의 결함을 검출하는데 이용될 수 있는 웨이퍼 프린팅 공정의 매개변수의 상이한 수치로 웨이퍼에 프린트된 다이의 한 장치를 나타내는 개략적인 다이어그램.
도8은 레티클 설계 데이터 내의 결함을 검출하는데 이용될 수 있는 웨이퍼 프린팅 공정의 매개변수의 상이한 수치로 웨이퍼에 프린트되거나 시뮬레이션된 다이의 장치에 대한 한 실시예를 나타내는 개략적인 다이어그램.
도9 내지 도12는 레티클 설계 데이터 내의 결함을 검출하는 컴퓨터 구현 방 법의 여러 실시예를 나타내는 흐름도.
본 발명은 여러가지 변형이 가해지거나 다른 형태로 되기 쉽지만, 구체적인 실시예들이 도면과 같이 도시되어 있고, 더욱 상세히 설명될 것이다. 도면은 축척에 맞지 않을 수 있다. 그러나 도면 및 상세한 설명이 본 발명을 개시된 특정 형태로 제한하려는 것은 아니며, 그와 반대로 첨부된 청구항에 의해 정의되는 본 발명의 범위 및 정신에 속하는 모든 변형예나 균등물 및 다른 형태를 포함하는 것이라는 것을 이해해야 한다.
본 문서에서 사용되는 "웨이퍼"라는 용어는 일반적으로 반도체 또는 비반도체 재료로 형성된 기판을 지칭한다. 이러한 반도체 또는 비반도체 재료의 예는 단결정 실리콘, 갈륨아세나이드, 및 인듐 포스파이드를 포함하고, 그러나 이에 한정되는 것은 아니다. 이러한 기판은 반도체 제조 시설에서 흔하게 볼 수 있으며 반도체 제조 시설에서 공정에 이용될 수 있다.
웨이퍼는 기판만을 포함할 수 있다. 이 웨이퍼는 보통 "순수 웨이퍼(virgin wafer)"라고 불린다. 또는 웨이퍼는 기판에 형성된 하나 이상의 층을 포함할 수 있다. 예를 들어, 이러한 층들은 레지스트, 유전성 물질, 및 전도성 물질을 포함할 수 있지만, 이에 한정되지 않는다. 레지스트는 광학 리소그래피 기술, 전자빔 리소그래피(e-beam lithography) 기술, 또는 X선 리소그래피 기술에 의해 패턴화될 수 있는 어떤 물질을 포함할 수 있다. 유전성 물질의 예는 이산화규소, 질화규소, 산질화규소, 및 질화티타늄을 포함하지만, 이에 한정되지 않는다. 유전성 물질의 다 른 예는 캘리포니아 산타 클라라에 있는 주식회사 어플라이드 머티리얼스(Applied Materials, Inc.)에서 상용으로 생산하는 블랙 다이아몬드(Black DdiamondTM)와 캘리포티아 산호세에 있는 주식회사 노벨러스 시스템즈(Novellus Systems, Inc.)에서 상용으로 생산하는 코랄(CORALTM)과 같은 "로우-k(low-k)" 유전성 물질과, "제로젤(xerogels)"과 같은 "얼트러 로우-k(ultra low-k)" 및 탄탈5산화물(tantalum pentoxide)과 같은 "하이-k(high-k)" 유전성 물질을 포함한다. 또한, 전도성 물질은 알루미늄, 폴리실리콘, 및 구리를 포함하지만, 이에 한정되지 않는다.
웨이퍼에 형성된 하나 이상의 층은 패턴화될 수 있고 패턴화되지 않을 수도 있다. 예를 들어, 웨이퍼는 반복되는 패턴 특성을 갖는 복수의 다이를 포함할 수 있다. 이러한 재료의 층을 형성하여 처리함으로써 결국 완전한 반도체 장치를 얻을 수 있다. 이와 같이, 웨이퍼는 완성된 반도체 장치의 모든 층이 형성된 것은 아닌 기판을 포함할 수 있고, 또는 완성된 반도체 장치의 모든 층이 형성된 기판을 포함할 수 있다. "반도체 장치"라는 용어는 본 문서에서 "집적회로"와 서로 번갈아 가면서 사용된다. 또한, 마이크로전자기계 시스템(MEMS) 장치와 같은 다른 장치 및 이와 유사한 장치도 또한 웨이퍼에서 형성될 수 있다.
보통 "레티클" 또는 "마스크"라는 용어는 기판 위에 형성되고 패턴으로 구성된 실질적으로 불투명한 영역을 갖는 실질적으로 투명한 기판으로 정의된다. 이 기판은 예를 들어 석영과 같은 유리 물질을 포함할 수 있다. 실질적으로 불투명한 영역은 크롬과 같은 물질로 형성될 수 있다. 레티클에서의 패턴이 레지스트로 전달될 수 있도록, 레티클은 리소그래피 공정의 노광 단계 중에 레지스트로 덮힌 웨이퍼 위에 배치될 수 있다. 예를 들어, 실질적으로 불투명한 레티클의 영역은 에너지원에 대한 노광으로부터 레지스트의 하부 영역을 보호할 수 있다. 여러가지 다른 형태의 레티클이 기술분야에 널리 알려져 있고, 본원에서 사용되는 레티클이라는 용어는 모든 형태의 레티클을 포함한다.
본원에서 사용되는 "결함"이라는 용어는 레티클 설계가 웨이퍼에 부정확하게 프린트되도록 할 수 있는 레티클 설계에 있어서의 경계를 나타낸다. 또한, 결함은 웨이퍼 프린팅 공정의 하나 이상의 매개변수의 특정 수치에서만 웨이퍼에 프린트될 수 있다. "웨이퍼 프린팅 공정"이라는 용어는 본 문서에서 "리소그래피 공정"이라는 용어와 번갈아 가면서 사용된다.
"제1" 및 "제2"라는 용어는 단지 상이한 시뮬레이션된 이미지를 구별하기 위해서 또는 레티클의 상이한 영역을 구별하기 위해서와 같이 사용되는 것이며, 다른 의미를 갖는 것은 아니다.
집적회로 제조를 위해 레티클을 평가하는 방법으로서 매우 성공적인 한 방법은 본 문서에 완전히 기재된 것처럼 참고로 통합되는 2002년 8월 2일에 피터슨etPeerson)등에 의해 출원된 미국특허출원 제09/211,156호에 개시된 공정 윈도우 평가(process window qualification; PWQ) 방법이다. 보통 이 방법은 제조된 포토마스크를 웨이퍼에 프린팅하는 것과 디자인 경계를 검출하기 위한 특정 방법으로 웨이퍼를 검사하는 것을 포함하며, 이는 웨이퍼 생산에 있어서 고장과 낮은 생산성을 초래할 것이다. 설계 결함을 검출하는데에는 효과적인 반면, 공정 윈도우 평 가(PWQ)는 종종 매우 높은 비용을 들여서도 마스크나 레티클이 제조된 이후 까지 설계 결함을 검출할 수 없다. 또한, 레티클 설계를 수정하고 또 다른 설계상 결함을 검출하기 위해 설계를 수정하여 새로운 마스크를 제작하는 것 또한 비용과 시간이 많이 소모된다.
레티클이 만들어 지기도 전에 레티클 설계 데이터의 경계를 검출하기 위해, 컴퓨터 구현 방법은 개발되었으며 본 문서에 설명되는데, 이 방법은 "가상 공정 윈도우 평가(virtual process window qualification; vPWQ)"라고 불린다. 실제 마스크를 제조하여 이 마스크로 실제 웨이퍼를 프린팅하는 대신에, vPWQ는 레티클 설계 데이터를 사용하여 레티클 설계 데이터가 각각 마스크와 웨이퍼에 프린트되면 마스크와 웨이퍼가 어떻게 보일지를 시뮬레이션한다. 레티클 설계 데이터가 웨이퍼에 어떻게 나타나는지를 보여주는 시뮬레이션된 이미지가 생산 환경에서 사용될 것으로 예상되는 초점 및 노광 조건의 전체 영역에 걸쳐서 생성될 수 있다.
vPWQ에서, 결함은 기준 이미지를 레티클 설계 데이터가 웨이퍼에 어떻게 프린트되는지를 나타내는 상이한 시뮬레이션된 이미지와 비교함으로써 검출되고, 이 데이터는 웨이퍼 프린팅 공정의 다른 매개변수 및/또는 초점 및 노광의 상이한 수치에 대해 생성된다. 기준 이미지는 최적의 초점/최적의 노광 조건에서 또는 명목상의 초점 및 노광 조건에서 레티클 설계 데이터가 웨이퍼에 어떻게 프린트되는지를 나타낼 수 있다. 또는, 기준 이미지는 레티클 설계 데이터의 이상적인 이미지일 수 있고, 이상적인 이미지는 광학 근접효과 보정(optical proximity correction; OPC) "장식(decoration)" 이전에 (즉, 광학 근접효과 보정 데이터에 의해 레티클 설계 데이터를 수정하기 전에) 설계 데이터로부터 생성될 수 있다. 따라서, 사실, vPWQ는 데이터베이스 검사 방법에 대한 가상 웨이퍼이고, 가상 웨이퍼는 상이한 초점 및 노광 조건에 대해, 바람직하게 리소그래피 공정에서 레티클에 사용될 전체 공정 윈도우를 가로질러 생성된다. 이런식으로, 완전한 설계에 대한 레이아웃(광학 근접효과 보정과 다른 레티클 향상기술(reticle enhancement techniques; RETs)이 설계가 마스크에 행하여지기 전에 유효한 초점 및 노광 조건의 최적의 영역(즉, 가장 큰 공정 윈도우)에 대해 최적화될 수 있다.
따라서, 보통, 레티클 설계 데이터에서 결함을 검출하는 컴퓨터 구현 방법의 한 실시예는 레티클 제조 공정을 이용하여 어떻게 레티클 설계 데이터가 레티클에 프린트될지를 나타내는 제1 시뮬레이션 이미지를 생성하는 것을 포함한다. 한 실시예에서, vPWQ는 모든 광학 향상기술(OPC, 상 이동 특징, 다른 RET)을 포함하여 설계 데이터베이스를 사용하고, 마스크가 어떻게 제조될지를 시뮬레이션한다. 이런식으로, 본원에 기재된 방법에서 사용되는 레티클 데이터는 RET 특징 데이터로 수정된 레티클 설계 데이터를 포함할 수 있다.
또한 이 방법은 제1 시뮬레이션 이미지를 이용하여 제2 시뮬레이션 이미지를 생성하는 것을 포함한다. 제2 시뮬레이션 이미지는 어떻게 웨이퍼 프린팅 공정의 하나 이상의 매개변수의 상이한 수치로 레티클이 웨이퍼에 프린트될지를 나타낸다. 예를 들면, 제2 시뮬레이션 데이터를 생성하는 것은 레지스트 모델(예를 들어, 웨이퍼에 레티클 설계 데이터로 프린트될 수 있는 레지스트 모델)과, 리소그래피 모델(예를 들어, 웨이퍼에 레티클을 프린트하는데 이용될 노광 도구의 광학 매개변수 의 모델과 현상 및 나중의 노광 굽기(post exposure bake)와 같은 리소그래피 공정과 관련된 다른 공정 단계의 모델)과 같은 하나 이상의 모델을 이용하여 수행될 수 있다. 이러한 모델 및/또는 모델에 대한 매개변수는 KLA-Tencor로부터 구입할 수 있는 PROLITH 소프트웨어와 같은 하나 이상의 소스로부터 얻을 수 있다.
제2 이미지가 시뮬레이션 되는 상이한 수치는 웨이퍼 프린팅 공정의 하나 이상의 매개변수에 대해 미리 정해진 공정 윈도우에 놓여질(span) 수 있다. 예를 들어, 미리 정해진 공정 윈도우는 레티클을 가지고 사용되는 웨이퍼 프린팅 공정에 이용될 수 있거나 필요한 공정 윈도우일 수 있다. 그러나, 본 문서에서 추후 기재되는 바와 같이, 레티클 설계 데이터를 가지고 사용되도록 결정된 실제 공정 윈도우는 이러한 미리 정해진 공정 윈도우 보다 더 작을것이다. 하나 이상의 매개변수는 광선량과 초점을 포함할 수 있지만, 이에 한정되는 것은 아니다. 예를 들어, 하나 이상의 매개변수는 또한 레티클을 가지고 사용될 수 있는 상이한 형태의 조명을 포함할 수 있다(예를 들어, 환형 및 사극자(quadrapole)). 한 실시예에서, "시험 이미지"라고 여기에서 칭해지는, 제2 시뮬레이션 이미지는 실제 마스크가 초점 및 노광 조건의 전 영역을 가로질러 웨이퍼 레벨에서 어떻게 프린트될지를 나타낼 수 있다. 제1 및 제2 시뮬레이션 이미지는 레티클 설계 데이터가 한정하는 완전한 칩의 시뮬레이션 이미지를 포함할 수 있다.
따라서, vPWQ는 시뮬레이션을 두 개의 단계로 분할하는 것을 포함한다: 1) 레티클이 어떻게 기록되는지에 대한 시뮬레이션 이미지를 나타내기 위해 레티클 설계의 데이터베이스 기재를 사용하는 단계; 및 2) 시뮬레이션된 웨이퍼 패턴을 나타 내기 위해 시뮬레이션 레티클 패턴을 사용하는 단계. 이러한 두 단계에 의해 여러가지 이점에 접근할 수 있다. 예를 들어, 이러한 접근으로 사용자는 단지 레티클 설계를 시뮬레이션하는게 아니라, 마스크 제조 공정에 더하여 레티클 설계 데이터를 합한 것을 시뮬레이션할 수 있고, 따라서 주어진 설계에 대해 최적의 마스크 제조 공정의 선택 및/또는 선택된 공정에 대한 설계 규칙의 최적화가 이루어질 수 있다. 또한 이러한 접근에 의해, 마스크 오프셋에 대한 설계를 제거하여 보다 정확한 시뮬레이션이 이루어진다.
또한, 이 방법은 제2 시뮬레이션 이미지를 이용하여 레티클 설계 데이터에서 결함을 검출하는 것을 포함한다. 예를 들어, 시험 이미지는 기준 이미지와 하나씩 일일이 비교될 수 있고, 결함은 알고리즘과 같은 것을 이용하여 시험 이미지에서 확인된다. 여기에 기술된 방법에 사용되는 알고리즘은 일반적인 레티클 검사에서 사용되는 것과 동일하거나 실질적으로 유사할 것이다. 한 실시예에서, 결함 검출에 대한 제2 시뮬레이션 이미지와 비교되는 기준 이미지는 웨이퍼 프린팅 공정의 하나 이상의 명목상 수치로 웨이퍼에 레티클이 어떻게 프린트될지를 나타내는 시뮬레이션 이미지를 포함한다. 다른 실시예에서, 결함 검출에 대한 제2 시뮬레이션 이미지와 비교되는 기준 이미지는 레티클 설계 데이터가 웨이퍼에 레티클 설게 데이터가 어떻게 이상적으로 프린트될지를 나타낸다. 이런식으로, 기준 이미지는 RET 장식에 앞서 설계자가 이상적이라고 생각한 회로 레이아웃과, 최적의 초점 및 노광 조건에 있는 시뮬레이션 웨이퍼 이미지 중 어느 하나 일 수 있다.
결함 검출에 사용되는 기준 이미지는 앞서 설명된 기준 이미지를 변형한 것 일 수도 있다. 예를 들어, 앞서 기재된 것처럼, 기준 이미지는 최적의 초점 및 노광 조건에서 시뮬레이션된 패턴 또는 설계자가 의도한 레티클 패턴 레이아웃일 수 있다. 기준 이미지는 명목상의 마스크 제조 조건하에서 레티클에 프린트될 장식이 없는 패턴을 나타내도록, 이러한 "설계자가 의도한" 레이아웃은 예상되는 마스크를 설계 경향(bias)으로 시뮬레이션함으로써 수정될 수 있다.
기준 이미지는 레티클 설계 데이터가 최적의 초점 및 노광 조건에서 웨이퍼에 어떻게 프린트될지를 나타내는 시뮬레이션 이미지일 수도 있다. 이러한 시뮬레이션 기준 이미지의 유용성은 시험 중에 설계에 포함되는 기준 시험 셀의 데이터 및/또는 웨이퍼 프린트 이미지를 이용하여 시뮬레이션 모델을 교정함으로써 개선될 수 있다. 또는, 기준 시뮬레이션 이미지는 웨이퍼 이미지 및/또는 이전의 레티클 또는 시험 레티클에 포함되었던 새로운 설계의 섹션에 대한 데이터를 이용하여 수정될 수 있는데, 이는 웨이퍼 레벨에서 이용할 수 있다.
또한 기준 이미지 시뮬레이션은 종래의 설계 또는 시험 레티클로부터 수집된 공중 이미지 데이터(aerial image data)를 이용하여 교정될 수도 있다. 기준 공중 이미지 데이터는 현존 레티클을 스캐너에 삽입하여 웨이퍼 평면에서 공중 이미지를 측정함으로써 생성될 수 있다. 또는, 기준 공중 이미지는 물리적 노광 시스템(스캐너)의 성능을 모방하도록 설정된 광학 조건을 갖는 공중 이미지 결함 검출 및/또는 검토 장치에 하나 이상의 현존 레티클을 삽입함으로써 생성될 수 있다. 공중 이미지 결함 검출 및/또는 검토 장치의 예는 본원에 완전히 기재된 것처럼 참고로 포함되는 2003년 10월 6일에 스토코브스키(Stokowski) 등이 출원한 미국특허출원 제 09/679,617호에 기재되어 있다.
제2 시뮬레이션 이미지는 또한 다른 형태의 기준 이미지와 비교될 수도 있다. 예를 들어, 웨이퍼 프린팅 공정의 하나 이상의 매개변수의 명목상 수치로 웨이퍼에 레티클이 어떻게 프린트될지를 나타내는 기준 시뮬레이션 이미지는 하나 이상의 매개변수에 따라 달라지는 레티클 설계 데이터 내의 결함을 검출하는데 특히 유용하다. 즉, 이러한 기준 이미지는 레티클을 가지고 이용될 수 있는 공정 윈도우를 줄일 수 있는 레티클 설계 데이터에서 결함을 검출하는데 특히 유용하다. 특히 특정 결함은 명목상의 매개변수에서 나타나지 않을 수 있지만, 특히 매개변수가 명목상의 매개변수로부터 멀어질 때 제2 시뮬레이션 이미지에서 나타날 수 있다. vPWQ는 제2 이미지에서 결함 중 적어도 하나의 결함이 나타나는 상이한 수치 중 어느 것을 결정할지를 포함할 수 있다. 이러한 결함(예를 들어, 결함이 "치명적인(Killer)" 결함이든 아니든 간에)의 특성과 이러한 결함이 나타나는 매개변수의 수치에 따라, 웨이퍼 프린팅 공정에서 레티클에 대해 이용될 수 있는 공정 윈도우는 미리 정해진 공정 윈도우보다 적을 수 있다. 따라서, 한 실시예에서, 이 방법은 검출 단계의 결과에 기초하여 웨이퍼 프린팅 공정에 대해 공정 윈도우를 결정하는 것을 포함한다. 레티클의 공정 윈도우 내에서 웨이퍼 프린팅 공정의 예상되는 성능에 대한 조사는 PWQ 및 vPWQ 방법의 특별한 능력이다.
그러나, 이러한 기준 이미지는 어떻게 레티클 설계 데이터가 명목상 매개변수로 웨이퍼에 프린트될지를 나타내기 때문에, 이러한 비교는 웨이퍼에 전혀 프린트되지 않는 특징과 같은 레티클 설계 데이터 내의 결함을 검출할 수 없을 수 있 다. 특히, 단순히 프린트하지 않을 레티클 설계 데이터 내의 특징이 있다면, 이러한 특징은 명목상 매개변수에 대해 시뮬레이션된 기준 이미지와 제2 시뮬레이션 이미지 둘 다로부터 찾을 수 없을 것이다. 따라서, 찾을 수 없는 특징은 이러한 비교에 의해서는 검출되지 않을 것이다. 그러나, 레티클 설계 데이터의 이상적인 이미지를 나타내는 기준 이미지는 프린트될 수 있는 특징과 프린트될 수 없는 특징을 모두 포함할 것이다. 이런식으로, "이상적인" 기준 이미지와 제2 시뮬레이션 이미의 비교는 찾을 수 없는 특징 형태의 결함을 검출하는데 이용될 수 있다. 이와 같이, 상이한 종류의 결함을 검출하기 위해 제2 시뮬레이션 이미지와 상이한 기준 이미지를 다중으로 비교할 수 있고, 따라서 vPWQ 방법의 결함 검출 성능을 향상시킬 수 있게 된다.
앞서 기술한 바와 같이, 결함 검출은 시험 이미지와 기준 이미지를 비교함으로써 수행될 수 있다. 역치값(threshold value) 보다 큰 수치로 기준 이미지 내의 대응하는 영역과 상이한 시험 이미지 내의 영역은 결함이 있는 것으로 경고 된다. 물리적 레티클 검사 시스템에서 사용되는 모든 알고리즘 도구는, 특정 위치의 기하형상(geometry)에 기초하는 결함을 변경하는 것과, 수가 변하는 가장 가까운 이웃 픽셀에 적용되는 상이한 평활화 필터(smoothing filter)에 기초하여 다중 알고리즘 검출기를 적용하는 것과, 단일 엣지 오배치(CD 에러) 및/또는 이중 엣지 오배치(기록 에러)를 검출하는 것을 포함하여, 이러한 결함 검출 단계에서 사용될 수 있다.
결함 검출 알고리즘은 물리적 레티클 검사장치에 사용되는 것과 동일한 조율 능력을 가질 수 있다. 특히, 여기에 기재된 방법에 사용되는 알고리즘은 알고리즘 의 감도가 장치의 성능과 결과값에 강한 영향을 주는 결함을 검출하기 위해 최적화될 수 있도록 구성될 수 있지만, 이상적인 이미지 성능과 실제 이미지 성능의 작은 차이에 의해 압도되지는 않을 것이다. 이러한 한 실시예에서, 알고리즘의 감도는 레티클 설게 데이터의 하나 이상의 특성(예를 들어 치수, 임계값, 등등)에 기초하여 레티클 설계 데이터 내에서 영역에 따라 달라질 수 있다. 다른 실시예에서, 알고리즘의 감도는 검출 단계의 결과에 기초하여 실시간으로 또는 동적으로 변경될 수 있다. 알고리즘의 감도는 공지된 방법으로(예를 들어, 알고리즘에 사용된 역치를 변경함으로써) 변경될 수 있다.
한 실시예에서, 여기에 기재된 방법은 선택적인 감도를 가지고 결함을 검출하도록 구성될 수 있고, 이는 "스마트검사(SmartInspection)"라고 본 문서에서 칭한다. 예를 들어, 결함은 상이한 공정 조건에 있는 시뮬레이션 웨이퍼 이미지를 명목상의 이미지 또는 기준 이미지와 비교함으로써 vPWQ에 의해 확인될 수 있다. 이러한 비교의 감도는 직접 vPWQ 공정의 결함 검출 결과에 영향을 미친다. 감도가 너무 높으면, vPWQ가 너무 많은 수의 결함을 검출할 것이므로 모든 레티클 설계는 실패할 것이다. 반면에, 감도가 너무 낮으면, 있을 수 있는 치명적인 결함이 검출로부터 빠져나갈 수 있다. 스마트검사를 수행하기 위해 사용될 수 있는 방법의 예는 본 문서에 완전히 기재된 것처럼 참고로 포함되는 2004년 7월 1일에 마렐라(Marella) 등이 출원한 미국특허출원 제10/883,372호에 기재되어 있다. 이 특허출원에 기재된 것처럼, 설계의 모든 영역이 장치의 성능에 똑같이 치명적인 것은 아니다. 또한, 설계자가 가장 치명적인 영역 및/또는 장치 성능에 문제가 되지 않 는 영역(모형으로 채워진 영역, 과잉 접촉, 연결되지 않은 라인 등등)을 나타내도록 레티클 설계 데이터의 각 부분에 태그를 붙이면, 가장 치명적인 영역에 대해서는 최대의 감도를 적용하고 치명적이지 않은 영역에는 감소된 감도를 적용하도록 vPWQ의 감도는 지역에 따라 달라질 수 있다. 이러한 결함 검출 방법의 예는 또한 본 문서에 완전히 기재된 것처럼 참고로 포함되는 2004년 12월 3일에 헤스(Hess) 등이 출원한 미국특허출원 제11/003,291호에 기재되어 있다.
본 문서에 기재된 것처럼, 본 방법은 레티클 설계 데이터에 대해 공정 윈도우를 결정하는 것을 포함할 수 있다. 공정 윈도우는 제2 시뮬레이션 이미지가 받아들일 수 있는 수준의 결함도를 나타내는 하나 이상의 매개변수의 수치를 포함할 수 있다. 또한, 여기에 기재된 방법은 하나 이상의 매개변수의 수치의 변화에 대해 레티클 설계 데이터의 상이한 영역의 감도를 결정하는 것을 포함할 수 있다. 예를 들어, 한 실시예에서, 이 방법은 레티클 설계 데이터의 다른 영역에서 결함이 나타나는 상이한 수치보다 웨이퍼 프린팅 공정의 하나 이상의 매개변수에 대한 명목상의 수치에 더 가까운 웨이퍼 프린팅 공정의 하나 이상의 매개변수의 상이한 수치로 결함이 나타나는 레티클 설계 데이터 내의 영역을 결정하는 것을 포함할 수 있다. 즉, 매개변수가 명목상 수치로부터 멀어져 갈 때 영역이 결함을 얼마나 빨리 나타내는지에 기초하여 상이한 영역은 레티클 설계 데이터에서 확인될 수 있다. 이러한 정보는 본 문서에 기재된 수 많은 실시예에서 이용될 수 있다. 예를 들어, 이 정보는 레티클 검사, 웨이퍼 검사, 공정 제어 방법 등등을 특정 레티클 설계에 대해 맞추는데 이용될 수 있다.
여기에 기재된 방법은 또한 검출 단계의 결과에 기초하여 레티클에 대해 검사 공정을 생성하는 것을 포함할 수 있다. 이 실시예에서, 스마트검사의 개념을 더욱 확장하면, vPWQ 검사의 출력을 사용하여 제작된 레티클의 검사의 감도를 변경시킬 수 있다. 특히, vPWQ 결과가 가장 빡빡한 공정 윈도우를 나타내는 레티클 설계 데이터의 영역에서 레티클 검사의 감도는 더 높을 수 있는 반면, vPWQ 결과가 보다 편안한 공정 윈도우를 나타내는 영역에서 감도는 더 낮을 수 있다. 이러한 레티클 검사 방법은 또한 앞에서 참고로 포함된 헤스 등이 출원한 특허출원에 기재되어 있다.
다른 실시예에서, 본원에 기재된 방법은 검출 단계의 결과에 기초하여 웨이퍼에 대해 검사 공정을 생성하는 것을 포함할 수 있다. 한 실시예에서, 본원에 기재된 방법은 웨이퍼의 위치의 함수로서 프린트된 웨이퍼의 검사 감도를 변경하도록 vPWQ 방법의 출력을 이용하여 스마트검사 개념을 이용할 수 있다. 구체적으로, 웨이퍼 검사의 감도는 vPWQ 결과가 가장 빡빡한 공정 윈도우를 나타내는 영역에서 더 높을 수 있는 반면, vPWQ 결과가 보다 편안한 공정 윈도우를 나타내는 영역에서 감도가 더 낮을 수 있다.
다른 실시예에서, 본 방법은 검출 단계(예를 들어, 검출 단계의 결과가 레티클 설계 데이터에 중대한 결함이 없다는 것을 나타내면)에 이어서 일어나는 레티클을 제작하는 단계를 포함할 수 있다. 이 방법의 실시예는 또한 레티클을 검사하는 것과, 검출 단계 및 레티클의 검사의 결과에 기초하여 웨이퍼에 대한 검사 공정을 생성하는 것을 포함할 수 있다. 한 실시예에서, 본원에 기재된 방법은 웨이퍼에서 위치 함수로서 프린트된 웨이퍼의 검사의 감도를 결정하기 위해, 제작된 레티클의 검사와 결합된 vPWQ의 결과를 가지고 스마트 검사 개념을 이용한다. 따라서, 물리적인 레티클 검사가 아무런 문제를 보이지 않고 vPWQ 시뮬레이션이 비교적 편안한 공정 윈도우를 예측하는 레티클 설계 데이터의 영역에서, 웨이퍼 검사 감도는 완화될 수 있다. vPWQ가 제한된 공정 윈도우를 검출했거나 레티클 검사가 명목상의 값으로부터의 이탈이 결함으로 간주될 정도로 충분히 크지 않은 최적의 패턴 보다 작다고 발견된 영역에서 감도는 증가될 수 있지만, 공정 윈도우("서브스펙 영역(subspec region))"를 제한할 수 있다. 가장 높은 감도는 vPWQ와 레티클 검사 모두가 공정 윈도우의 있을 수 있는 제약을 확인한 위치에 대해서 유보될 수 있다. 어떤 실시예에서, 본 방법은 vPWQ 방법의 검출 단계의 결과, 레티클 검사의 결과, 레티클 설계 데이터의 설계자에 의해 생성된 치명적인 특징 데이터, 또는 이들을 조합한 것에 기초하여 웨이퍼에 대해 검사 공정을 생성하는 것을 포함할 수 있다.
다른 실시예에서, 본 방법은 레티클 설계 데이터의 제2영역 또는 다른 영역보다 불완전하게 프린트될 가능성이 더 큰 레티클 설계 데이터의 제1영역을 식별하는 단계를 포함할 수 있다. 이 실시예 중 하나는 또한, 식별 단계의 결과에 기초하여 레티클로 프린트되는 웨이퍼에 대해 공정 제어 방법을 생성하는 것을 포함할 수 있다. 이런식으로, 본 방법은 특정 레티클 설계에 대해 최고의 웨이퍼 계측(metrology) 샘플 계획을 결정하는 것을 포함할 수 있다. 예를 들어, vPWQ 및/또는 레티클 검사가 제한된 공정 윈도우를 식별된 영역에 대응하는 웨이퍼에서의 위치를 측정하기 위해 특별히 주의해야 할 수 있고, 그 위치에서 장치가 구체적인 세 목을 충족할 수 있도록 임계치수(CD) 측정이 수행될 수 있다. 또한, 이 위치에서 수행된 측정치의 감도 및/또는 수를 증가시키는 것과 같은 방식으로 특별한 주의가 취해질 수 있다.
다른 예에서, vPWQ, 레티클 검사, 및/또는 물리적인 웨이퍼 PWQ에 의해 결정된 것과 같은 가장 제한된 공정 윈도우를 가지고 레티클 설계 데이터에서 영역을 확인하는 것은 또한 임계치수(CD) 제어 방법 또는 반도체생산공장(fab)에서 사용되는 다른 계측 내지 공정 제어 방법을 개선하는데 이용될 수 있다. 예를 들어, 시험 구조에서 가장 안정적인 임계치수(CD)나 다른 특징을 얻기 위해 광선량과 초점을 추적하여 조절하는 대신, 가장 제한된 공정 윈도우를 갖는 영역을 확인함으로써 제어 루프(통계 공정 제어(statistical process control(SPC) 및/또는 자동 공정 제어(automatic process control(APC))가 최적화되어, 이 위치들이 허용된 설계사항의 한계를 벗어나지 않게 될 수 있다. 제어 루프의 최적화는 a) 가장 제한된 공정 윈도우를 가지고 구체적인 특징을 측정하도록 계측 샘플 계획을 옮기는 것; 또는 b) 다른 특징을 측정하고, 시험 구조 치수의 프린팅에 이르게 되는 초점 및 노광 조건을 결정하고, 초점 및 노광의 결정된 수치에서 공정 윈도우의 제한된 특징의 예상되는 결과를 예측하도록 시뮬레이션을 적용하는 것 중 어느 하나를 포함할 수 있다. 이러한 최적화 기술은 본원에 완전히 기재된 것처럼 참고로 포함되는 2004년 2월 13일에 프레일(Preil) 등이 출원한 미국특허출원 제10/778,752에 기재된 임계치수(CD) 제어 기술을 확장한 것이다.
다른 실시예에서, 본원에 기재된 방법은 상기 설명한 식별 단계에 기초하여 레티클 설계 데이터를 변경하는 것을 포함할 수 있다. 예를 들어, vPWQ 출력은 설계자 또는 설계 공정에 다시 공급될 수 있다. 결과값은 회로의 시간 조절, 잡신호, 및 레티클 설계 데이터를 가지고 프린트되는 웨이퍼에 형성되는 회로의 전기 성능을 결정하는데 이용될 수 있다. 또한 결과값은 vPWQ에 의해 검출된 결함이 회로 성능에 영향을 미칠 수 있는 크기와 같은 특성을 갖는지 여부를 결정하는데 사용될 수 있다. 현재, 레이아웃 도구에 의해 도출된 이상적인 설계가 웨이퍼에 나타나게 되는 것이라고 가정하면서, 이러한 물리적인(또는 전기적인) 검증이 수행된다. 그러나, 명목상의 경우로부터 예상되는 이탈을 식별하기 위해, 또한 명목상의 경우로부터 가장 큰 이탈을 가질 회로의 영역의 위치를 알아내기 위해 본 문서에 기재된 vPWQ 방법이 사용될 수 있다.
명백히, 현재 이용할 수 있는 하드웨어를 이용하여, 있을 수 있는 크기의 전체 영역을 가로질러 트랜지스터의 모든 개개의 특징을 변화시키면서 수 백만개의 트랜지스터를 가지고 회로의 전기 성능을 설계하려고 하는 것은 유용하지 않을 것이다. 그러나, 여기에 기재된 vPWQ 방법은 바뀌고 시험되는 레티클 설계 데이터 내의 지점의 개수를 관리할 수 있는 개수로 줄일 수 있다. 설계자 또는 설계 공정 또는 도구는 이러한 데이터와 정보를 이용할 수 있는데, 회로의 이러한 데이터와 정보의 일부는 설계를 마스크 세트에 보내기 전에 제한된 수의 추가 검증작업을 함에 있어서 장치의 성능에 가장 결정적인 역할을 한다.
물리적인 PWQ와 상이한 vPWQ의 다른 특징은 가상 검사를 진행하는 동안 시뮬레이션 조건을 마음대로 바꿀 수 있다는 것이다. 특히, PWQ는 초점 및 노광의 미리 조정된 조건하에서 프린트된 물리적인 웨이퍼에 의존한다. 이미지가 웨이퍼에 프린트될 수 있는 이러한 조건의 수는 비교적 제한적이기 때문에, 데이터는 비교적 거칠고(예를 들어 0.1㎛의 초점 증분), 따라서 한 세팅으로부터 다른 세팅이 있을 때 결함의 수의 변동은 클 수 있다. 그러나, vPWQ는 검사할 때(예를 들어, vPWQ가 수행될 때 실시간으로), 세팅을 바꿀 수 있다. 예를 들어, 미리 조정된 초점 또는 노광의 증분에서 너무 많은 결함이 일어나면, 간극에 채워지도록 추가 시뮬레이션이 자동으로 실행되어 결함이 프린트되기 시작하는 정밀한 초점 및/또는 노광을 결정할 수 있다. 따라서, vPWQ는 각 임계 특성에 대해 허용된 공정 윈도우의 훨씬 더 정확한 지도를 생성할 수 있다.
본 문서에 기재된 vPWQ 방법은 이진 마스크(크롬 온 글라스(COG) 마스크) 또는 OPC와 같은 수 많은 RETs 중 어느 하나를 갖는 마스크, 위상반전 마스크(상호 구멍(alternate aperture) 또는 임베디드 PSM(EPSM)), 분산 바와 세리프(serifs)와 해머헤드(hammerheads)와 같은 해상할 수 없을 정도의 작은 보조 패턴(SRAFs), 크롬이 없는 위상반전 마스크(CPL), 및 그레이 스케일 이미지에 대해 레티클 설계 데이터에서 결함을 검출하는데 이용될 수 있다. 여기에 기재된 vPWQ 방법은 또한 원하는 패턴이 상이한 조명 조건에서 각각 이미지를 형성하는 다수의 패턴으로 분해되는 보완 마스크(complementary mask)에 적용될 수 있다. 보완 마스크에 대해, vPWQ 방법은 시뮬레이션 이미지를 생성하는 것을 포함하며, 각각의 시뮬레이션 이미지는 어떻게 상이한 다수의 패턴이 상이한 레티클에 의해 웨이퍼에 개별적으로 프린트될지를 나타낸다. vPWQ 방법은 스캐너에 다중 노광 웨이퍼 프린팅 공정을 이 용하여 어떻게 웨이퍼에 최종 레티클 설계 패턴이 프린트될지를 나타내는 생성된 추가 시뮬레이션 이미지(시험 이미지)와 협력하여 각각의 시뮬레이션 이미지를 사용할 수 있다.
여기에 기재된 vPWQ 방법은 가시광선, 자외선, 및 짙은 자외선 조명(예를 들어, 248mm, 193mm, 157mm 광원)을 이용하는 광학 리소그래피 공정과, 전자빔 리소그래피, 또는 거의 13mm의 노광 파장과 반사 마스크를 이용하는 극자외선 리소그래피에 동등하게 적용될 수 있다. vPWQ 방법은 또한 레티클 검사가 불가능한 마스크가 없는 리소그래피 시스템에도 적용될 수 있다. 이 경우, vPWQ 검사는 웨이퍼 레벨에서 어려운 다이-데이터베이스 검사를 할 필요를 최소화하는 이점을 추가로 갖고 있다.
따라서, 본 문서에 기재된 방법은 다른 레티클 설계 데이터 검사 방법에 비하여 수많은 이점을 가지고 있다. 예를 들면, 본 문서에 기재된 방법은 마스크와 프린팅 웨이퍼를 제조하기 전에 레티클 설계 데이터에서 공정 윈도우 경계를 검출하여 교정하는데 이용될 수 있다. 이와 같이, 여기에 기재된 레티클 설계 데이터 검사 방법은 현재 이용되는 방법보다 실질적으로 비용이 적게 든다. 또한, 마스크 제작 공정과 웨이퍼 패턴 전달 공정이 시뮬레이션 된다. 따라서, 여기에 기재된 방법은 어떻게 레티클 설계 데이터가 레티클 제조 공정 및 웨이퍼 프린팅 공정에 의해 변경될 수 있을지를 설명하고 있다. 설계자에게, vPWQ는 여러가지 비싼 마스크들을 구입하지 않고 여러가지 설계를 시험할 수 있는 능력을 제공한다. 따라서, 설계자는 장치의 생산량을 최대로 하기 위해 가장 넓은 공정 윈도우를 가진 레티클 설계 장치를 선택할 수 있다. 또한 vPWQ는 레티클 검사, 웨이퍼 검사, 및 CD 계측, 및 선택적인 감도(샘플 계획, 공정 윈도우 센터링, 및 생산을 위해 이용가능한 공정 윈도우를 최대화 하는것)를 갖는 공정 제어 방법을 생성할 수 있는 능력을 제공한다. 게다가, vPWQ 방법의 결과는 회로 설계를 최적화(예를 들어, 시간 조절, 잡신호, 및 다른 전기 매개변수, 또는 이들을 조합한 것의 하나 이상의 매개변수를 최적화하는 것)하는데에 이용될 수 있다.
웨이퍼 레벨 시뮬레이션의 한 바람직한 실시예는 웨이퍼에 형성될 수 있는 최종 물리적 패턴을 결정하기 위해, 공중 이미지(레티클 제조 공정), 레지스트 공정(웨이퍼 프린팅 공정), 및 에칭 공정을 시뮬레이션하는 것을 포함한다. 다른 방법은 공중 이미지 시뮬레이션만 포함하는데, 이는 CD의 함수로서 실제 광레지스트 성능을 모방하도록 교정된 조절가능한 역치 모델을 갖는 공중 이미지 시뮬레이션; 및, CD, 피치, 및 위치 환경의 함수로서 레지스트 공정 및 에칭후에 패턴의 전송을 모방하도록 교정된 조절가능한 역치를 갖는 공중 이미지 시뮬레이션이다.
따라서, 웨이퍼 레벨에서의 시뮬레이션은 단지 리소그래피(웨이퍼 프린팅) 공정이 아닌, 에칭, 폴리싱, 필름 증착 또는 성장, 및 장치의 최종 구조에 영향을 미치는 어떤 단계 중 어느 하나 또는 이들을 조합한 것 내지 이들 모두를 포함하는 완전한 패턴 전송 공정을 포함할 수 있다. 상이한 수치에서 시뮬레이트된 이미지가 생성될 수 있는 리소그래피 매개변수는 또한 부분적인 일치의 정도, 조명 모드, 수치 구멍, 렌즈 수차(예를 들어, 제르니케(Zernike 계수)), 레지스트 매개변수(예를 들어, 두께, 현상율 모델(development rate model), 집중상수 모델(lumped parameter model), 딜 계수(Dill coefficients), 열확산계수) 및/또는 필름 매개변수(예를 들어, 기판 반사도, 두께, 반사방지 코팅 성질 등등)을 포함할 수 있다.
또한, 웨이퍼 레벨에서의 시뮬레이션은 패턴 구조의 물리적 시뮬레이션에 더하여 장치 성능의 전기적 시뮬레이션을 포함할 수 있다. 장치의 전기적 성능( - 회로의 선택된 영역의 매개변수 성질(예를 들어, 저항, 커패시턴스, 트랜지스터 성능 등등), 또는 회로의 한 지역에 배치된 영역의 성능(예를 들어, 위상고정 루프 주파수, 시간조절 등등), 또는 마지막 사용에서 의도된 대로의 완전한 회로의 시뮬레이션 성능 - )은 설계에 대해 성공/실패 기준으로서 이용될 수 있고, 추가로 검사, 계측, 및/또는 공정 제어를 위해 임계 영역을 선택하기 위해 이용될 수 있다. 한 실시예에서, 이 방법은 vPWQ 방법의 결과에 기초하여 레티클 설계 데이터를 변경하는 것을 포함할 수 있다. 이 실시예에서, 레티클 데이터는 레티클 설계 데이터의 RET 특성 데이터를 변경함으로써 변경될 수 있다.
웨이퍼에 프린트된 레티클 설계 데이터의 시뮬레이션은 다수의 상이한 레티클 제조 공정의 매개변수를 이용하여 수행될 수 있고, 웨이퍼 프린팅의 시뮬레이션은 다수의 상이한 노광 장치, 에칭 도구, 또는 다른 공정에 대한 매개변수를 이용하여 수행될 수 있다. 각각의 도구 내지 공정이 다른 방식으로 패턴 전송에 영향을 미치는 상이한 수치를 가지게 될 것이므로, 상이한 공정과 도구에 대해 레티클 설계 데이터를 검사하는 것은 유용한 것이다. vPWQ 시뮬레이션은 설계, 광학 개선(예를 들어, OPC, RET 등등), 마스크 제조공정, 및 웨이퍼 제조공정의 최적화된 조합을 결정하는데 이용될 수 있다. 한 실시예에서, 이 방법은 레티클 제조공정의 하나 이상의 매개변수의 상이한 수치에서 어떻게 레티클 설계 데이터가 레티클에 프린트될지를 나타내는 추가 시뮬레이션 이미지를 생성하는 것을 포함할 수 있다. 또한, 이러한 실시예는 레티클에 설계 패턴의 결함을 최소로 생성하는 레티클 제조공정의 하나 이상의 매개변수의 상이한 수치를 선택하는 것을 포함할 수 있다.
또한, 레티클과 웨이퍼의 검사, CD 제어, 및 설계 최적화에 대해 vPWQ 개념 및 데이터를 연결시키는 것은 다이(die)-데이터베이스 검사에 기초하여 프린트된 웨이퍼를 이용함으로써 수행될 수 있다. 비록 이러한 프린트된 웨이퍼 검사를 수행하는 것이 레티클과 프린팅 웨이퍼를 제조하는 것과 관련이 있지만, vPWQ 방법의 이러한 실시예는 집적회로 제조공정에 여전히 효용성 있는 가치를 부여할 것이다.
본 문서에 기재된 vPWQ 방법을 수행하도록 구성된 시스템은 최첨단 기술인 KLA-텐코(KLA-Tecor)의 레티클 검사 시스템과 유사해 보이지만, 선택적으로 레티클 조작 및 광학 시스템이 없다. 이러한 시스템은 검사 매개변수를 한정하기 위해 사용될 수 있는 사용자 인터페이스와, 들어오는 레티클 설계 데이터를 처리하고 데이터로부터 시뮬레이션 마스크 레벨을 만들기 위한(즉, 앞서 기술한 바와 같이 제1시뮬레이션 이미지를 생성하기 위해) 컴퓨터 시스템을 포함할 수 있다. 이 시스템은 또한 초점 및/또는 노광 조건의 범위에서 웨이퍼 패턴 전송에 대해 마스크를 시뮬레이션하기 위해(즉, 앞서 기술한 것처럼 제2시뮬레이션 이미지를 생성하기 위해) 큰 병렬 컴퓨터 시스템을 포함할 수 있다.
제2시뮬레이션 이미지가 웨이퍼 프린팅 공정의 초점 및 노광의 상이한 수치에 대해 생성되면, 앞서 기술한 하나 이상의 컴퓨터 시스템은 각각의 초점-노광(F- E) 조합에 대해 완전한 칩의 기준 이미지와 시험 이미지를 비교하도록 구성될 수 있고, 또한 검사 수단에 설정된 조건하에서 결함이 되는 위치를 확인하도록 구성될 수 있다. 이러한 결함 위치는 F-E 세팅 사이에서 비교될 수 있고, 다중 F-E 조건하에서 동일한 위치에서 발생하는 결함은 단일의 결함에 연결될 수 있다. 각각의 결함은 초점 및 노광 조건의 범위를 가지고 표시될 수 있는데, 이러한 초점 및 노광 조건하에서 시뮬레이션 이미지 내의 결함으로 프린트된다. 검토 및 분석을 위해 결함은 자동으로 우선순위가 매겨질 수 있다. 가장 높은 우선순위는 명목상의 F-E 조건에 가장 가까운 곳에서 발생하는 결함 및/또는 결함이 없는 가장 제한된 범위를 갖는 결함에 할당되게 된다. 결함 지도가 검토 및 분류를 위해 사용자에게 제공될 수 있고, 온라인(시뮬레이션 엔진에 연결됨)이든 오프라인이든 추후의 검토 및/또는 추가 분석을 위해 검사 보고가 생성되어 기록될 수 있다.
다수의 주물공장에 생산품을 공급하는 팹리스(fabless) 설계 회사(제조설비가 없는 회사)에 대한 추세에 의해, 여기에 기재된 vPWQ 방법을 이용하여 입증될 수 있는 설계의 수는 급속히 증가하고 있다. 주물공장은 시기적절한 방법으로 모든 설계를 적합하게 하도록 다수의 vPWQ 도구를 이용할 수 있고, 이는 광범위한 생산품(통신, 무선, 소비자 장치)을 갖는 IDMs이 될 것이다. 또한, 여기에 기재된 방법은 캘리포니아 산 호세의 KLA-텐코에서 상용으로 생산하는 테라스캔 시스템(TeraScan system)과 같은 현재 사용되는 레티클 검사 시스템에서 이용되는 것과 유사한 하드웨어를 이용하여 수행될 수 있다. 이런식으로, 여기에 기재된 방법은 실질적인 개발비용 없이 구현될 수 있고, 현재 이용되는 레티클 검사 시스템에서 상업적으로 이용될 수 있다. 나아가, 여기에 기재된 방법은 데이터가 쉽게 시스템사이에서 공유될 수 있도록, 레티클 검사, 웨이퍼 검사, 계측 및 분석 도구에 연결된 하드웨어에서 수행될 수 있다.
도1은 레티클 설계 데이터 내의 결함을 검출하는 방법의 한 예를 나타내는 흐름도이다. 이 방법은 도1의 단계 10에 도시된 바와 같이, 회로를 설계하는 것을 포함한다. 회로는 기술분야에 널리 알려진 방법 또는 시스템을 이용하여 설계될 수 있다. 또한 이 방법은 단계 12에 도시된 것과 같이 설계를 검증하는 것을 포함한다. 설계의 검증은 설계의 물리적 특성을 검증하는 것을 포함한다. 물리적 검증은 기술분야에 널리 알려진 방법 또는 시스템을 이용하여 수행될 수 있다. 단계 14에 나타난 것처럼, 이 방법은 회로 설계가 물리적 검증에 합격하는지 실패하는지의 여부를 결정하는 것을 포함한다. 만일 설계가 물리적 검증에 실패하면, 이 방법은 회로를 재설계하고 물리적 검증을 반복하는 것을 포함하며, 이는 단계 10, 단계 12, 단계 14를 반복하는 것을 포함할 수 있다.
만일 설계가 물리적 검증을 통과하면, 단계 16에 나타난 것처럼 본 방법은 회로에 대한 레이아웃을 생성하는 것을 포함한다. 회로의 레이아웃이 기술분야에 알려진 방법 또는 시스템을 이용하여 생성될 수 있다. 또한, 단계 18에 나타난 것처럼, 회로의 레이아웃을 검증하는 것을 포함한다. 레이아웃의 검증은 논리적 검증 및/또는 설계규칙검사(DRC)를 포함할 수 있다. 레이아웃 검증은 기술분야에 알려진 어떤 방법 내지 시스템을 이용하여 수행될 수 있다. 단계 20에 도시된 것처럼, 본 방법은 레이아웃이 검증에 합격했는지 실패했는지의 여부를 결정하는 것을 포함한 다. 레이아웃이 검증에 실패하면, 본 방법은 회로의 레이아웃을 변경하여 수정된 회로의 레이아웃을 재검증하는 것을 포함하며, 이는 단계 16, 단계 18, 및 단계 20을 반복하는 것을 포함할 수 있다.
레이아웃이 검증을 통과하면, 단계 22에서 볼 수 있듯이 본 방법은 회로 레이아웃에 RETs를 부가하는 것을 포함한다. 이 단계는 보통 회로의 레이아웃을 "장식(decorating)"하는 것으로 불린다. RETs를 회로의 레이아웃에 부가하는 것은 기술분야에 알려진 방법으로 수행될 수 있다. RETs는 공지의 RETs라면 어떤 것이든 포함할 수 있다. 단계 24에서 볼 수 있듯이, 본 방법은 장식을 검증하는 것을 포함한다. 장식을 검증하는 것은 광학규칙검사(optical rule checking; ORC)를 포함할 수 있다. 단계 26에서 볼 수 있듯이, 본 방법은 장식된 레이아웃이 검증을 통과했는지 아닌지를 결정하는 것을 포함한다. 만일 장식된 설계가 검증에 실패하면, 본 방법은 장식된 설계에서 RETs를 변경하고 장식된 설계를 재검증하는 것을 포함하며, 이는 단계 22, 단계 24, 및 단계 26을 반복하는 것을 포함할 수 있다.
장식된 설계가 검증을 통과하면, 단계 28에서 볼 수 있듯이, 이 방법은 마스크를 제조하는 것을 포함한다. 단계 30에서 볼 수 있듯이, 본 방법은 마스크 검사를 이용하여 마스크를 검증하는 것을 포함한다. 마스크는 공지의 어떤 시스템 및 방법을 이용하여 검사될 수 있다. 단계 32에서 볼 수 있듯이, 본 방법은 마스크가 검증을 통과했는지 실패했는지의 여부를 결정하는 것을 포함한다. 마스크가 검증에 실패하면, 단계 34에서 볼 수 있는 것처럼 본 방법은 마스크가 수리될 수 있는지 여부를 결정한다. 마스크가 복구될 수 있다고 결정되면, 본 방법은 단계 36에서 볼 수 있듯이 마스크를 복구하고, 복구된 마스크를 재검증하는 것을 포함하며, 이는 단계 30 및 단계 32를 반복하는 것을 포함할 수 있다. 마스크가 복구될 수 없다고 결정되면, 단계 38에서 볼 수 있듯이, 본 발명은 마스크를 스크레이핑(scrapping)하는 것을 포함하고 선택적으로 공정을 다시 회전가공(re-spinning)하는 것을 포함한다.
마스크가 검증을 통과하면, 본 방법은 단계 40에서 볼 수 있듯이 웨이퍼를 프린팅하는 것을 포함한다. 단계 42에서 볼 수 있듯이, 본 방법은 프린트된 웨이퍼를 검증하는 것을 포함한다. 한 예로, 프린트된 웨이퍼를 검증하는 것은 PWQ 웨이퍼 검사를 포함하며, 이 검사는 앞서 설명된 것처럼 수행될 수 있다. 단계 44에서 볼 수 있듯이, 본 방법은 프린트된 웨이퍼가 검증을 통과하는지 아닌지의 여부를 결정한다. 웨이퍼가 검증에 실패하면, 본 발명은 단계 46에서 볼 수 있듯이, 웨이퍼가 검사에 실패하게 한 레티클 내의 결함이 수리될 수 있는지의 여부를 결정하는 것을 포함한다. 만일 레티클의 결함이 수리될 수 있다면, 본 발명은 단계 36에서 볼 수 있는 것처럼 마스크를 수리하는 것을 포함하며, 도1에 도시된 것처럼 단계 36 이후에 본 방법이 계속 진행될 수 있다. 레티클의 결함이 수리될 수 없는 것으로 결정되면, 단계 38에서 볼 수 있듯이, 본 방법은 마스크를 스크레이핑 하는 것을 포함하고 선택적으로 공정을 다시 회전가공(re-spinning)하는 것을 포함한다. 만일 웨이퍼가 검증을 통과하는 것으로 결정되면, 단계 48에서 볼 수 있듯이, 레티클이 생산되도록 하는 것을 포함한다.
도1에 도시된 방법에서, 웨이퍼가 프린트될 때까지 어떠한 RET 공정 윈도우 경계도 또는 어떠한 다른 레티클 설계 결함도 검출되지 않는다. 이와 같이, 결함 또는 경계가 레티클 패턴에 존재한다면, 프린트된 웨이퍼를 문지르고 웨이퍼 프린트 공정의 하나 이상의 공정(예를 들어, 레티클 설계, 레티클 제조공정, 웨이퍼 프린팅 공정, 등등)에 관련된 매개변수를 고치는 데에 비교적 비용이 많이 든다. 도2는 레티클 설계 데이터 내의 결함을 검출하는 컴퓨터 구현 방법의 한 실시예를 나타내는 흐름도이다. 이 방법은 도1에 도시되고 설명된 여러 단계들을 포함할 수도 있고 포함하지 않을 수도 있다. 도1 및 도2 모두에 포함될 수 있는 단계들은 동일한 도면부호를 이용하여 표시되며, 본 문서에서 추가로 설명되지 않을 것이다. 그러나 도2의 방법은 도1에 포함되지 않은 중요한 단계를 포함하며, 이는 도2에서 볼수 있는 방법에 큰 이점을 줄 것이다.
구체적으로, 도2에 나타난 방법은 단계 50에서 볼 수 있듯이 레티클 설계의 장식을 검증하는 것을 포함하며, 이는 도1에 도시된 방법의 단계 24와 달리 vPWQ 결함 검출을 포함한다. 단계 50의 vPWQ는 이 문서에 기재된 것처럼 수행될 수 있다. 나아가, 단계 50에서 수행되는 vPWQ 방법은 레티클과 함께 이용되도록 되어 있는 웨이퍼 프린팅 공정에 대한 완전한 미리 정해진 공정 윈도우를 가로질러 레티클 설계 데이터 내의 결함을 검출하도록 수행될 수 있다. 이와 같이, 이 방법에서, 레티클 설계에 존재하는 어떤 경계는 마스크가 제조되기 전에 유리하게 검출될 수 있다. 도2의 방법은 본 문서에 기재된 다른 단계를 포함할 수 있다. 예를 들어, 도2의 방법은 레티클에 대해 검사 공정을 생성하는 것 및/또는 웨이퍼에 대해 검사 공정을 생성하는 것을 포함할 수 있다. 이러한 검사 공정은 앞서 설명한 것처럼 생성 될 수 있고, 레티클과 웨이퍼 각각을 검증하기 위해 단계 30 및 단계 42에서 사용될 수 있다.
도3은 웨이퍼 검사, 레티클 검사, 웨이퍼 계측, 및 반도체생산공장(fab)에서의 APC 제어 중 하나 이상과 vPWQ 사이에서의 데이터 흐름의 예를 나타내는 흐름도이다. 도3에 도시된 바와 같이, 이전의 설계와 모델을 포함하는 히스토리(52)가 합성 단계(54)에 제공될 수 있고, 이 합성 단계에서 설계는 RTL 코드 또는 넷리스트(netlist)와 같은 적절한 포맷으로 생성될 수 있다. 시간 조절, 전원, 및 신호 혼선(signal integrity)과 같은 집적회로의 특성(56)은 설계를 검증하기 위해 합성 단계에 제공될 수 있다. 검증에 의해 결정되는 설계 내 임계 경로(58)는 데이터 "버스"(60)에 제공될 수 있다.
레티클 설계 데이터의 생성은 단계 62에서 수행될 수 있다. 레티클 설계의 생성은 예를 들어 넷리스트를 GDS 포맷으로 변환하는 것을 포함할 수 있다. 예를 들어 이전의 설계 및/또는 모델을 포함하는 히스토리(64)는 생성 단계에 제공될 수 있다. 또한, 논리적 검증 단계 66(logical verification step; LVS)은 레티클 설계 데이터를 검증하는데 이용될 수 있다. LVS에 의해 결정된 레티클 설계 내의 임계 특성(68)은 데이터 "버스"(60)에 제공될 수 있다. 레티클 설계의 OPC 장식은 교정된 리소그래피 모델, 임계 치수, 및/또는 기타의 데이터와 같은 교정 데이터(72)를 사용하여 단계 70에서 수행될 수 있다. 장식은 레티클 설계 데이터에 어떤 RETs를 부가하는 것을 포함할 수 있다. 물리적 검증 단계 74는 ORC와 같은 기술을 이용하여 장식된 레티클 설계를 검증하는 것을 포함할 수 있다. 임계 OPC(76) 또는 물리 적 검증 단계에 의해 결정되는 다른 임계 RET는 데이터 "버스"(60)에 제공될 수 있다. 또한, 물리적 검증 단계 74는 데이터 "버스"(60)에 의해 제공되는 엣지 배치 에러(edge placement error; EPE) 오차 데이터(78)를 이용하여 수행될 수 있다.
도3에 도시된 것처럼, 레티클 설계 데이터의 OPC 장식 이후에, vPWQ 결함 검출은 단계 80에서 수행될 수 있다. vPWQ는 본 문서에 기재된 실시예 중 어느 하나에 의해 단계 80에서 수행될 수 있다. "스마트 vPWQ"(82)에 대한 하나 이상의 매개변수는 데이터 "버스"(60)에 의해 vPWQ 방법에 제공될 수 있다. vPWQ는 임계 OPC 정보(84)를 생성할 수 있고, 이 정보는 데이터 "버스"(60)에 제공된다. vPWQ 방법의 결과에 따라, 마스크 제조 단계 86는 PSC, BKMs, 공중 이미지 측정 시스템(AIMS) 교정 데이터 등등과 같은 교정 데이터(88)를 이용하여 수행될 수 있다. 마스크가 제조된 후에, 마스크 검증 단계 90이 단계 86에서 제조된 마스크에서 수행될 수 있다. 마스크 검증 단계(90)는 스마트검사 데이터(92)를 사용할 수 있고, 이 데이터는 데이터 "버스"(60)에 의해 제공될 수 있다. 이 스마트검사 데이터는 단계(80)에서 수행된 vPWQ 방법에 의해 생성될 수 있다. 또한, 마스크 검증 단계에 의해 생성된 임계 마스크 데이터(94)는 데이터 "버스"(60)에 제공될 수 있다.
일부 실시예에서, 단계 96에서 볼 수 있듯이 제2의 vPWQ 방법(vPWQ2)가 수행될 수 있다. 도3에 도시된 vPWQ2는 실제 마스크 이미지(즉, 제조된 레티클의 이미지)에 대한 마스크 검사 이후에 수행될 수 있는 vPWQ의 다른 실시예이다. 즉, vPWQ2는 레티클의 시뮬레이션된 이미지 대신에 실제 마스크 이미지에 의한다는 것을 제외하고 본 문서에 기재된 것과 같이 수행될 수 있다. vPWQ2는 데이터 "버 스"(60)로부터 "스마트 vPWQ"(98)에 대한 하나 이상의 매개변수를 이용하여 수행될 수 있다. "스마트 vPWQ" 매개변수(98)는 "스마트 vPWQ" 매개변수(82)와 동일할 수도 있고 아닐 수도 있다. vPWQ2에 의해 생성된 임계 OPC(100) 또는 임계 RET는 데이터 "버스"(60)에 제공될 수 있다.
웨이퍼 제조 단계 102는 vPWQ2 이후에 수행될 수 있다. 웨이퍼 제조 단계 104의 검증은 교정된 계측 도구(106)를 이용하여 수행될 수 있고, 이 도구는 공지의 계측 도구를 포함할 수 있다. 웨이퍼 제조 단계 102의 검증은 데이터 "버스"(60)에 의해 제공된 웨이퍼 검사에 대한 "스마트 샘플링" 매개변수(108)를 사용하여 수행될 수 있고, 이는 예를 들어 임계 경로(58), 임계 특성(68), 마스크 위치 데이터, 임계 OPC(76, 100) 등등으로부터 결정될 수 있다. 또한, 웨이퍼 제조 단계의 검증은 데이터 "버스"(60)가 제공하는 자동공정제어(APC)(110)의 정보를 이용하여 수행될 수 있다. APC 정보는 본 문서에 기재된 것 처럼 vPWQ 및/또는 vPWQ2의 결과로부터 생성될 수 있다.
도4는 패턴이 사용할 수 있는 공정 가장자리(margin)를 제한하는 공정 윈도우의 가장자리의 대부분에서 어려움을 겪도록 어떻게 다수 형태의 임계치(설계, RET, 마스크 제조)가 레티클 설계 데이터에서 겹쳐질 수 있는 지를 나타낸다. 특히, 설계 임계 영역(112)은 공간(114)에 맵핑되어 있고, 이는 레티클 설계 데이터의 영역을 나타낸다. 설계 임계 영역(112)은 전자 설계 자동화(EDA) 전기 시뮬레이션에 기초하여 설계자에 의해 생성, 즉 "태그"가 붙여질 수 있다. 대조적으로, 마스크 제조 임계 영역(116)이 공간(114)에 별도로 도시되어 있다. 마스크 제조 임계 영역은 레티클 제조 공정에 대해 한정된 공정 윈도우을 갖는 영역을 포함한다. 제조된 레티클을 검사하기 위해 이용되는 레티클 검사 시스템에 의해 이 임계 영역은 생성될 수, 즉 "태그"가 붙여질 수 있다. 더욱 바람직하게, 이러한 임계 영역은 본 문서에 기재된 vPWQ 방법에 의해 결정될 수 있다.
또한, 리소그래픽 임계 영역(118)은 공간(114)내에 맵핑되어 있는 것이 도시되어 있다. 리소그래픽 임계 영역은 웨이퍼 프린팅 공정에 대해 제한된 공정 위도우를 가진 영역을 포함할 수 있다. 리소그래픽 임계 영역은 본 문서에 기재된 것처럼 수행될 수 있는 패턴 공정의 vPWQ 시뮬레이션에 의해 확인, 즉 태그가 붙여질 수 있다. 도4에 도시된 임계 영역(112, 116, 118)은 상이한 임계 영역의 예로서 단순히 제공되고 있다는 것을 이해해야 한다. 명백하게, 임계 영역은 레티클 설계 데이터, 레티클 제조 공정, 웨이퍼 프린팅 공정, 또는 이들을 조합한 것에 따라 달라질 것이다.
앞서 기재된 임계 영역의 각각은 레티클 설계 데이터의 어느 영역이 다수 형태의 임계치를 갖는지를 나타내기 위해 공간(12)에 의해 도시된 것과 같이 단일 맵에 합쳐지게 될 수 있다. 여러가지 이유로 임계 상태인 영역이, 생성을 보장하기 위해 집중적인 계측 및/또는 웨이퍼 레벨 검사를 위해 선택될 수 있다. 특히, 레티클 또는 웨이퍼에 대한 검사 공정이 앞서 기술한 것처럼 생성될 수 있고, 검사 공정의 감도는 각 영역이 임계치로 여기는 하나 이상의 이유에 따라 달라지는 레티클 설계 데이터 내에서 영역에 따라 달라질 수 있다. 예를 들어, 두 개의 상이한 임계치를 나타내는 영역은 한 형태의 임계치만을 나타내는 영역보다 더 큰 감도로 검사 될 수 있다. 이런식으로, 다수의 임계치의 형태를 갖는 레티클 설계 데이터 내 영역은 웨이퍼 검사, 계측, 및 CD 제어에 대해 우선순위가 매겨진다.
본 문서에 추후 기재되는 추가 방법은 앞서 기술한 vPWQ 방법에서 사용될 수 있고, 다른 레티클 설계 결함 검출방법에서도 사용될 수 있다. 특히, 본 문서에서 추후에 기술하는 방법은 이러한 "성가신" 결함을 검출하는 것이 중요한 결함이 보이지 않게 하는 것을 방지하도록 사용자에게 중요하지 않은 검출된 결함의 수를 줄이기 위해, 여기엔 기재된 다른 계산과 결함 검출을 수행하는 개선된 방법을 제공한다. 나아가, 추후 기술하는 방법은 결함이 검토되는 순서 및/또는 공정 윈도우를 개선하기 위해 설계에 수정이 이루어지는 순서에 우선 순위를 매기는데 이용될 수 있다.
vPWQ는 앞서 참고로 포함된 피터슨 등이 출원한 특허출원에 개시된 웨이퍼 기반 검사방법인 PWQ와 마찬가지로 작동하는 것으로 생각된다. PWQ의 웨이퍼에서, 상이한 초점 및/또는 노광 조건에서 모든 필드가 노출되고, 이러한 시험 필드는 최적의 초점 및 노광 조건에서 노출되는 기준 필드와 비교된다. 보통, 시험 필드가 기준 필드로부터 멀리 제거되면 제거될수록, 프린트된 특성이 적절하게 이미지를 생성하기 시작하지 못하기 때문에 결함이 더 많이 검출될 것이다. 프린트된 특성이 부정확하게 이미지를 생성하는 초점 및 노광 조건은 장치에 대해 사용할 수 있는 공정 윈도우의 한계를 형성한다. vPWQ는 본래 기준 비교(reference comparision)에 대해 동일한 시험을 하지만, 마스크와 프린팅 웨이퍼를 제조하지 않고 시뮬레이션 된 이미지에서 시험을 한다. 따라서, vPWQ 방법에 의하면, 비싼 마스크가 제조되고 웨이퍼가 마스크를 가지고 프린트되기 전에, 레티클 설계에서 약한 지점을 식별하여 수정할 수 있다.
시험-기준 대비를 고감도로 수행할 때에는, 심지어 특징의 치수에 있어서 작은 변화도 결함으로 해석될 수 있다. 초점 및/또는 노광 조건이 명목상 최적의 세팅으로부터 멀어질 때, 검출되는 결함의 수도 극도로 많아지게 된다. 이러한 문제점은 검사(시험-기준 대비)의 감도를 줄이면 경감될 수 있지만, 그 경우 어떤 중요한 결함을 놓칠 수도 있다.
감도를 가장 높게 유지하는 것이 바람직하지만, 단지 작은 임계 치수(CD) 에러가 아니고 결함들에 의해 이미지가 엄청나게 망가질 수 있는 가능성에 기초하여 결함에 우선순위를 매기는 것이 바람직하다. 즉, 어느 특성이 CD에서 몇몇 나노미터(nm) 만큼 변경되는 것은 중요한 정보가 아닐 수 있다. 대신, 초점 및/또는 노광 및/또는 다른 공정 조건에서 약간의 변화가 있을 경우 어느 특성이 받아들일 수 없는 정도의 큰 수의 nm 만큼 변경될 것이라는 점이 중요한 정보이다. 따라서, 두 특성은 정확히 동일한 절대적인 CD 에러를 가질 수 있지만, 추가로 공정의 변동이 있을 때 큰 추가적인 변경에 영향을 받기 쉽다면, 한 특성은 다른 특성보다 훨씬 중요할 수 있다. 따라서, 앞서 기술한 웨이퍼 기반 PWQ 및 vPWQ는 변화율에 기초하여 잠재하는 결함들을 식별하기 위해 본 문서에 추후 기재되는 것처럼 수정될 수 있다.
특히, 레티클 설계 데이터 내의 결함을 검출하기 위한 컴퓨터 구현 방법의 한 실시예는 레티클 설계 데이터가 레티클 제조 공정을 이용하여 레티클에 어떻게 프린트될지를 나타내는 제1시뮬레이션 이미지를 생성하는 것을 포함한다. 또한 이 실시예는 앞서 기재된 vPWQ 방법에서와 같이 수행될 수 있는 제1시뮬레이션 이미지를 이용하여 제2시뮬레이션 이미지를 생성하는 것을 포함한다. 특히, 제2시뮬레이션 이미지는 레티클이 웨이퍼 프린팅 공정의 하나 이상의 매개변수의 상이한 수치로 웨이퍼에 어떻게 프린트될지를 나타낸다. 그러나, 앞서 기재된 vPWQ 방법과 달리, 이 실시예는 상이한 수치의 함수로서 제2시뮬레이션 이미지의 특성의 변화율을 측정하는 것을 포함한다. 나아가, 이 방법은 변화율에 기초하여 레티클 설게 데이터 내의 결함을 검출하는 것을 포함한다. 일부 실시예에서, 검출하는 단계는 레티클 설계 데이터 내의 결함을 검출하기 위해 상기 변화율과 상기 제2시뮬레이션 이미지를 함께 이용하는 것을 포함할 수 있다. 예를 들어, 결함 검출은 앞서 기술한 vPWQ 결과와 함께 변화율을 이용하여 수행될 수 있다. 이 컴퓨터 구현 방법의 이러한 실시예는 여기에 기재된 다른 단계를 포함할 수 있다.
레티클에 프린트된 레티클 설계 데이터의 결함을 검출하는 방법의 다른 실시예는 웨이퍼 프린팅 공정의 하나 이상의 매개변수의 상이한 수치로 웨이퍼에 레티클의 이미지를 프린팅하는 것을 포함하는 PWQ의 수정된 버전이다. 또한, 이 방법은 상이한 수치의 함수로서 이미지의 특성의 변화율을 측정하는 것을 포함한다. 게다가, 이 방법은 변화율에 기초하여 레티클 설계 데이터 내의 결함을 검출하는 것을 포함한다. 이 방법의 실시예는 본 문서에 기재된 다른 단계를 포함할 수 있다.
따라서, 본 문서에 기재된 방법은 vPWQ와 PWQ에서와 같이 레티클 설계 데이터 내의 결함을 검출하기 위해서 시험 CD와 기준 CD 사이의 차이만을 이용하는 것 뿐만 아니라, 시험 CD의 변화율을 이용하는 것도 포함할 수 있다. 이러한 결함 검출은 여러가지 방법으로 달성될 수 있고, 결과는 여러가지 다른 방법에서 이용될 수 있으며, 이는 이하 약술하도록 한다. 변경 정보의 비율을 계산하고 이용하는 다른 방법들이 아래에 기술되는 것처럼 별도로 또는 서로 합동으로 이용될 수 있다. 또한, CD에서의 변화율과 관련된 방법들이 본 문서에 기재되지만, 변경 정보의 비율은 시험 이미지(예를 들어 특성 프로파일)의 측정가능한 매개변수에서의 변화율일 수 있다는 것을 이해하여야 한다. 나아가, 웨이퍼 프린팅 공정의 초점 및 노광의 상이한 수치와 관련된 방법들이 기술되지만, 여기에 기재된 방법은 초점 및 노광의 상이한 수치 및/또는 웨이퍼에 프린트된 레티클 설계 데이터에 영향을 미칠 수 있는 웨이퍼 프린팅 공정의 다른 매개변수의 상이한 수치에 대해 이용될 수 있다는 것을 이해하여야 한다.
CD 변화율에 대한 vPWQ 및 PWQ 감도를 증가시키는 한 방법은 결함 검출에 사용된 기준을 바꾸는 것이다. 예를 들어, 시험 이미지와의 모든 비교에 대한 기준으로서 명목상 최적의 초점 및 노광 조건(E0, F0)에 대해 시뮬레이션된 기준 이미지를 사용하는 대신, 각 시험 이미지(En, Fn)는 가장 가까이 있는 것(예를 들어, 시험 이미지 보다 (E0, F0)에서의 명목상 수치에 더 가까이 있는 다른 시험 이미지 및/또는 기준 이미지)과 비교될 수 있다. 즉, vPWQ의 검출 단계는, 하나의 제2시뮬레이션 이미지에 대응하는 상이한 수치보다 웨이퍼 프린팅 공정의 하나 이상의 매개변수에 대한 명목상의 수치에 더 가까운 상이한 수치에서 레티클이 어떻게 웨이퍼에 프린 트될지를 나타내는 추가 시뮬레이션 이미지와, 제2 또는 시험 시뮬레이션 이미지 중 하나를 비교함으로써 수행될 수 있다.
도5는 동일한 명목상 기준 이미지(E0, F0)에 대해 각각의 시험 이미지(En, Fn)가 비교되는 결함 검출에 현재 이용되는 레이아웃의 한 예를 나타낸다. 특히, 시험 이미지(120)는 각각 기준 이미지(122)와 비교된다. 이러한 비교는 웨이퍼 상에 실제로 프린트된 이미지를 가지고 PWQ에 사용될 수 있다. 또는, 이러한 비교는 시뮬레이션된 시험 및 기준 이미지를 가지고 vPWQ에 사용될 수 있다. 네 개의 시험 이미지가 도5에 도시되어 있을지라도, 결함 검출 방법은 시험 이미지의 수를 그 수를 달리하여 사용할 수 있다는 것을 이해해야한다.
도6은 각 시험 이미지(En, Fn)(124)가 세 개의 가장 가까운 이미지(126, 128, 130)와 비교되는 레티클 설계 결함 검출에 이용될 수 있는 새로운 배열을 보여주며, 이 세 가지 이미지 모두는 시험 이미지 보다 명목상 수치(E0, F0)에 더 가까운 하나 이상의 매개변수에 대해 시뮬레이션 또는 프린트된다. 이렇게 시험 이미지와 기준 이미지를 비교하는 것은 기준 결함 검출에 대해 시험을 "캐스케이드"하는 것으로 불린다. 이러한 비교는 노광 광선량 및 초점의 상이한 수치에 대해 시뮬레이션된 이미지를 이용하여 vPWQ에 대해 쉽게 수행될 수 있다. 이 방법은 또한 적당한 방법으로(예를 들어, 모든 기준 열이 명목상 조건에서 노출되는 현재의 구조 대신에 기준 지점으로 사용되도록 오프셋 초점 및 노광 조건을 가지고 열을 부가함) 웨이퍼를 프린팅함으로써 웨이퍼 기반 PWQ에 적용될 수 있다.
도6의 예에서, 세 개의 가장 가까운 이미지는 각각 시험 이미지와 비교되도록 사용된다. 또는, 시험 이미지와 비교하기 위해 사용되는 이웃하는 이미지의 수는 한 개부터 여덟 개가 될 수 있다. 한 비교와 관련하여, 사용자는 다른 고정된 매개변수를 갖는 초점 또는 노광의 조건에서 가장 가까운 이미지를 선택하거나, 초점과 노광 모두가 변경된 대각선으로 가장 가까운 이미지를 선택할 수 있다. 세 개이상의 가장 가까운 이미지에 대해, 명목상 최적의 초점 및 노광 조건으로부터 더 멀리 옮겨지는 시험 이미지는 검사되는 시험 이미지와 비교될 수 있고, 따라서 바람직한 작동 조건으로부터 멀어지는 변화율을 샘플링하게 된다. 하나 이상의 비교가 풍부할 지라도(비교는 바깥쪽으로 이웃하는 이미지의 검사에 대해 반복될 것이므로), 상이한 가중인자가 결함 검출 및/또는 우선순위 알고리즘에 적용될 수 있다. 또한, 네 개의 시험 이미지가 도6에 도시되어 있지만, 본 문서에 기재된 방법은 결함 검출에 대한 시험 이미지의 수는 어떠한 수의 시험 이미지라도 이용할 수 있다는 것을 이해해야 한다.
도7은 PWQ 결함 검출에 이용될 수 있는 웨이퍼에 프린트된 다이에 대한 레이아웃을 나타낸다. 이 예에서, 각 시험 위치는 웨이퍼 프린팅 공정에 대한 최적의 초점 및 노광 수치(도7에서 0으로 표시됨)에 대응하는 명목상의 기준 이미지와 비교된다. 시험 조건의 각 세트는 이 배열에서 4번 체크된다. 시험 조건은 초점, 노광 또는 이들 모두에서 바뀔 수 있다.
도8은 PWQ 결함 검출에 대한 초점 및 노광의 상이한 수치에 대해서 웨이퍼에 프린트될 수 있거나, vPWQ 결함 검출에 대한 초점 및 노광의 상이한 수치에 대해 시뮬레이션될 수 있는 다이 이미지의 한 레이아웃을 나타낸다. 이 예에서, 각 시험 이미지는 조사되는 시험 이미지의 수치보다 명목상 수치에 더 가까운 웨이퍼 프린팅 공정 매개변수의 수치에 대해 프린트되거나 시뮬레이션되는 다른 시험 이미지와 비교된다. 각 시험 이미지는 도7과 같이 네 번 비교되지만, 세팅 사이에서 변화율에 더 민감하게 훨씬 더 많은 수의 매개변수가 시험 될 수 있다. 교정 및 배경 노이즈 체크를 위해 명목상 조건(0)의 복사가 웨이퍼에 프린트될 수 있다.
기준 결함 검출에 이러한 케스케이드 시험을 수행함으로써, 결함 검출 알고리즘은 이웃하는 노출 조건(초점 및/또는 노광)과 제일 많이 다른 영역을 검출할 것이며, 따라서 CD내의 국부 변화율에 대한 검사의 감도를 증가시키게 된다. 두 시험 이미지가 명목상 조건에 비해 CD내의 정확히 동일한 변화를 가질지라도, 다른 시험 이미지의 CD가 가장 최근의 기준 초점과 현재의 시험 초점 사이에서 매우 빨리 바뀐 반면 시험 이미지 중 하나의 CD가 매개변수의 다수의 수치에 대해 느리게 바뀌었다면, 검사의 감도는 가장 빠르게 바뀐 시험 이미지의 CD를 검출하도록 조율될 수 있고, 따라서 이는 사용자에게 제일 중요한 것이 될 것이다. 시험 이미지가 다수의 기준 이미지와 비교되는 경우에, 가중인자는 최종 결함 스코어에 도달하도록 다수의 비교에 적용될 수 있다. 최종 결함 스코어는 시험 이미지가 결함이 있는지 아닌지를 결정하고 결함의 유효한 크기에 순위를 매기기 위해 사용될 수 있다.
또한, vPWQ 방법이 시뮬레이션에 기초하기 때문에, vPWQ는 초점 및/또는 노광의 함수("노광 조건")로서 임계 치수의 변화율을 정확히 측정하는데 이용될 수 있다. 변화율의 측정은 여러가지 방법으로 수행될 수 있다. 가장 정확한 방법은 노 광 조건의 조합 각각에서의 각 특성에 대해 CD의 미분(true derivative), 즉 dCD/dE 및 dCD/dF를 계산하는 것일 수 있다. 다른 방법은 공중 이미지의 경사, dE/dx, 또는 노광 조건(Eth)의 각 특성 및 조합에 대해 역치 에너지에서의 표준화된 이미지 로그 경사(NILS)를 계산하는 것이다. 경사 또는 NILS가 작으면 작을수록, CD는 노광 또는 공정 조건 내 변경과 함께 더 빨리 바뀔 것이다. 따라서, 이 경사는 CD 변화율을 나타내는 것으로 여겨질 수 있다.
경사 또는 미분 데이터가 특성이 결함이 있는지 아닌지를 결정하는 유일한 기준으로서 독립적으로 사용될 수 있거나, 경사 및 미분 데이터가 비교 알고리즘에 의해 검출된 결함의 중요성에 순위를 매기기 위해 기준 비교에 대한 시험과 함께 사용될 수 있다. 비슷하게, 기준 비교에 대한 케스케이드 시험이 독립적으로 또는 앞서 기술한 명목상의 기준 비교에 대한 시험과 함께 사용될 수 있다. 이러한 조합에 의해 결함이 검출될 수 있고, 결함의 크기 만에 의하거나 결함의 변화율 만에 의하거나 크기와 변화율을 합한 것에 의해 우선순위가 매겨질 수 있다. 가중인자가 결함을 필터링하거나 결함에 우선순위를 매기도록 이러한 조합에 사용된 다수의 조건(term)에 적용될 수 있다. 가중인자는 선형 또는 비선형일 수 있고, 가중 알고리즘은 교차-조건(cross-term) 또는 더 큰 입력의 파워계수를 포함할 수 있다. 예를 들어, 2nm CD 에러에 대한 가중치는 CD의 변화율이 높은지 낮은지에 따라 달라질 수 있고, 반면에 10nm CD 에러에 대한 가중치는 변화율에 관계없이 높게 설정될 수 있다.
앞서 설명된 선택사항 중 어느 것이라도 독립적으로 또는 함께 사용될 수 있다. 명확하게, 있을 수 있는 선택사항은 그 수가 정해지며, 검사의 수치를 강화시킬 수 있는 이 선택사항의 조합이 다음과 같이 열거된다.
선택 1: 항상 명목상 작동 지점에 있는 기준에 의해 기준 비교에 대한 시험
선택 2: 광선량 및/또는 초점에 대하여 CD의 미분을 계산
선택 3: 공중 이미지 및/또는 NILS의 공간 미분
선택 4: 기준 비교에 대한 시험의 케스케이드
선택 1은 vPWQ 및 PWQ의 결함 검출 단계에서 수행될 수 있는 비교와 본질적으로 동일하다. 선택 2, 선택 3, 또는 선택 4는 각각 선택 1 대신에 독립적으로 사용될 수 있다. 흥미있는 조합은 다음과 같다:
선택 1과 선택 2; 선택 1과 선택 3; 또는, 선택 1과 선택 2 및 선택 3
선택 4와 선택 2; 선택 4과 선택 3; 또는, 선택 4와 선택 2 및 선택 3
선택 1과 선택 4; 선택 1과 선택 4 및 선택 2; 선택 1과 선택 4 및 선택 3; 또는, 모든 선택
이러한 조합 중 어느 조합이든, 상이한 선택은 결함 필터링 또는 적용되는 가중 인자에 따라 달라지는 우선순위에 더 크거나 더 작은 영향을 끼칠 수 있다. 상술한 바와 같이 절대적인 CD 에러 데이터에 더하여 변화 정보의 CD 비율을 사용하면, 패턴 의존 결과 손실과 제한 실패를 제한하는 공정 윈도우의 성향을 갖게 될 레티클 및/또는 설계의 영역의 향상된 검출이 가능하다. 변경 데이터 비율은 검출 시스템에 비현실적으로 큰 수의 결함 검출을 제공하지 않으면서 더 높은 감도가 검 사(물리적이든 가상이든)에 적용되도록 하고, 따라서 시스템의 사용할 수 있는 감도를 향상시킨다.
웨이퍼 기반 PWQ에 사용된 물리적 웨이퍼로부터 미분은 일반적으로 쉽게 계산될 수 없지만, 기준에 대한 일반적인 시험과 기준 비교에 대한 케스케이드 시험은 또한 개선된 PWQ에 합쳐질 수 있다. 한 예에서, 현재의 BABA 또는 BBABBA 레이아웃 대신에(B는 명목상 최적의 초점 및 노광의 기준이고 A는 시험 케이스), 케스케이드 접근법은 ABCDEFGH 패턴을 갖는 레이아웃을 가질 것이고, 여기에서 각 노광은 이전의 영역으로부터 약간 오프셋된 세팅을 가질 수 있고, 이 레이아웃은 패턴 정확도 대 공정 조건(pattern fidelity vs. process conditions)에서의 작은 변화에 더욱 민감하게 된다. 또한, 이 레이아웃은 웨이퍼에 더욱 있을 수 있는 시험 조건을 제공한다. 조정을 위해, 결함은 명목상의 값에서 가장 먼 세팅을 갖는 영역에 할당될 수 있다. 이 규칙에 의해 유발된 무작위 에러는 어떤 것이든 각 세트의 시험 조건의 다수의 복사된 것을 쌓아올릴 때 제거될 것이다. 동일한 개념이 BBA 형태의 배열에 적용될 수 있다.
도9는 레티클 설계 데이터 내 결함을 검출하는 컴퓨터 구현 방법의 다른 실시예의 흐름도이다. 도9에 도시된 바와 같이, 이 실시예는 단계 132에서 볼 수 있듯이 시스템 설계 및 검증을 포함한다. 시스템 설계 및 검증은 설계 데이터(134)를 이용하여 수행될 수 있다. 또한, 단계 132에서 검증되는 시스템 설계는 설계 데이터(134)에 제공될 수 있다. 시스템 설계 및 검증은 공지된 시스템 및 방법을 이용하여 수행될 수 있다. 또한, 단계 136에서 볼 수 있듯이 이 방법은 논리 설계 및 검증을 포함한다. 논리 설계 및 검증(136)은 시스템 설계 및 검증 단계 132에 의해 생성된 설계 데이터(134)를 사용하여 수행될 수 있다. 또한, 단계 136에서 검증되는 논리 설계는 설계 데이터(134)에 제공될 수 있다. 논리 설계 및 검증은 공지된 방법 및 시스템을 이용하여 수행될 수 있다.
본 방법은 단계 138에 나타나 있는 것처럼 물리적 설계 및 검증을 포함한다. 물리적 설계 및 검증은 단계 136에서 검증된 설계 데이터(134)를 이용하여 단계 138에서 수행될 수 있다. 물리적 설계 및 검증은 DRC 및 LVS와 같은 공지의 방법 및 시스템을 이용하여 수행될 수 있다. 또한, 단계 138에서 검증되는 물리적 설계는 설계 데이터(134)에 제공될 수 있다. 단계 140에 나타나 있는 것처럼, 본 방법은 마스크 데이터 준비를 포함한다. 마스크 데이터 준비는 설계 데이터(134) 및 리소그래피 모델(142)을 사용하여 수행될 수 있다. 마스크 데이터 준비는 공지의 방법 및 시스템을 이용하여 수행될 수 있다. 마스크 데이터는 기하(geometry) 데이터(144)에 제공될 수 있다.
기하 데이터에 광학규칙검사를 수행하는 대신, 단계 146에 나타나 있는 것처럼 본 방법은 vPWQ를 포함한다. vPWQ는 단계 140(이 단계의 결과는 외형 데이터(144)로부터 얻을 수 있다)에서 생성된 마스크 데이터를 이용하여 수행된다. vPWQ는 또한 본 문서에 기재된 실시예 중 어느 하나에 따라 수행될 수 있다. 추후 기술되는 바와 같이, vPWQ는 입력으로서 마스크 데이터베이스를 사용할 수 있고 완전한 다이 F-E 매트릭스 계산(full-die F-E calculation)을 수행할 수 있다. 이 계산은 앞서 설명된 시스템을 이용하여 비교적 빨리 수행될 수 있다. 예를 들어, 다 섯 개의 상이한 초점값과 일곱 개의 상이한 노광값에 대해, 90 nm 생성 레티클 설계에 계산이 약 10 시간 내에 수행될 수 있다. 이 계산을 수행하는데 이용된 vPWQ 방법의 버전은 CD 결함의 비교적 큰 부분(모든 CD 결함의 약 30%)을 검출하고 쇼트, 개방, 및 프린팅 SRAF와 같이 해가 되는 사건을 모두 검출하였다. 명백히, 이러한 결과들은 vPWQ 방법의 한 버전에 의해서만 생성되었고, 결함 검출 결과들은 앞서 설명한 것처럼 알맞게 맞추어지거나 최적화될 수 있다.
vPWQ 방법으로부터의 출력은 단계 148에서 수행되는 마스크 기록에 제공될 수 있다. 또한, 마스크 기록 공정은 레티클에 레티클 설계 데이터를 프린팅하는 것과 관련된 모든 단계를 수행하기 위해 기하 데이터(144)를 이용할 수 있다. 본 방법은 단계 150에 도시된 것과 같이 제조된 마스크에 마스크 계측을 수행하는 것을 포함한다. 마스크 계측은 공지의 어떤 시스템 및 방법을 이용하여 수행될 수 있다. 또한 이 방법은 단계 152에 도시된 것과 같이 제조된 마스크의 검사를 포함한다. 마스크 검사는 기하 데이터(144)를 사용하여 수행될 수 있다. 마스크 검사는 공지의 방법 및 시스템을 이용하여 수행될 수 있다.
마스크 계측 및 검사의 결과에 따라(마스크가 자격 사항을 통과한 경우), 본 방법은 단계 154에 도시된 것처럼 웨이퍼에 마스크를 프린팅하는 것을 포함한다. 웨이퍼에 마스크를 프린팅하는 것은 공지의 시스템 및 방법을 사용하는 것을 포함할 수 있다. 또한, 이 방법은 단계 156에 도시된 것처럼 웨이퍼 계측을 포함한다. 프린트된 웨이퍼의 웨이퍼 계측은 공지의 방법 및 시스템을 포함할 수 있다. 또한 웨이퍼 계측의 결과는 리소그래피 모델(142)에 제공될 수 있다. 웨이퍼 계측의 결 과는 리소그래피 모델을 교정하고 업데이트하는데 이용될 수 있다. 단계 158에서 볼 수 있듯이, 본 방법은 웨이퍼 검사를 포함한다. 웨이퍼 검사는 공지의 어떤 시스템 및 방법을 이용하여 수행될 수 있다. 도9에 도시된 방법은 또한 본 문서에 기재된 다른 방법을 포함할 수 있다.
도10은 레티클 설계 데이터 내 결함을 검출하는 컴퓨터 구현 방법의 다른 실시예의 흐름도이다. 구체적으로, 도10은 본 문서에 기재된 방법에서 사용될 수 있는 vPWQ 방법의 한 실시예를 나타낸다. 도10에 도시된 것처럼, 레티클 설계 및 RET 장식 데이터(160)는 레티클 데이터(162)을 생성하는데 이용될 수 있다. 이런식으로, 레티클 데이터(162)는 장식된 레티클 설계 데이터를 포함할 수 있다. 또한,
반도체생산공장(fab) 모델 데이터(164)은 스캐너 매개변수, 레지스트 매개변수, 및 미리 정해진 공정 윈도우(초점 침 광선량 범위)와 같은 리소그래피 데이터(166)을 사용하여 생성될 수 있고, 이 리소그래피 데이터는 시뮬레이션된 이미지에 대해 웨이퍼 프린팅 공정의 하나 이상의 매개변수 중 어떤 상이한 수치가 생성될 지를 결정하는데에 이용될 수 있다.
레티클 데이터(162)와 반도체생산공장 모델 데이터(164)는 vPWQ 모듈(168)에 제공된다. vPWQ 모듈은 레티클 데이터(162)와 반도체생산공장 모델 데이터(164)를 이용하여 앞서 설명한 것처럼 시뮬레이션된 이미지를 생성하는데 이용될 수 있는 어떤 하드웨어 및/또는 소프트웨어를 포함할 수 있다. 한 실시예에서, vPWQ 모듈은 본 문서에 생성된 것처럼 제1 및 제2시뮬레이션 이미지를 생성하도록 구성될 수 있다. 다른 실시예에서, vPWQ 모듈은 앞서 언급한 것과 같이 프로세서에 연결된 시뮬 레이션 엔진을 포함하는 시스템으로서 구성될 수 있다. 프로세서는 본 문서에 기재된 실시예 중 어느 한 실시예에 따라 제2시뮬레이션 이미지를 이용하여 레티클 설계 데이터 내의 결함을 검출하도록 구성될 수 있다. vPWQ 모듈(168)에 의해 수행된 vPWQ 방법은 여기에 기재된 다른 단계들을 포함할 수 있다. vPWQ 모듈은 여기에 기재된 것처럼 추가로 구성될 수 있다.
도10에 도시된 바와 같이, vPWQ 모듈(168)은 에러 리스트를 포함하는 출력(170)을 생성한다. 에러 리스트는 vPWQ 모듈에 의해 검출된 모든 잠재적인 결함을 포함할 수 있다. vPWQ 모듈 또는 다른 소프트웨어 모듈 중 어느 하나 또는 다른 하드웨어에 의해, 하나 이상의 추가 기능이 출력(170)에서 수행될 수 있다. 예를 들어, 잠재적인 결함이 실제 결함인지, 잠재적인 결함이 어떤 종류의 결함인지, 등등을 결정하기 위해, 에러 리스트 내의 잠재적인 결함이 심사될 수 있다. 또한, 출력은 레티클 설계 데이터와 함께 이용될 수 있는 공정 윈도우를 결정하도록 이용될 수 있다. 레티클과 함께 이용될 수 있는 웨이퍼 프린팅 공정에 대한 공정 윈도우를 결정하는 것은 앞서 설명한 대로 수행될 수 있다. 또한, 출력은 사용자에게 제공되고, 다른 소프트웨어 모듈에 의해 사용되고, 반도체생산공장 데이터베이스와 같이 하나 이상의 모듈에 저장되도록 포맷될 수 있다. 도10에 도시된 방법은 본 문서에 기재된 다른 단계를 포함할 수 있다.
도11은 레티클 설계 데이터 내 결함을 검출하기 위한 컴퓨터 구현 방법의 또 다른 실시예의 흐름도이다. 도11에 도시된 바와 같이, 마스크 층 데이터(172)는 RET 장식(174)과 데이터 파열(176)에 의해 수정된다. 수정된 마스크 층 데이터는 마스크 기록 데이터(178)를 생성하는데 이용된다. 마스크 기록 데이터(178)는 vPWQ 모듈(180)에 제공된다. 예를 들어, 마스크 기록 데이터(178)는 vPWQ 모듈(180)의 입력 데이터베이스(182)에 제공될 수 있다. 또한, vPWQ 모듈은 레티클 제조 공정을 표현하는 모델을 포함할 수 있다. 이 모델은 마스크 기록 모델(184)을 포함할 수 있다. 레티클 제조 공정을 이용하여 레티클에 마크스 기록 데이터가 어떻게 프린트될지를 나타내는 제1시뮬레이션 이미지를 생성하기 위해 입력 데이터베이스(182) 내의 마스크 기록 데이터(178)를 가지고 vPWQ 모듈은 마스크 기록 모델(184)을 이용할 수 있다.
또한, vPWQ 모듈은 웨이퍼 프린팅 공정을 기술하는 하나 이상의 모델을 포함할 수 있다. 이러한 모델은 스캐너 모델(186)과 레지스트 모델(188)을 포함할 수 있다. 또한, 웨이퍼 프린팅 공정의 하나 이상의 매개변수의 상이한 수치(190)는 입력 데이터베이스(182)에 제공될 수 있다. 이러한 하나 이상의 매개변수는 예를 들어 초점(F)과 노광(E)을 포함할 수 있다. 또한, 입력 데이터베이스(182)에 제공된 하나 이상의 매개변수의 상이한 수치는 매개변수에 대해 명목상의 수치(예를 들어, F0, E0)를 포함할 수 있다. 입력 데이터베이스(182)에 제공된 하나 이상의 매개변수의 이러한 상이한 수치는 시험 수치(예를 들어, Fn, En)를 포함할 수 있다. 이러한 시험 수치는 레티클에 대해 미리 정해진 공정 윈도우 내에 있는 수치를 포함할 수 있다. 일부 실시예에서, 이러한 시험 수치는 미리 정해진 공정 윈도우에 놓여(span)질 수 있다.
vPWQ 모듈은 스캐너 모델(186), 레지스트 모델(188), 제1시뮬레이션 이미지, 및 제2시뮬레이션 이미지(192)를 생성하기 위한 상이한 수치(190)를 사용한다. 제2시뮬레이션 이미지는 레티클에 프린트된 마스크 기록 데이터가 웨이퍼 프린팅 공정의 하나 이상의 매개변수의 상이한 수치로 어떻게 웨이퍼에 프린트될지를 나타낸다. 이 실시예에서, 또한, vPWQ 모듈(180)은 스캐너 모델(186), 제1시뮬레이션 이미지, 및 웨이퍼 프린팅 공정의 매개변수에 대한 명목상 수치를 이용하여 기준 시뮬레이션 이미지(194)를 생성할 수 있다. 기준 시뮬레이션 이미지(194)는 시뮬레이션 이미지 사이에서 차이값(196)을 측정하기 위해 제2시뮬레이션 이미지(192)와 비교된다. vPWQ 모듈은 차이값으로서 적합하게 되는 것을 결정하기 위해 오차 내역(198)을 사용한다. 비교된 시뮬레이션 이미지 사이의 차이값은 추가로 설명되는 바와 같이 레티클 설계 데이터 내의 결함을 검출하도록 이용될 수 있다.
일부 실시예에서, 웨이퍼 프린팅 공정에 의해 웨이퍼에 어떻게 레티클 설계 데이터가 프린트될지를 나타내는 시뮬레이션된 이미지를 이용하여 레티클 설계 데이터 내의 결함을 검출하는 대신에, 또는 이에 부가하여, 결함 검출이 상이한 반도체 제조 공정이우헤 웨이퍼 상에 패턴을 나타내는 시뮬레이션 이미지를 이용하여 수행될 수 있다. 예를 들어, 한 실시예에서, vPWQ 모듈(180)은 에칭 모델(200)을 포함할 수 있다. 에칭 모델(200)은 리소그래피 공정에 의해 레티클 설계 데이터가 웨이퍼에 프린트된 후에 웨이퍼에서 수행될 에칭 공정을 나타낸다. 이러한 추가 시뮬레이션 이미지는 앞서 기술한 것처럼 시뮬레이션된 이미지 사이의 차이값을 검출하도록 기준 시뮬레이션 이미지와 비교될 수 있다. 시뮬레이션 이미지 사이의 차이 값은 레티클 설계 데이터 내의 결함을 검출하는데 이용될 수 있다. 또한, 유사한 이미지 시뮬레이션 및 결함 검출은 웨이퍼에 프린트된 패턴에 영향을 끼칠 수 있고 웨이퍼에서 수행될 수 있는 다른 공정에 대해 vPWQ 모듈에 의해 수행될 수 있다.
vPWQ 모듈(180)은 시뮬레이션 이미지(192)와 기준 시뮬레이션 이미지(194) 사이의 차이값(196)에 기초하는 출력(202)을 생성한다. 출력(202)은 시뮬레이션 이미지 사이의 차이값의 좌표, 차이값의 위치에 대응하는 시뮬레이션 이미지(시험 및/또는 기준)의 부분, 데이터베이스 클립, 레티클 설계 데이터에 대해 측정된 공정 윈도우, 및/또는 상이한 시뮬레이션 이미지 사이에서 검출된 차이값의 엄격성(예를 들어, 크기)를 포함할 수 있다. vPWQ 모듈(180)은 또한 하나 이상의 사용자 동작(204)이 출력(202)에 기초하여 수행되도록 구성될 수 있다. 사용자 동작은 예를 들어 레티클 설계 데이터의 거절, 추가 시뮬레이션이 vPWQ 모듈에 의해 수행되도록하는 선택, vPWQ 모듈의 하나 이상의 매개변수의 조절, 및/또는 vPWQ 모듈에 의해 이용되는 규칙의 변경을 포함할 수 있다.
도12는 레티클 설계 데이터 내 결함을 검출하는 컴퓨터 구현 방법의 또 다른 실시예를 나타내는 흐름도이다. 구체적으로, 도12는 PWQ의 어떤 형태가 수행되는 반도체 제조 공정 내의 상이한 지점을 나타낸다. 도12에서 볼 수 있는 것처럼, 예를 들어, 이 방법은 마스크 층 데이터베이스(205)에서 vPWQ(206)를 수행하는 것을 포함할 수 있다. 마스크 층 데이터베이스가 RET 장식(201)에 의해 수정된 후에, vPWQ(208)는 장식된 마스크 층 데이터에서 수행될 수 있다. vPWQ(208)이후에, 장식된 마스크 층 데이터는 레티클 레이아웃(212)을 생성하도록 사용될 수 있다. 레티 클 레이아웃(212)은 데이터 파열(214)을 수행하는데 이용될 수 있다. 데이터 파ㅇ열214)후에, vPWQ(216)는 파괴된 데이터에서 수행될 수 있다. vWPQ(206, 208, 216)는 본 문서에서 설명한 바와 같이 수행될 수 있다.
vPWQ(216)가 수행된 후에, 마스크는 단계 218에 도시된 것처럼 제조될 수 있다. 마스크가 제조된 후에, 이 방법은 단계 220에 도시된 바와 같이, 제조된 마스크를 검사하는 것을 포함할 수 있다. 제조된 마스크를 검사하는 것은 제조된 마스크의 이미지를 이용하여 vPWQ(222)를 포함할 수 있다. 즉, vPWQ(222)는 시험 시뮬레이션 이미지를 생성하기 위해 제1시뮬레이션 이미지를 사용하는 대신에 vPWQ(222)에서 실제 마스크 이미지가 시험 시뮬레이션 이미지를 생성하기 위해 이용될 수 있다는 것을 제외하고 본 문서에 기재된 바와 같이 수행될 수 있다. 마스크의 검사 후에, 마스크가 자격을 통과한다는 가정하에, 단계(224)에 도시된 바와 같이 마스크는 생산 시설에 의해 수용된다. 마스크가 생산 시설에 수용되면, vPWQ(226)는 앞서 설명한 바와 같이 제조된 마스크의 이미지를 이용하여 다시 수행될 수 있다.
또한, 이 방법은 단계(228)에 도시된 바와 같이, 제조된 마스크를 이용하여 웨이퍼를 프린팅하는 것을 포함한다. 웨이퍼가 프린트 된후, vPWQ(230)는 실제 프린트된 웨이퍼의 이미지를 이용하여 수행될 수 있다. 즉, vPWQ(230)는 제2시뮬레이션 이미지가 프린트된 웨이퍼의 이미지와 교체될 수 있다는 점을 제외하고 앞서 기술한 것처럼 수행될 수 있다. vPWQ(230)가 수행된 후에, 본 방법은 단계 232에 도시된 것처럼 프린트된 웨이퍼를 에칭하는 것을 포함할 수 있다. 프린트된 웨이퍼가 에칭된 후에, vPWQ(234)는 실제 에칭된 웨이퍼의 이미지를 사용하여 수행될 수 있다. 즉, vPWQ(234)는 제2시뮬레이션 이미지가 에칭된 웨이퍼의 이미지와 교체될 수 있다는 점을 제외하고 본 문서에 기재된 바와 같이 수행될 수 있다. 또한, 본 문서에 기재된 vPWQ 방법은 반도체 제조 공정의 다른 단계 이후에 수행될 수 있다. 이런식으로, 여기에 기재된 vPWQ 방법은 도12에 도시된 바와 같이 반도체 제조 공정에서 두루두루 다수의 상이한 지점에서 적용될 수 있다. 도12에 도시된 방법은 여기에 기재된 다른 단계를 포함할 수 있다.
본 문서에 기재된 하나 이상의 검퓨터 구현 방법을 수행하도록 구성된 시스템은 컴퓨터 시스템이다. 컴퓨터 시스템은 앞서 기재된 대로 구성될 수 있다. 또한 이 시스템은 캐리어 매체를 포함한다. 캐리어 매체는 공지의 다른 방법 또는 장치를 이용하여 컴퓨터 시스템에 연결되거나 포함될 수 있다. 본 문서에 기재된 것과 같은 프로그램 지시 수행 방법은 캐리어 매체를 통해 전송되거나 캐리어 매체에 저장될 수 있다. 캐리어 매체는 선, 케이블, 도는 무선 통신 링크와 같은 전송 매체이거나 선, 케이블, 또는 링크를 따라 이동하는 신호일 수 있다. 또한, 캐리어 매체는 롬, 램, 자기 디스크, 광학 디스크, 또는 자기 테이프와 같은 저장 매체일 수 있다.
한 실시예에서, 컴퓨터 시스템은 상기 실시예 중 어느 하나에 의한 컴퓨터 구현 방법을 수행하도록 프로그램 지시를 실행하도록 구성될 수 있다. 일반적으로, "컴퓨터 시스템"이라는 용어는 메모리 매체로부터의 지시사항을 실행하는 하나 이상의 프로세서를 갖는 어떤 장치로 넓게 정의될 수 있다.
프로그램 지시사항은 절차기반 기술, 컴퓨터기반 기술, 및/또는 객체지향 기술을 포함하여 여러가지 다양한 방법으로 구현될 수 있다. 예를 들어, 프로그램 지시사항은 필요에 따라 액티브X 콘트롤(ActiveX controls), C++ 객체(C++ objects), 자바빈즈(JavaBeans), 마이크로소프트 파운데이션 클래스(MFC) 또는 다른 기술 내지 방법론을 이용하여 실행될 수 있다. 시스템은 본 문서에 기재된 것처럼 추가로 구성될 수 있다.
본 발명의 여러 특징의 추가 변형예 및 대체 실시예는 이 기재내용을 참작하면 당업자가 쉽게 알 수 있을 것이다. 예를 들어, 컴퓨터 구현 방법 및 레티클 설계 데이터의 결함을 검출하는 방법이 제공된다. 따라서, 이 기재내용은 단지 예시적으로만 해석될 것이며, 본 발명을 실시하는 일반적인 방법을 당업자에게 알려주기 위한 목적일 뿐이다. 여기에 도시되고 기재된 발명의 형태는 현재 가장 바람직한 실시예로서 여겨지는 것이라는 것을 이해해야 한다. 요소나 재료는 여기에 설명되고 기재된 것에 대해 대체될 수 있고, 부품이나 프로세서는 반대로 될 수 있으며, 본 발명의 특징은 독립적으로 이용될 수 있으며, 이런 모든 것은 본 발명에 대한 이러한 기재로부터 이점을 얻은 후에 당업자에게 명백해질 것이다. 다음의 청구항에 기재된 본 발명의 정신과 범위를 벗어나지 않고 여기에 기재된 요소에 변경이 가해질 수 있다.

Claims (23)

  1. 레티클 설계 데이터의 결함을 검출하기 위한 컴퓨터로 구현되는 방법에 있어서,
    레티클 제조 공정을 통해 레티클 설계 데이터가 레티클에 어떻게 프린트될지를 나타내는 제1시뮬레이션 이미지를 생성하는 단계;
    상기 제1시뮬레이션 이미지를 이용하여, 웨이퍼 프린팅 공정의 하나 이상의 매개변수의 상이한 수치로 레티클이 웨이퍼에 어떻게 프린트될지를 나타내는 제2시뮬레이션 이미지를 생성하는 단계;
    상이한 수치의 함수로서 상기 제2시뮬레이션 이미지의 특성의 변화율을 측정하는 단계; 및,
    상기 변화율에 기초하여 레티클 설계의 결함을 검출하는 단계;를 포함하는 방법.
  2. 제1항에 있어서,
    상기 검출 단계는 레티클 설계 데이터 내의 결함을 검출하기 위해 상기 변화율과 상기 제2시뮬레이션 이미지를 함께 이용하는 단계를 포함하는 것을 특징으로 하는 방법.
  3. 제1항에 있어서,
    상기 제1시뮬레이션 이미지 및 상기 제2시뮬레이션 이미지는 레티클 설계 데이터로 형성되는 완전한 칩의 시뮬레이션 이미지를 포함하는 것을 특징으로 하는 방법.
  4. 제1항에 있어서,
    상기 레티클 설계 데이터는 분석향상기술 특성 데이터에 의해 수정된 집적회로 설계 데이터를 포함하는 것을 특징으로 하는 방법.
  5. 제1항에 있어서,
    상기 상이한 수치는 웨이퍼 프린팅 공정의 하나 이상의 매개변수에 대한 미리 정해진 공정 윈도우에 놓여지는 것을 특징으로 하는 방법.
  6. 제1항에 있어서,
    상기 검출 단계의 결과에 기초하여 웨이퍼 프린팅 공정에 대한 공정 윈도우를 결정하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  7. 제1항에 있어서,
    상기 결함 중 적어도 하나의 결함이 제2시뮬레이션 이미지에 나타나는 상이한 수치를 측정하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  8. 제1항에 있어서,
    레티클의 다른 영역에서 결함이 나타나는 상이한 수치보다 웨이퍼 프린팅 공정의 하나 이상의 매개변수에 대한 명목상 수치에 더 가까운 상이한 수치에서 결함이 나타나는 레티클 설계 데이터 내의 영역을 결정하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  9. 제1항에 있어서,
    상기 검출 단계의 결과에 기초하여 레티클 설계 데이터를 변경하는 단계를 더 포함하며, 상기 변경 단계는 레티클 설계 데이터의 분석향상기술 특성 데이터를 변경하는 단계를 포함하는 것을 특징으로 하는 방법.
  10. 제1항에 있어서,
    상기 검출 단계의 결과에 기초하여 레티클에 대한 검사 공정을 생성하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  11. 제1항에 있어서,
    상기 검출 단계의 결과에 기초하여 웨이퍼에 대한 검사 공정을 생성하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  12. 제1항에 있어서,
    상기 검출 단계에 이어 레티클을 제조하는 단계와, 상기 레티클을 검사하는 단계, 및 상기 검출 단계와 검사 단계의 결과에 기초하여 웨이퍼에 대한 검사 공정을 생성하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  13. 제1항에 있어서,
    상기 검출 단계에 이어 레티클을 제조하는 단계와, 상기 레티클을 검사하는 단계, 및 상기 검출 단계의 결과, 검사 단계의 결과, 레티클 설계 데이터의 설계자에 의해 생성된 임계 특성 데이터 또는 이들을 조합한 것에 기초하여 웨이퍼에 대해 검사 공정을 생성하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  14. 제1항에 있어서,
    레티클 설계 데이터 내의 제2영역보다 결함을 가지고 프린트될 가능성이 더 큰 레티클 설계 데이터 내의 제1영역을 식별하는 단계와, 상기 식별 단계의 결과에 기초하여 레티클로 프린트될 웨이퍼에 대한 공정 제어 방법을 생성하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  15. 제1항에 있어서,
    레티클 설계 데이터 내의 제2영역보다 결함을 가지고 프린트될 가능성이 더 큰 레티클 설계 데이터 내의 제1영역을 식별하는 단계와, 상기 식별 단계에 기초하여 레티클 설계 데이터를 변경하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  16. 레티클 제조 공정을 통해 레티클 설계 데이터가 레티클에 어떻게 프린트될지를 나타내는 제1시뮬레이션 이미지를 생성하고;
    상기 제1시뮬레이션 이미지를 이용하여, 웨이퍼 프린팅 공정의 하나 이상의 매개변수의 상이한 수치로 레티클이 웨이퍼에 어떻게 프린트될지를 나타내는 제2시뮬레이션 이미지를 생성하고;
    상이한 수치의 함수로서 상기 제2시뮬레이션 이미지의 특성의 변화율을 측정하고;
    상기 변화율에 기초하여 레티클 설계의 결함을 검출하도록; 구성된 시뮬레이션 엔진.
  17. 레티클에 프린트된 레티클 설계 데이터의 결함을 검출하기 위한 컴퓨터로 구현되는 방법에 있어서,
    웨이퍼 프린팅 공정의 하나 이상의 매개변수의 상이한 수치로 웨이퍼에 레티클의 이미지를 프린팅하는 단계;
    상이한 수치의 함수로서 이미지의 특성의 변화율을 측정하는 단계; 및,
    상기 변화율에 기초하여 레티클 설계 데이터 내의 결함을 검출하는 단계;를 포함하는 방법.
  18. 삭제
  19. 삭제
  20. 삭제
  21. 삭제
  22. 삭제
  23. 삭제
KR1020067017453A 2004-01-29 2005-01-31 레티클 설계 데이터의 결함을 검출하기 위한 컴퓨터로구현되는 방법 KR101056142B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US54003104P 2004-01-29 2004-01-29
US60/540,031 2004-01-29
PCT/US2005/002955 WO2005073807A1 (en) 2004-01-29 2005-01-31 Computer-implemented methods for detecting defects in reticle design data

Publications (2)

Publication Number Publication Date
KR20060131860A KR20060131860A (ko) 2006-12-20
KR101056142B1 true KR101056142B1 (ko) 2011-08-10

Family

ID=34826173

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020067017453A KR101056142B1 (ko) 2004-01-29 2005-01-31 레티클 설계 데이터의 결함을 검출하기 위한 컴퓨터로구현되는 방법

Country Status (5)

Country Link
US (2) US7646906B2 (ko)
JP (1) JP4758358B2 (ko)
KR (1) KR101056142B1 (ko)
CN (1) CN1910516B (ko)
WO (1) WO2005073807A1 (ko)

Families Citing this family (187)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001331784A (ja) * 2000-05-18 2001-11-30 Hitachi Ltd 欠陥分類方法及びその装置
JP4758358B2 (ja) 2004-01-29 2011-08-24 ケーエルエー−テンカー コーポレイション レチクル設計データにおける欠陥を検出するためのコンピュータに実装される方法
US9188974B1 (en) 2004-02-13 2015-11-17 Kla-Tencor Technologies Corp. Methods for improved monitor and control of lithography processes
US7260442B2 (en) * 2004-03-03 2007-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for mask fabrication process control
US7448012B1 (en) * 2004-04-21 2008-11-04 Qi-De Qian Methods and system for improving integrated circuit layout
JP2007536673A (ja) * 2004-05-09 2007-12-13 メンター・グラフィクス・コーポレーション 見込み欠陥位置同定方法、見込み欠陥位置同定ツール
JP4758427B2 (ja) * 2004-07-21 2011-08-31 ケーエルエー−テンカー コーポレイション シミュレーション・プログラムのための入力生成、あるいは、レチクルのシミュレート画像生成のためのコンピュータに実装された方法
JP2006039059A (ja) * 2004-07-23 2006-02-09 Toshiba Corp フォトマスクデータの作成方法およびフォトマスクの製造方法
JP4904034B2 (ja) 2004-09-14 2012-03-28 ケーエルエー−テンカー コーポレイション レチクル・レイアウト・データを評価するための方法、システム及び搬送媒体
US7350183B2 (en) * 2004-11-05 2008-03-25 International Business Machines Corporation Method for improving optical proximity correction
US7475382B2 (en) * 2005-02-24 2009-01-06 Synopsys, Inc. Method and apparatus for determining an improved assist feature configuration in a mask layout
US7459248B2 (en) * 2005-02-24 2008-12-02 James Word Performing OPC on structures with virtual edges
JP2006235327A (ja) * 2005-02-25 2006-09-07 Toshiba Corp マスクパターンデータ・マスク検査データ作成方法、及びフォトマスクの製造・検査方法
US7315999B2 (en) * 2005-03-17 2008-01-01 Synopsys, Inc. Method and apparatus for identifying assist feature placement problems
US7300725B2 (en) * 2005-04-13 2007-11-27 Kla-Tencor Technologies Corporation Method for determining and correcting reticle variations
US7297453B2 (en) * 2005-04-13 2007-11-20 Kla-Tencor Technologies Corporation Systems and methods for mitigating variances on a patterned wafer using a prediction model
US7300729B2 (en) * 2005-04-13 2007-11-27 Kla-Tencor Technologies Corporation Method for monitoring a reticle
US7303842B2 (en) * 2005-04-13 2007-12-04 Kla-Tencor Technologies Corporation Systems and methods for modifying a reticle's optical properties
US7424699B2 (en) * 2005-06-10 2008-09-09 Texas Instruments Incorporated Modifying sub-resolution assist features according to rule-based and model-based techniques
US7445945B1 (en) * 2005-08-01 2008-11-04 Advanced Micro Devices, Inc. Method and apparatus for dynamic adjustment of a sampling plan based on wafer electrical test data
US7769225B2 (en) * 2005-08-02 2010-08-03 Kla-Tencor Technologies Corp. Methods and systems for detecting defects in a reticle design pattern
US20070044063A1 (en) * 2005-08-22 2007-02-22 Faour Fouad A Method for estimating voltage droop on an ASIC
JP4643401B2 (ja) * 2005-09-07 2011-03-02 株式会社東芝 テストパターン作成方法、テストパターン作成プログラム、マスク作製方法、及び半導体装置製造方法
JP2007071678A (ja) * 2005-09-07 2007-03-22 Hitachi High-Technologies Corp 検査システム
WO2007030704A2 (en) * 2005-09-09 2007-03-15 Brion Technologies, Inc. System and method for mask verification using an individual mask error model
US20070059849A1 (en) * 2005-09-12 2007-03-15 Interuniversitair Microelktronica Centrum (Imec) Method and system for BARC optimization for high numerical aperture applications
US7781349B2 (en) * 2005-09-12 2010-08-24 Imec Method and system for optimizing a BARC stack
US7824827B2 (en) * 2005-09-12 2010-11-02 Imec Method and system for improved lithographic processing
JP4738114B2 (ja) * 2005-09-16 2011-08-03 株式会社東芝 マスク欠陥検査方法
ATE467149T1 (de) * 2005-10-03 2010-05-15 Imec Alternierende phasenmaske
US7962868B2 (en) 2005-10-28 2011-06-14 Freescale Semiconductor, Inc. Method for forming a semiconductor device using optical proximity correction for the optical lithography
JP4976681B2 (ja) * 2005-10-31 2012-07-18 株式会社東芝 パターン形状評価方法およびパターン形状評価プログラム
US8041103B2 (en) 2005-11-18 2011-10-18 Kla-Tencor Technologies Corp. Methods and systems for determining a position of inspection data in design data space
US7676077B2 (en) 2005-11-18 2010-03-09 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US7570796B2 (en) 2005-11-18 2009-08-04 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
WO2007086511A1 (ja) * 2006-01-30 2007-08-02 Nikon Corporation 処理条件決定方法及び装置、表示方法及び装置、処理装置、測定装置及び露光装置、基板処理システム、並びにプログラム及び情報記録媒体
US7694267B1 (en) * 2006-02-03 2010-04-06 Brion Technologies, Inc. Method for process window optimized optical proximity correction
WO2007093193A1 (en) * 2006-02-16 2007-08-23 Freescale Semiconductor, Inc. Method of detecting defects in an integrated circuit
US7643976B2 (en) * 2006-02-28 2010-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for identifying lens aberration sensitive patterns in an integrated circuit chip
KR100735535B1 (ko) * 2006-07-10 2007-07-04 삼성전자주식회사 마스크 제작 방법
US7493590B1 (en) 2006-07-11 2009-02-17 Kla-Tencor Technologies Corporation Process window optical proximity correction
US7849423B1 (en) * 2006-07-21 2010-12-07 Cadence Design Systems, Inc. Method of verifying photomask data based on models of etch and lithography processes
US20080040353A1 (en) * 2006-08-10 2008-02-14 Taiwan Semiconductor Manufacturing Company, Ltd. System and method of manufacturing management
WO2008020265A1 (en) * 2006-08-16 2008-02-21 Koninklijke Philips Electronics N.V. Method and apparatus for designing an integrated circuit
WO2008039674A2 (en) 2006-09-20 2008-04-03 Luminescent Technologies, Inc. Photo-mask and wafer image reconstruction
US8331645B2 (en) * 2006-09-20 2012-12-11 Luminescent Technologies, Inc. Photo-mask and wafer image reconstruction
US7900165B2 (en) * 2007-03-30 2011-03-01 Synopsys, Inc. Determining a design attribute by estimation and by calibration of estimated value
US8045786B2 (en) * 2006-10-24 2011-10-25 Kla-Tencor Technologies Corp. Waferless recipe optimization
US7904845B2 (en) * 2006-12-06 2011-03-08 Kla-Tencor Corp. Determining locations on a wafer to be reviewed during defect review
US8259285B2 (en) 2006-12-14 2012-09-04 Asml Holding N.V. Lithographic system, device manufacturing method, setpoint data optimization method, and apparatus for producing optimized setpoint data
JP5427609B2 (ja) * 2006-12-19 2014-02-26 ケーエルエー−テンカー・コーポレーション 検査レシピ作成システムおよびその方法
US20080162103A1 (en) * 2006-12-29 2008-07-03 Cadence Design Systems, Inc. Method, system, and computer program product for concurrent model aided electronic design automation
WO2008086282A2 (en) 2007-01-05 2008-07-17 Kla-Tencor Corporation Methods and systems for using electrical information for a device being fabricated on a wafer to perform one or more defect-related functions
US8090189B1 (en) * 2007-03-05 2012-01-03 Kla-Tencor Corporation Detection of thin line for selective sensitivity during reticle inspection
US7564545B2 (en) * 2007-03-15 2009-07-21 Kla-Tencor Technologies Corp. Inspection methods and systems for lithographic masks
JP2008258361A (ja) * 2007-04-04 2008-10-23 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
US7669153B2 (en) * 2007-04-30 2010-02-23 United Microelectronics Corp. Method for correcting photomask pattern
US7962863B2 (en) 2007-05-07 2011-06-14 Kla-Tencor Corp. Computer-implemented methods, systems, and computer-readable media for determining a model for predicting printability of reticle features on a wafer
US7738093B2 (en) 2007-05-07 2010-06-15 Kla-Tencor Corp. Methods for detecting and classifying defects on a reticle
US8213704B2 (en) 2007-05-09 2012-07-03 Kla-Tencor Corp. Methods and systems for detecting defects in a reticle design pattern
KR100881194B1 (ko) 2007-05-16 2009-02-05 삼성전자주식회사 공간 영상 검사 장비를 이용한 마스크 측정 방법
CN101720474A (zh) * 2007-05-23 2010-06-02 Nxp股份有限公司 工艺窗发觉检测以及掩模层处光刻印刷问题的校正
US7882480B2 (en) * 2007-06-04 2011-02-01 Asml Netherlands B.V. System and method for model-based sub-resolution assist feature generation
KR101096145B1 (ko) 2007-06-04 2011-12-19 에이에스엠엘 네델란즈 비.브이. 모델-기반 리소그래피 안내 레이아웃 설계를 수행하는 방법들
US7796804B2 (en) 2007-07-20 2010-09-14 Kla-Tencor Corp. Methods for generating a standard reference die for use in a die to standard reference die inspection and methods for inspecting a wafer
JP5395340B2 (ja) * 2007-08-06 2014-01-22 株式会社東芝 プロセスモデル作成方法、プロセスモデル作成プログラム及びパターン補正方法
US7711514B2 (en) 2007-08-10 2010-05-04 Kla-Tencor Technologies Corp. Computer-implemented methods, carrier media, and systems for generating a metrology sampling plan
TWI469235B (zh) 2007-08-20 2015-01-11 Kla Tencor Corp 決定實際缺陷是潛在系統性缺陷或潛在隨機缺陷之由電腦實施之方法
US9779186B2 (en) 2007-08-28 2017-10-03 Asml Netherlands B.V. Methods for performing model-based lithography guided layout design
US8572524B2 (en) * 2007-11-21 2013-10-29 Globalfoundries Singapore Pte. Ltd. Statistical optical proximity correction
US7966586B2 (en) * 2007-12-07 2011-06-21 Cadence Design Systems, Inc. Intelligent pattern signature based on lithography effects
US7904853B1 (en) 2007-12-27 2011-03-08 Cadence Design Systems, Inc. Pattern signature
US8358828B2 (en) * 2007-12-28 2013-01-22 Cadence Design Systems, Inc. Interpolation of irregular data in a finite-dimensional metric space in lithographic simulation
US8379229B2 (en) * 2008-02-14 2013-02-19 Seiko Epson Corporation Simulation of a printed dot-pattern bitmap
JP4554691B2 (ja) * 2008-02-25 2010-09-29 アドバンスド・マスク・インスペクション・テクノロジー株式会社 補正パターン画像生成装置、パターン検査装置および補正パターン画像生成方法
US7823106B2 (en) * 2008-03-11 2010-10-26 International Business Machines Corporation Variable performance ranking and modification in design for manufacturability of circuits
US8139844B2 (en) 2008-04-14 2012-03-20 Kla-Tencor Corp. Methods and systems for determining a defect criticality index for defects on wafers
US7895547B2 (en) * 2008-05-01 2011-02-22 International Business Machines Corporation Test pattern based process model calibration
JP5225463B2 (ja) * 2008-06-03 2013-07-03 エーエスエムエル ネザーランズ ビー.ブイ. レンズ加熱補償方法
US8381152B2 (en) 2008-06-05 2013-02-19 Cadence Design Systems, Inc. Method and system for model-based design and layout of an integrated circuit
JP6185693B2 (ja) * 2008-06-11 2017-08-23 ケーエルエー−テンカー・コーポレーションKla−Tencor Corporation ウェーハー上の設計欠陥および工程欠陥の検出、ウェーハー上の欠陥の精査、設計内の1つ以上の特徴を工程監視特徴として使用するための選択、またはそのいくつかの組み合わせのためのシステムおよび方法
KR100935733B1 (ko) 2008-06-20 2010-01-08 주식회사 하이닉스반도체 피치 변화 구간을 포함하는 레이아웃 보정방법
US7966583B2 (en) * 2008-07-08 2011-06-21 Synopsys, Inc. Method and apparatus for determining the effect of process variations
WO2010014609A2 (en) 2008-07-28 2010-02-04 Kla-Tencor Corporation Computer-implemented methods, computer-readable media, and systems for classifying defects detected in a memory device area on a wafer
US8161431B2 (en) * 2008-10-30 2012-04-17 Agere Systems Inc. Integrated circuit performance enhancement using on-chip adaptive voltage scaling
JP2010112766A (ja) * 2008-11-04 2010-05-20 Nuflare Technology Inc マスク検査システム及びマスク検査方法
NL2003719A (en) 2008-11-10 2010-05-11 Brion Tech Inc Delta tcc for fast sensitivity model computation.
NL2003919A (en) * 2008-12-24 2010-06-28 Asml Netherlands Bv An optimization method and a lithographic cell.
US8605275B2 (en) * 2009-01-26 2013-12-10 Kla-Tencor Corp. Detecting defects on a wafer
US8223327B2 (en) 2009-01-26 2012-07-17 Kla-Tencor Corp. Systems and methods for detecting defects on a wafer
US8775101B2 (en) 2009-02-13 2014-07-08 Kla-Tencor Corp. Detecting defects on a wafer
US8204297B1 (en) 2009-02-27 2012-06-19 Kla-Tencor Corp. Methods and systems for classifying defects detected on a reticle
US8112241B2 (en) 2009-03-13 2012-02-07 Kla-Tencor Corp. Methods and systems for generating an inspection process for a wafer
US8413103B2 (en) * 2009-03-13 2013-04-02 Synopsys, Inc. Execution monitor for electronic design automation
CN101866373B (zh) * 2009-04-15 2015-12-09 新思科技有限公司 用于电子设计自动化的执行监视器
US8463016B2 (en) * 2010-02-05 2013-06-11 Luminescent Technologies, Inc. Extending the field of view of a mask-inspection image
CN102193303B (zh) * 2010-03-05 2013-07-17 中芯国际集成电路制造(上海)有限公司 光学邻近修正方法
US8781781B2 (en) 2010-07-30 2014-07-15 Kla-Tencor Corp. Dynamic care areas
US8555214B2 (en) 2010-09-14 2013-10-08 Luminescent Technologies, Inc. Technique for analyzing a reflective photo-mask
US8612903B2 (en) 2010-09-14 2013-12-17 Luminescent Technologies, Inc. Technique for repairing a reflective photo-mask
US9659136B2 (en) 2010-09-27 2017-05-23 Teseda Corporation Suspect logical region synthesis from device design and test information
US8495527B2 (en) 2010-10-28 2013-07-23 International Business Machines Corporation Pattern recognition with edge correction for design based metrology
US8429570B2 (en) 2010-10-28 2013-04-23 International Business Machines Corporation Pattern recognition with edge correction for design based metrology
US8619236B2 (en) 2010-11-24 2013-12-31 International Business Machines Corporation Determining lithographic set point using optical proximity correction verification simulation
US8386968B2 (en) 2010-11-29 2013-02-26 Luminescent Technologies, Inc. Virtual photo-mask critical-dimension measurement
US8458622B2 (en) 2010-11-29 2013-06-04 Luminescent Technologies, Inc. Photo-mask acceptance technique
WO2012080008A2 (en) * 2010-12-17 2012-06-21 Carl Zeiss Sms Gmbh Method and apparatus for correcting errors on a wafer processed by a photolithographic mask
US8312401B2 (en) * 2011-01-13 2012-11-13 Elitetech Technology Co., Ltd. Method for smart defect screen and sample
US8577489B2 (en) 2011-01-26 2013-11-05 International Business Machines Corporation Diagnosing in-line critical dimension control adjustments using optical proximity correction verification
US8499260B2 (en) 2011-01-26 2013-07-30 International Business Machines Corporation Optical proximity correction verification accounting for mask deviations
US9005852B2 (en) 2012-09-10 2015-04-14 Dino Technology Acquisition Llc Technique for repairing a reflective photo-mask
CN102683165B (zh) * 2011-03-18 2015-03-25 敖翔科技股份有限公司 智能缺陷筛选及取样方法
US9170211B2 (en) 2011-03-25 2015-10-27 Kla-Tencor Corp. Design-based inspection using repeating structures
US8699003B2 (en) * 2011-06-07 2014-04-15 Nanya Technology Corp. Method for determining illumination source with optimized depth of focus
US8653454B2 (en) 2011-07-13 2014-02-18 Luminescent Technologies, Inc. Electron-beam image reconstruction
US8423923B2 (en) 2011-07-20 2013-04-16 United Microelectronics Corp. Optical proximity correction method
US8810785B2 (en) 2011-08-26 2014-08-19 United Microelectronics Corp. Mask inspecting method
US8907697B2 (en) 2011-08-31 2014-12-09 Teseda Corporation Electrical characterization for a semiconductor device pin
US9939488B2 (en) 2011-08-31 2018-04-10 Teseda Corporation Field triage of EOS failures in semiconductor devices
US9087367B2 (en) 2011-09-13 2015-07-21 Kla-Tencor Corp. Determining design coordinates for wafer defects
US8713511B1 (en) * 2011-09-16 2014-04-29 Suvolta, Inc. Tools and methods for yield-aware semiconductor manufacturing process target generation
US8468471B2 (en) * 2011-09-23 2013-06-18 Kla-Tencor Corp. Process aware metrology
US8832621B1 (en) 2011-11-28 2014-09-09 Cadence Design Systems, Inc. Topology design using squish patterns
US8486587B2 (en) 2011-12-20 2013-07-16 United Microelectronics Corp. Method for correcting layout pattern and method for manufacturing photomask
JP2015508513A (ja) * 2012-01-13 2015-03-19 ケーエルエー−テンカー コーポレイション データベース支援再適格性レチクル検査の方法および装置
US8831334B2 (en) 2012-01-20 2014-09-09 Kla-Tencor Corp. Segmentation for wafer inspection
US8962221B2 (en) 2012-05-14 2015-02-24 United Microelectronics Corp. Mask and method of forming pattern by using the same
US8829610B2 (en) 2012-05-15 2014-09-09 United Microelectronics Corp. Method for forming semiconductor layout patterns, semiconductor layout patterns, and semiconductor structure
US8826200B2 (en) 2012-05-25 2014-09-02 Kla-Tencor Corp. Alteration for wafer inspection
US8849440B2 (en) 2012-05-31 2014-09-30 International Business Machines Corporation Manufacturing control based on a final design structure incorporating both layout and client-specific manufacturing information
US8953869B2 (en) * 2012-06-14 2015-02-10 Kla-Tencor Corporation Apparatus and methods for inspecting extreme ultra violet reticles
US8806391B2 (en) 2012-07-31 2014-08-12 United Microelectronics Corp. Method of optical proximity correction according to complexity of mask pattern
US9189844B2 (en) 2012-10-15 2015-11-17 Kla-Tencor Corp. Detecting defects on a wafer using defect-specific information
US8965102B2 (en) 2012-11-09 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for defect analysis of a substrate
US9053527B2 (en) 2013-01-02 2015-06-09 Kla-Tencor Corp. Detecting defects on a wafer
US9134254B2 (en) 2013-01-07 2015-09-15 Kla-Tencor Corp. Determining a position of inspection system output in design data space
US9311698B2 (en) 2013-01-09 2016-04-12 Kla-Tencor Corp. Detecting defects on a wafer using template image matching
US8741507B1 (en) 2013-01-16 2014-06-03 United Microelectronics Corp. Method for separating photomask pattern
US8701052B1 (en) 2013-01-23 2014-04-15 United Microelectronics Corp. Method of optical proximity correction in combination with double patterning technique
US8627242B1 (en) 2013-01-30 2014-01-07 United Microelectronics Corp. Method for making photomask layout
US9092846B2 (en) 2013-02-01 2015-07-28 Kla-Tencor Corp. Detecting defects on a wafer using defect-specific and multi-channel information
US8661372B1 (en) 2013-02-04 2014-02-25 United Microelectronics Corp. Optical proximity correction method
US9091935B2 (en) 2013-03-11 2015-07-28 Kla-Tencor Corporation Multistage extreme ultra-violet mask qualification
US9008410B2 (en) 2013-03-13 2015-04-14 Kla-Tencor Corporation Single die inspection on a dark field inspection tool
US9494854B2 (en) 2013-03-14 2016-11-15 Kla-Tencor Corporation Technique for repairing an EUV photo-mask
US9448343B2 (en) 2013-03-15 2016-09-20 Kla-Tencor Corporation Segmented mirror apparatus for imaging and method of using the same
US9865512B2 (en) 2013-04-08 2018-01-09 Kla-Tencor Corp. Dynamic design attributes for wafer inspection
US8977988B2 (en) 2013-04-09 2015-03-10 United Microelectronics Corp. Method of optical proximity correction for modifying line patterns and integrated circuits with line patterns modified by the same
US9310320B2 (en) 2013-04-15 2016-04-12 Kla-Tencor Corp. Based sampling and binning for yield critical defects
US9009633B2 (en) 2013-05-06 2015-04-14 United Microelectronics Corp. Method of correcting assist feature
US9230812B2 (en) 2013-05-22 2016-01-05 United Microelectronics Corp. Method for forming semiconductor structure having opening
US8869077B1 (en) * 2013-05-23 2014-10-21 Globalfoundries Inc. Selection of replacement patterns for reducing manufacturing hotspots and constraint violations of IC designs
US20160147934A1 (en) * 2013-06-21 2016-05-26 California Institute Of Technology Determination of electronic circuit robustness
TWI544452B (zh) * 2013-06-25 2016-08-01 Hitachi High Tech Corp A sample preparation device for a sample observation apparatus, and a sample observation apparatus
CN103345124B (zh) * 2013-06-27 2016-08-10 上海华力微电子有限公司 一种准确和定量的缺陷检测确认光刻工艺窗口的方法
US8745547B1 (en) 2013-07-11 2014-06-03 United Microelectronics Corp. Method for making photomask layout
US9064078B2 (en) * 2013-07-30 2015-06-23 Globalfoundries Inc. Methods and systems for designing and manufacturing optical lithography masks
US9612541B2 (en) 2013-08-20 2017-04-04 Kla-Tencor Corporation Qualifying patterns for microlithography
US9518932B2 (en) 2013-11-06 2016-12-13 Kla-Tencor Corp. Metrology optimized inspection
US8930858B1 (en) 2013-11-27 2015-01-06 United Microelectronics Corp. Method for optical proximity correction
US20150161320A1 (en) * 2013-12-09 2015-06-11 Spansion Inc. Scattering bar optimization apparatus and method
US9536299B2 (en) * 2014-01-16 2017-01-03 Kla-Tencor Corp. Pattern failure discovery by leveraging nominal characteristics of alternating failure modes
KR102359050B1 (ko) 2014-02-12 2022-02-08 에이에스엠엘 네델란즈 비.브이. 프로세스 윈도우를 최적화하는 방법
US20150286763A1 (en) * 2014-04-02 2015-10-08 Globalfoundries Inc. Pattern matching for predicting defect limited yield
US9547892B2 (en) 2014-05-06 2017-01-17 Kla-Tencor Corporation Apparatus and methods for predicting wafer-level defect printability
US9478019B2 (en) * 2014-05-06 2016-10-25 Kla-Tencor Corp. Reticle inspection using near-field recovery
JP6307367B2 (ja) * 2014-06-26 2018-04-04 株式会社ニューフレアテクノロジー マスク検査装置、マスク評価方法及びマスク評価システム
WO2016045901A1 (en) 2014-09-22 2016-03-31 Asml Netherlands B.V. Process window identifier
US20160162626A1 (en) * 2014-12-01 2016-06-09 Globalfoundries Inc. Lithography process window prediction based on design data
JP6533062B2 (ja) * 2015-01-19 2019-06-19 株式会社ニューフレアテクノロジー パターン検査方法
US9405186B1 (en) * 2015-02-23 2016-08-02 GlobalFoundries, Inc. Sample plan creation for optical proximity correction with minimal number of clips
US10012599B2 (en) 2015-04-03 2018-07-03 Kla-Tencor Corp. Optical die to database inspection
US10395361B2 (en) 2015-08-10 2019-08-27 Kla-Tencor Corporation Apparatus and methods for inspecting reticles
CN111340762B (zh) * 2015-08-10 2021-06-25 科磊股份有限公司 用于预测晶片级缺陷可印性的设备及方法
US9875534B2 (en) * 2015-09-04 2018-01-23 Kla-Tencor Corporation Techniques and systems for model-based critical dimension measurements
WO2017067755A1 (en) * 2015-10-19 2017-04-27 Asml Netherlands B.V. Method and apparatus to correct for patterning process error
KR102575073B1 (ko) 2016-04-12 2023-09-06 삼성전자주식회사 마스크 데이터 검증 방법
CN106092872B (zh) * 2016-06-06 2018-08-03 深圳市公路交通工程试验检测中心 一种热熔型标线抗污染性能的检测方法
WO2018014138A1 (en) 2016-07-22 2018-01-25 Lynx Inspection Inc. Inspection method for a manufactured article and system for performing same
KR102224672B1 (ko) 2016-10-26 2021-03-08 에이에스엠엘 네델란즈 비.브이. 리소그래피 프로세스의 최적화 방법
US10191112B2 (en) 2016-11-18 2019-01-29 Globalfoundries Inc. Early development of a database of fail signatures for systematic defects in integrated circuit (IC) chips
TWI755453B (zh) * 2017-05-18 2022-02-21 美商克萊譚克公司 鑑定一光微影光罩合格性之方法及系統
US11237872B2 (en) 2017-05-23 2022-02-01 Kla-Tencor Corporation Semiconductor inspection and metrology systems for distributing job among the CPUs or GPUs based on logical image processing boundaries
US10754261B2 (en) * 2017-06-06 2020-08-25 Kla-Tencor Corporation Reticle optimization algorithms and optimal target design
KR20190048491A (ko) * 2017-10-31 2019-05-09 삼성전자주식회사 식각 효과 예측 방법 및 입력 파라미터 결정 방법
US10642160B2 (en) * 2018-03-01 2020-05-05 Globalfoundries Inc. Self-aligned quadruple patterning pitch walking solution
US11341382B1 (en) 2021-04-01 2022-05-24 Ricoh Company, Ltd. Evaluation of print verification system

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020035461A1 (en) * 1997-09-17 2002-03-21 Numerical Technologies, Inc. Visual analysis and verification system using advanced tools
US6373975B1 (en) * 1999-01-25 2002-04-16 International Business Machines Corporation Error checking of simulated printed images with process window effects included

Family Cites Families (268)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3495269A (en) 1966-12-19 1970-02-10 Xerox Corp Electrographic recording method and apparatus with inert gaseous discharge ionization and acceleration gaps
US3496352A (en) 1967-06-05 1970-02-17 Xerox Corp Self-cleaning corona generating apparatus
US3909602A (en) 1973-09-27 1975-09-30 California Inst Of Techn Automatic visual inspection system for microelectronics
US4015203A (en) 1975-12-31 1977-03-29 International Business Machines Corporation Contactless LSI junction leakage testing method
US4247203A (en) 1978-04-03 1981-01-27 Kla Instrument Corporation Automatic photomask inspection system and apparatus
US4347001A (en) 1978-04-03 1982-08-31 Kla Instruments Corporation Automatic photomask inspection system and apparatus
FR2473789A1 (fr) 1980-01-09 1981-07-17 Ibm France Procedes et structures de test pour circuits integres a semi-conducteurs permettant la determination electrique de certaines tolerances lors des etapes photolithographiques.
US4378159A (en) 1981-03-30 1983-03-29 Tencor Instruments Scanning contaminant and defect detector
US4448532A (en) 1981-03-31 1984-05-15 Kla Instruments Corporation Automatic photomask inspection method and system
US4926489A (en) 1983-03-11 1990-05-15 Kla Instruments Corporation Reticle inspection system
US4579455A (en) 1983-05-09 1986-04-01 Kla Instruments Corporation Photomask inspection apparatus and method with improved defect detection
US4532650A (en) 1983-05-12 1985-07-30 Kla Instruments Corporation Photomask inspection apparatus and method using corner comparator defect detection algorithm
US4555798A (en) 1983-06-20 1985-11-26 Kla Instruments Corporation Automatic system and method for inspecting hole quality
US4578810A (en) 1983-08-08 1986-03-25 Itek Corporation System for printed circuit board defect detection
JPS6062122A (ja) 1983-09-16 1985-04-10 Fujitsu Ltd マスクパターンの露光方法
US4599558A (en) 1983-12-14 1986-07-08 Ibm Photovoltaic imaging for large area semiconductors
US4595289A (en) 1984-01-25 1986-06-17 At&T Bell Laboratories Inspection system utilizing dark-field illumination
JPS60263807A (ja) 1984-06-12 1985-12-27 Dainippon Screen Mfg Co Ltd プリント配線板のパタ−ン欠陥検査装置
US4633504A (en) 1984-06-28 1986-12-30 Kla Instruments Corporation Automatic photomask inspection system having image enhancement means
US4817123A (en) 1984-09-21 1989-03-28 Picker International Digital radiography detector resolution improvement
US4734721A (en) 1985-10-04 1988-03-29 Markem Corporation Electrostatic printer utilizing dehumidified air
US4641967A (en) 1985-10-11 1987-02-10 Tencor Instruments Particle position correlator and correlation method for a surface scanner
US4928313A (en) 1985-10-25 1990-05-22 Synthetic Vision Systems, Inc. Method and system for automatically visually inspecting an article
US4637714A (en) * 1985-10-31 1987-01-20 International Business Machines Corporation Inspection system for pellicalized reticles
US5046109A (en) 1986-03-12 1991-09-03 Nikon Corporation Pattern inspection apparatus
US4814829A (en) 1986-06-12 1989-03-21 Canon Kabushiki Kaisha Projection exposure apparatus
US4805123B1 (en) 1986-07-14 1998-10-13 Kla Instr Corp Automatic photomask and reticle inspection method and apparatus including improved defect detector and alignment sub-systems
US4758094A (en) 1987-05-15 1988-07-19 Kla Instruments Corp. Process and apparatus for in-situ qualification of master patterns used in patterning systems
US4766324A (en) 1987-08-07 1988-08-23 Tencor Instruments Particle detection method including comparison between sequential scans
US4812756A (en) 1987-08-26 1989-03-14 International Business Machines Corporation Contactless technique for semicondutor wafer testing
US4845558A (en) 1987-12-03 1989-07-04 Kla Instruments Corporation Method and apparatus for detecting defects in repeated microminiature patterns
US4877326A (en) 1988-02-19 1989-10-31 Kla Instruments Corporation Method and apparatus for optical inspection of substrates
JP2796316B2 (ja) * 1988-10-24 1998-09-10 株式会社日立製作所 欠陥または異物の検査方法およびその装置
US5155336A (en) 1990-01-19 1992-10-13 Applied Materials, Inc. Rapid thermal heating apparatus and method
JP3707172B2 (ja) 1996-01-24 2005-10-19 富士ゼロックス株式会社 画像読取装置
US5189481A (en) 1991-07-26 1993-02-23 Tencor Instruments Particle detector for rough surfaces
US5563702A (en) 1991-08-22 1996-10-08 Kla Instruments Corporation Automated photomask inspection apparatus and method
DE69208413T2 (de) 1991-08-22 1996-11-14 Kla Instr Corp Gerät zur automatischen Prüfung von Photomaske
DE69333348T2 (de) 1992-03-09 2004-09-16 San Diego Regional Cancer Center, San Diego Anti-idiotypischer Antikörper und seine Verwendung zur Diagnose und Therapie bei HIV-bezogenen Krankheiten
US6205259B1 (en) 1992-04-09 2001-03-20 Olympus Optical Co., Ltd. Image processing apparatus
JP2667940B2 (ja) 1992-04-27 1997-10-27 三菱電機株式会社 マスク検査方法およびマスク検出装置
JP3212389B2 (ja) 1992-10-26 2001-09-25 株式会社キリンテクノシステム 固体上の異物検査方法
JP3314440B2 (ja) * 1993-02-26 2002-08-12 株式会社日立製作所 欠陥検査装置およびその方法
JPH06177706A (ja) 1992-12-08 1994-06-24 Sony Corp 信号処理装置
KR100300618B1 (ko) 1992-12-25 2001-11-22 오노 시게오 노광방법,노광장치,및그장치를사용하는디바이스제조방법
US5448053A (en) 1993-03-01 1995-09-05 Rhoads; Geoffrey B. Method and apparatus for wide field distortion-compensated imaging
US5453844A (en) 1993-07-21 1995-09-26 The University Of Rochester Image data coding and compression system utilizing controlled blurring
US5544256A (en) 1993-10-22 1996-08-06 International Business Machines Corporation Automated defect classification system
US5500607A (en) 1993-12-22 1996-03-19 International Business Machines Corporation Probe-oxide-semiconductor method and apparatus for measuring oxide charge on a semiconductor wafer
US5696835A (en) 1994-01-21 1997-12-09 Texas Instruments Incorporated Apparatus and method for aligning and measuring misregistration
US5553168A (en) 1994-01-21 1996-09-03 Texas Instruments Incorporated System and method for recognizing visual indicia
US5608538A (en) 1994-08-24 1997-03-04 International Business Machines Corporation Scan line queuing for high performance image correction
US5572608A (en) 1994-08-24 1996-11-05 International Business Machines Corporation Sinc filter in linear lumen space for scanner
US5528153A (en) 1994-11-07 1996-06-18 Texas Instruments Incorporated Method for non-destructive, non-contact measurement of dielectric constant of thin films
US6014461A (en) 1994-11-30 2000-01-11 Texas Instruments Incorporated Apparatus and method for automatic knowlege-based object identification
US5694478A (en) 1994-12-15 1997-12-02 Minnesota Mining And Manufacturing Company Method and apparatus for detecting and identifying microbial colonies
US5948972A (en) 1994-12-22 1999-09-07 Kla-Tencor Corporation Dual stage instrument for scanning a specimen
CA2139182A1 (en) 1994-12-28 1996-06-29 Paul Chevrette Method and system for fast microscanning
US5661408A (en) 1995-03-01 1997-08-26 Qc Solutions, Inc. Real-time in-line testing of semiconductor wafers
US5991699A (en) 1995-05-04 1999-11-23 Kla Instruments Corporation Detecting groups of defects in semiconductor feature space
US5485091A (en) 1995-05-12 1996-01-16 International Business Machines Corporation Contactless electrical thin oxide measurements
TW341664B (en) 1995-05-12 1998-10-01 Ibm Photovoltaic oxide charge measurement probe technique
US5644223A (en) 1995-05-12 1997-07-01 International Business Machines Corporation Uniform density charge deposit source
US6288780B1 (en) 1995-06-06 2001-09-11 Kla-Tencor Technologies Corp. High throughput brightfield/darkfield wafer inspection system using advanced optical techniques
US5594247A (en) 1995-07-07 1997-01-14 Keithley Instruments, Inc. Apparatus and method for depositing charge on a semiconductor wafer
US5773989A (en) 1995-07-14 1998-06-30 University Of South Florida Measurement of the mobile ion concentration in the oxide layer of a semiconductor wafer
US5621519A (en) 1995-07-31 1997-04-15 Neopath, Inc. Imaging system transfer function control method and apparatus
US5619548A (en) 1995-08-11 1997-04-08 Oryx Instruments And Materials Corp. X-ray thickness gauge
WO1997013370A1 (en) 1995-10-02 1997-04-10 Kla Instruments Corporation Alignment correction prior to image sampling in inspection systems
US5754678A (en) 1996-01-17 1998-05-19 Photon Dynamics, Inc. Substrate inspection apparatus and method
JPH09320505A (ja) 1996-03-29 1997-12-12 Hitachi Ltd 電子線式検査方法及びその装置並びに半導体の製造方法及びその製造ライン
US5673208A (en) 1996-04-11 1997-09-30 Micron Technology, Inc. Focus spot detection method and system
US5742658A (en) 1996-05-23 1998-04-21 Advanced Micro Devices, Inc. Apparatus and method for determining the elemental compositions and relative locations of particles on the surface of a semiconductor wafer
US6205239B1 (en) 1996-05-31 2001-03-20 Texas Instruments Incorporated System and method for circuit repair
US6091846A (en) 1996-05-31 2000-07-18 Texas Instruments Incorporated Method and system for anomaly detection
US6292582B1 (en) 1996-05-31 2001-09-18 Lin Youling Method and system for identifying defects in a semiconductor
US6246787B1 (en) 1996-05-31 2001-06-12 Texas Instruments Incorporated System and method for knowledgebase generation and management
US5767693A (en) 1996-09-04 1998-06-16 Smithley Instruments, Inc. Method and apparatus for measurement of mobile charges with a corona screen gun
US6076465A (en) 1996-09-20 2000-06-20 Kla-Tencor Corporation System and method for determining reticle defect printability
KR100200734B1 (ko) 1996-10-10 1999-06-15 윤종용 에어리얼 이미지 측정 장치 및 방법
US5866806A (en) 1996-10-11 1999-02-02 Kla-Tencor Corporation System for locating a feature of a surface
US5928389A (en) 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
US6259960B1 (en) 1996-11-01 2001-07-10 Joel Ltd. Part-inspecting system
US5852232A (en) 1997-01-02 1998-12-22 Kla-Tencor Corporation Acoustic sensor as proximity detector
US5955661A (en) 1997-01-06 1999-09-21 Kla-Tencor Corporation Optical profilometer combined with stylus probe measurement device
US5795685A (en) 1997-01-14 1998-08-18 International Business Machines Corporation Simple repair method for phase shifting masks
US5889593A (en) 1997-02-26 1999-03-30 Kla Instruments Corporation Optical system and method for angle-dependent reflection or transmission measurement
US5980187A (en) 1997-04-16 1999-11-09 Kla-Tencor Corporation Mechanism for transporting semiconductor-process masks
US6121783A (en) 1997-04-22 2000-09-19 Horner; Gregory S. Method and apparatus for establishing electrical contact between a wafer and a chuck
US6097196A (en) 1997-04-23 2000-08-01 Verkuil; Roger L. Non-contact tunnelling field measurement for a semiconductor oxide layer
US6078738A (en) 1997-05-08 2000-06-20 Lsi Logic Corporation Comparing aerial image to SEM of photoresist or substrate pattern for masking process characterization
KR100308811B1 (ko) 1997-05-10 2001-12-15 박종섭 Gps를이용한시간및주파수발생장치의시간오차개선방법
US6201999B1 (en) 1997-06-09 2001-03-13 Applied Materials, Inc. Method and apparatus for automatically generating schedules for wafer processing within a multichamber semiconductor wafer processing tool
US6011404A (en) 1997-07-03 2000-01-04 Lucent Technologies Inc. System and method for determining near--surface lifetimes and the tunneling field of a dielectric in a semiconductor
US6072320A (en) 1997-07-30 2000-06-06 Verkuil; Roger L. Product wafer junction leakage measurement using light and eddy current
US6104206A (en) 1997-08-05 2000-08-15 Verkuil; Roger L. Product wafer junction leakage measurement using corona and a kelvin probe
US5834941A (en) 1997-08-11 1998-11-10 Keithley Instruments, Inc. Mobile charge measurement using corona charge and ultraviolet light
US6191605B1 (en) 1997-08-18 2001-02-20 Tom G. Miller Contactless method for measuring total charge of an insulating layer on a substrate using corona charge
US6470489B1 (en) 1997-09-17 2002-10-22 Numerical Technologies, Inc. Design rule checking system and method
US6578188B1 (en) 1997-09-17 2003-06-10 Numerical Technologies, Inc. Method and apparatus for a network-based mask defect printability analysis system
US6757645B2 (en) * 1997-09-17 2004-06-29 Numerical Technologies, Inc. Visual inspection and verification system
US5965306A (en) 1997-10-15 1999-10-12 International Business Machines Corporation Method of determining the printability of photomask defects
US5874733A (en) 1997-10-16 1999-02-23 Raytheon Company Convergent beam scanner linearizing method and apparatus
US6233719B1 (en) 1997-10-27 2001-05-15 Kla-Tencor Corporation System and method for analyzing semiconductor production data
US6097887A (en) 1997-10-27 2000-08-01 Kla-Tencor Corporation Software system and method for graphically building customized recipe flowcharts
US6104835A (en) 1997-11-14 2000-08-15 Kla-Tencor Corporation Automatic knowledge database generation for classifying objects and systems therefor
US6614520B1 (en) 1997-12-18 2003-09-02 Kla-Tencor Corporation Method for inspecting a reticle
US6060709A (en) 1997-12-31 2000-05-09 Verkuil; Roger L. Apparatus and method for depositing uniform charge on a thin oxide semiconductor wafer
US6175645B1 (en) 1998-01-22 2001-01-16 Applied Materials, Inc. Optical inspection method and apparatus
US6122017A (en) 1998-01-22 2000-09-19 Hewlett-Packard Company Method for providing motion-compensated multi-field enhancement of still images from video
US6171737B1 (en) 1998-02-03 2001-01-09 Advanced Micro Devices, Inc. Low cost application of oxide test wafer for defect monitor in photolithography process
US6091845A (en) 1998-02-24 2000-07-18 Micron Technology, Inc. Inspection technique of photomask
US5932377A (en) 1998-02-24 1999-08-03 International Business Machines Corporation Exact transmission balanced alternating phase-shifting mask for photolithography
US6091257A (en) 1998-02-26 2000-07-18 Verkuil; Roger L. Vacuum activated backside contact
US6282309B1 (en) 1998-05-29 2001-08-28 Kla-Tencor Corporation Enhanced sensitivity automated photomask inspection system
US6137570A (en) 1998-06-30 2000-10-24 Kla-Tencor Corporation System and method for analyzing topological features on a surface
US6324298B1 (en) 1998-07-15 2001-11-27 August Technology Corp. Automated wafer defect inspection system and a process of performing such inspection
US6266437B1 (en) 1998-09-04 2001-07-24 Sandia Corporation Sequential detection of web defects
US6466314B1 (en) 1998-09-17 2002-10-15 Applied Materials, Inc. Reticle design inspection system
US6122046A (en) 1998-10-02 2000-09-19 Applied Materials, Inc. Dual resolution combined laser spot scanning and area imaging inspection
US6535628B2 (en) 1998-10-15 2003-03-18 Applied Materials, Inc. Detection of wafer fragments in a wafer processing apparatus
JP3860347B2 (ja) 1998-10-30 2006-12-20 富士通株式会社 リンク処理装置
US6248486B1 (en) 1998-11-23 2001-06-19 U.S. Philips Corporation Method of detecting aberrations of an optical imaging system
US6529621B1 (en) 1998-12-17 2003-03-04 Kla-Tencor Mechanisms for making and inspecting reticles
US7106895B1 (en) 1999-05-05 2006-09-12 Kla-Tencor Method and apparatus for inspecting reticles implementing parallel processing
AU3676500A (en) 1999-05-07 2000-11-21 Nikon Corporation Aligner, microdevice, photomask, exposure method, and method of manufacturing device
KR20020011416A (ko) 1999-05-18 2002-02-08 조셉 제이. 스위니 마스트와 비교함으로써 물체의 검사를 수행하는 방법 및장치
US6526164B1 (en) 1999-05-27 2003-02-25 International Business Machines Corporation Intelligent photomask disposition
US6407373B1 (en) 1999-06-15 2002-06-18 Applied Materials, Inc. Apparatus and method for reviewing defects on an object
US6922482B1 (en) 1999-06-15 2005-07-26 Applied Materials, Inc. Hybrid invariant adaptive automatic defect classification
KR100702741B1 (ko) 1999-06-29 2007-04-03 어플라이드 머티어리얼스, 인코포레이티드 반도체 장치 제조를 위한 집적식 임계치수 제어
JP3816390B2 (ja) * 1999-07-02 2006-08-30 富士通株式会社 サービス割り当て装置
US6776692B1 (en) 1999-07-09 2004-08-17 Applied Materials Inc. Closed-loop control of wafer polishing in a chemical mechanical polishing system
US6466895B1 (en) 1999-07-16 2002-10-15 Applied Materials, Inc. Defect reference system automatic pattern classification
US6248485B1 (en) 1999-07-19 2001-06-19 Lucent Technologies Inc. Method for controlling a process for patterning a feature in a photoresist
US6466315B1 (en) 1999-09-03 2002-10-15 Applied Materials, Inc. Method and system for reticle inspection by photolithography simulation
US20020144230A1 (en) 1999-09-22 2002-10-03 Dupont Photomasks, Inc. System and method for correcting design rule violations in a mask layout file
US6268093B1 (en) * 1999-10-13 2001-07-31 Applied Materials, Inc. Method for reticle inspection using aerial imaging
FR2801673B1 (fr) 1999-11-26 2001-12-28 Pechiney Aluminium Procede de mesure du degre et de l'homogeneite de calcination des alumines
US7190292B2 (en) 1999-11-29 2007-03-13 Bizjak Karl M Input level adjust system and method
US6445199B1 (en) 1999-12-14 2002-09-03 Kla-Tencor Corporation Methods and apparatus for generating spatially resolved voltage contrast maps of semiconductor test structures
US6771806B1 (en) 1999-12-14 2004-08-03 Kla-Tencor Multi-pixel methods and apparatus for analysis of defect information from test structures on semiconductor devices
US6701004B1 (en) * 1999-12-22 2004-03-02 Intel Corporation Detecting defects on photomasks
US6778695B1 (en) 1999-12-23 2004-08-17 Franklin M. Schellenberg Design-based reticle defect prioritization
US7120285B1 (en) * 2000-02-29 2006-10-10 Advanced Micro Devices, Inc. Method for evaluation of reticle image using aerial image simulator
US6451690B1 (en) 2000-03-13 2002-09-17 Matsushita Electronics Corporation Method of forming electrode structure and method of fabricating semiconductor device
US6482557B1 (en) 2000-03-24 2002-11-19 Dupont Photomasks, Inc. Method and apparatus for evaluating the runability of a photomask inspection tool
US6569691B1 (en) 2000-03-29 2003-05-27 Semiconductor Diagnostics, Inc. Measurement of different mobile ion concentrations in the oxide layer of a semiconductor wafer
WO2001086698A2 (en) 2000-05-10 2001-11-15 Kla-Tencor, Inc. Method and system for detecting metal contamination on a semiconductor wafer
US6425113B1 (en) 2000-06-13 2002-07-23 Leigh C. Anderson Integrated verification and manufacturability tool
EP1296351A4 (en) 2000-06-27 2009-09-23 Ebara Corp INVESTIGATION DEVICE FOR LOADED PARTICLE RAYS AND METHOD FOR PRODUCING A COMPONENT ELEVATED WITH THIS INSPECTION DEVICE
US6636301B1 (en) 2000-08-10 2003-10-21 Kla-Tencor Corporation Multiple beam inspection apparatus and method
US6634018B2 (en) 2000-08-24 2003-10-14 Texas Instruments Incorporated Optical proximity correction
JP2002071575A (ja) 2000-09-04 2002-03-08 Matsushita Electric Ind Co Ltd 欠陥検査解析方法および欠陥検査解析システム
DE10044257A1 (de) 2000-09-07 2002-04-11 Infineon Technologies Ag Verfahren zum Erzeugen von Masken-Layout-Daten für die Lithografiesimulation und von optimierten Masken-Layout-Daten sowie zugehörige Vorrichtung und Programme
US6513151B1 (en) * 2000-09-14 2003-01-28 Advanced Micro Devices, Inc. Full flow focus exposure matrix analysis and electrical testing for new product mask evaluation
US6593152B2 (en) 2000-11-02 2003-07-15 Ebara Corporation Electron beam apparatus and method of manufacturing semiconductor device using the apparatus
US6753954B2 (en) 2000-12-06 2004-06-22 Asml Masktools B.V. Method and apparatus for detecting aberrations in a projection lens utilized for projection optics
US6602728B1 (en) 2001-01-05 2003-08-05 International Business Machines Corporation Method for generating a proximity model based on proximity rules
US6597193B2 (en) 2001-01-26 2003-07-22 Semiconductor Diagnostics, Inc. Steady state method for measuring the thickness and the capacitance of ultra thin dielectric in the presence of substantial leakage current
US6680621B2 (en) 2001-01-26 2004-01-20 Semiconductor Diagnostics, Inc. Steady state method for measuring the thickness and the capacitance of ultra thin dielectric in the presence of substantial leakage current
US6873720B2 (en) * 2001-03-20 2005-03-29 Synopsys, Inc. System and method of providing mask defect printability analysis
KR100610441B1 (ko) * 2001-03-20 2006-08-08 뉴메리컬 테크날러지즈 인코퍼레이티드 마스크 결함 인쇄적성 분석을 제공하는 시스템과 방법
JP3973372B2 (ja) 2001-03-23 2007-09-12 株式会社日立製作所 荷電粒子線を用いた基板検査装置および基板検査方法
US6665065B1 (en) * 2001-04-09 2003-12-16 Advanced Micro Devices, Inc. Defect detection in pellicized reticles via exposure at short wavelengths
JP4038356B2 (ja) 2001-04-10 2008-01-23 株式会社日立製作所 欠陥データ解析方法及びその装置並びにレビューシステム
JP4266082B2 (ja) 2001-04-26 2009-05-20 株式会社東芝 露光用マスクパターンの検査方法
JP4199939B2 (ja) 2001-04-27 2008-12-24 株式会社日立製作所 半導体検査システム
US20020186878A1 (en) 2001-06-07 2002-12-12 Hoon Tan Seow System and method for multiple image analysis
US6779159B2 (en) 2001-06-08 2004-08-17 Sumitomo Mitsubishi Silicon Corporation Defect inspection method and defect inspection apparatus
US6581193B1 (en) 2001-06-13 2003-06-17 Kla-Tencor Apparatus and methods for modeling process effects and imaging effects in scanning electron microscopy
US7382447B2 (en) 2001-06-26 2008-06-03 Kla-Tencor Technologies Corporation Method for determining lithographic focus and exposure
US20030014146A1 (en) 2001-07-12 2003-01-16 Kabushiki Kaisha Toshiba Dangerous process/pattern detection system and method, danger detection program, and semiconductor device manufacturing method
US6593748B1 (en) 2001-07-12 2003-07-15 Advanced Micro Devices, Inc. Process integration of electrical thickness measurement of gate oxide and tunnel oxides by corona discharge technique
JP2003031477A (ja) 2001-07-17 2003-01-31 Hitachi Ltd 半導体装置の製造方法およびシステム
JP4122735B2 (ja) 2001-07-24 2008-07-23 株式会社日立製作所 半導体デバイスの検査方法および検査条件設定方法
US7030997B2 (en) 2001-09-11 2006-04-18 The Regents Of The University Of California Characterizing aberrations in an imaging lens and applications to visual testing and integrated circuit mask analysis
EP1515567B1 (en) 2001-09-12 2006-08-23 Matsushita Electric Industrial Co., Ltd. Image coding method and image decoding method
JP3870052B2 (ja) 2001-09-20 2007-01-17 株式会社日立製作所 半導体装置の製造方法及び欠陥検査データ処理方法
JP3955450B2 (ja) 2001-09-27 2007-08-08 株式会社ルネサステクノロジ 試料検査方法
US6670082B2 (en) 2001-10-09 2003-12-30 Numerical Technologies, Inc. System and method for correcting 3D effects in an alternating phase-shifting mask
US6751519B1 (en) 2001-10-25 2004-06-15 Kla-Tencor Technologies Corporation Methods and systems for predicting IC chip yield
US6948141B1 (en) 2001-10-25 2005-09-20 Kla-Tencor Technologies Corporation Apparatus and methods for determining critical area of semiconductor design data
US6918101B1 (en) 2001-10-25 2005-07-12 Kla -Tencor Technologies Corporation Apparatus and methods for determining critical area of semiconductor design data
US6813572B2 (en) 2001-10-25 2004-11-02 Kla-Tencor Technologies Corporation Apparatus and methods for managing reliability of semiconductor devices
US6734696B2 (en) 2001-11-01 2004-05-11 Kla-Tencor Technologies Corp. Non-contact hysteresis measurements of insulating films
US7003355B1 (en) * 2001-11-20 2006-02-21 Suaning Gregg J Vision prosthesis for the blind and method for implementing same
US6886153B1 (en) 2001-12-21 2005-04-26 Kla-Tencor Corporation Design driven inspection or measurement for semiconductor using recipe
US6789032B2 (en) 2001-12-26 2004-09-07 International Business Machines Corporation Method of statistical binning for reliability selection
US6658640B2 (en) 2001-12-26 2003-12-02 Numerical Technologies, Inc. Simulation-based feed forward process control
US6906305B2 (en) 2002-01-08 2005-06-14 Brion Technologies, Inc. System and method for aerial image sensing
US7236847B2 (en) 2002-01-16 2007-06-26 Kla-Tencor Technologies Corp. Systems and methods for closed loop defect reduction
US6691052B1 (en) 2002-01-30 2004-02-10 Kla-Tencor Corporation Apparatus and methods for generating an inspection reference pattern
JP3629244B2 (ja) 2002-02-19 2005-03-16 本多エレクトロン株式会社 ウエーハ用検査装置
US20030223639A1 (en) 2002-03-05 2003-12-04 Vladimir Shlain Calibration and recognition of materials in technical images using specific and non-specific features
US20030192015A1 (en) 2002-04-04 2003-10-09 Numerical Technologies, Inc. Method and apparatus to facilitate test pattern design for model calibration and proximity correction
US6966047B1 (en) 2002-04-09 2005-11-15 Kla-Tencor Technologies Corporation Capturing designer intent in reticle inspection
JP2003315973A (ja) * 2002-04-19 2003-11-06 Fujitsu Ltd マスク設計装置、マスク設計方法、プログラムおよび半導体装置製造方法
US6642066B1 (en) 2002-05-15 2003-11-04 Advanced Micro Devices, Inc. Integrated process for depositing layer of high-K dielectric with in-situ control of K value and thickness of high-K dielectric layer
US7152215B2 (en) 2002-06-07 2006-12-19 Praesagus, Inc. Dummy fill for integrated circuits
WO2003104921A2 (en) 2002-06-07 2003-12-18 Praesagus, Inc. Characterization adn reduction of variation for integrated circuits
US7363099B2 (en) 2002-06-07 2008-04-22 Cadence Design Systems, Inc. Integrated circuit metrology
US7393755B2 (en) 2002-06-07 2008-07-01 Cadence Design Systems, Inc. Dummy fill for integrated circuits
US20030229875A1 (en) 2002-06-07 2003-12-11 Smith Taber H. Use of models in integrated circuit fabrication
US6828542B2 (en) 2002-06-07 2004-12-07 Brion Technologies, Inc. System and method for lithography process monitoring and control
US7124386B2 (en) 2002-06-07 2006-10-17 Praesagus, Inc. Dummy fill for integrated circuits
JP2004031709A (ja) 2002-06-27 2004-01-29 Seiko Instruments Inc ウエハレス測長レシピ生成装置
US6777676B1 (en) 2002-07-05 2004-08-17 Kla-Tencor Technologies Corporation Non-destructive root cause analysis on blocked contact or via
JP4073265B2 (ja) 2002-07-09 2008-04-09 富士通株式会社 検査装置及び検査方法
US7012438B1 (en) 2002-07-10 2006-03-14 Kla-Tencor Technologies Corp. Methods and systems for determining a property of an insulating film
US20040008879A1 (en) * 2002-07-11 2004-01-15 United Microelectronics Corp. Method for detecting wafer level defect
WO2004008245A2 (en) 2002-07-12 2004-01-22 Cadence Design Systems, Inc. Method and system for context-specific mask inspection
US7249342B2 (en) 2002-07-12 2007-07-24 Cadence Design Systems, Inc. Method and system for context-specific mask writing
WO2004008244A2 (en) * 2002-07-15 2004-01-22 Kla-Tencor Technologies Corp. Defect inspection methods that include acquiring aerial images of a reticle for different lithographic process variables
US6902855B2 (en) 2002-07-15 2005-06-07 Kla-Tencor Technologies Qualifying patterns, patterning processes, or patterning apparatus in the fabrication of microlithographic patterns
US6775818B2 (en) 2002-08-20 2004-08-10 Lsi Logic Corporation Device parameter and gate performance simulation based on wafer image prediction
US6784446B1 (en) * 2002-08-29 2004-08-31 Advanced Micro Devices, Inc. Reticle defect printability verification by resist latent image comparison
US7043071B2 (en) * 2002-09-13 2006-05-09 Synopsys, Inc. Soft defect printability simulation and analysis for masks
KR100474571B1 (ko) 2002-09-23 2005-03-10 삼성전자주식회사 웨이퍼의 패턴 검사용 기준 이미지 설정 방법과 이 설정방법을 이용한 패턴 검사 방법 및 장치
US7061625B1 (en) 2002-09-27 2006-06-13 Kla-Tencor Technologies Corporation Method and apparatus using interferometric metrology for high aspect ratio inspection
US7379175B1 (en) 2002-10-15 2008-05-27 Kla-Tencor Technologies Corp. Methods and systems for reticle inspection and defect review using aerial imaging
US7027143B1 (en) 2002-10-15 2006-04-11 Kla-Tencor Technologies Corp. Methods and systems for inspecting reticles using aerial imaging at off-stepper wavelengths
US7123356B1 (en) 2002-10-15 2006-10-17 Kla-Tencor Technologies Corp. Methods and systems for inspecting reticles using aerial imaging and die-to-database detection
US6807503B2 (en) 2002-11-04 2004-10-19 Brion Technologies, Inc. Method and apparatus for monitoring integrated circuit fabrication
US7386839B1 (en) 2002-11-06 2008-06-10 Valery Golender System and method for troubleshooting software configuration problems using application tracing
US7457736B2 (en) 2002-11-21 2008-11-25 Synopsys, Inc. Automated creation of metrology recipes
US7136143B2 (en) 2002-12-13 2006-11-14 Smith Bruce W Method for aberration detection and measurement
US6882745B2 (en) 2002-12-19 2005-04-19 Freescale Semiconductor, Inc. Method and apparatus for translating detected wafer defect coordinates to reticle coordinates using CAD data
US6718526B1 (en) 2003-02-07 2004-04-06 Kla-Tencor Corporation Spatial signature analysis
US7030966B2 (en) 2003-02-11 2006-04-18 Asml Netherlands B.V. Lithographic apparatus and method for optimizing an illumination source using photolithographic simulations
US7053355B2 (en) 2003-03-18 2006-05-30 Brion Technologies, Inc. System and method for lithography process monitoring and control
US7508973B2 (en) 2003-03-28 2009-03-24 Hitachi High-Technologies Corporation Method of inspecting defects
US6859746B1 (en) 2003-05-01 2005-02-22 Advanced Micro Devices, Inc. Methods of using adaptive sampling techniques based upon categorization of process variations, and system for performing same
JP2004340652A (ja) 2003-05-14 2004-12-02 Hitachi Ltd 欠陥検査装置および陽電子線応用装置
US6777147B1 (en) 2003-05-21 2004-08-17 International Business Machines Corporation Method for evaluating the effects of multiple exposure processes in lithography
US9002497B2 (en) 2003-07-03 2015-04-07 Kla-Tencor Technologies Corp. Methods and systems for inspection of wafers and reticles using designer intent data
US7135344B2 (en) 2003-07-11 2006-11-14 Applied Materials, Israel, Ltd. Design-based monitoring
US6988045B2 (en) 2003-08-04 2006-01-17 Advanced Micro Devices, Inc. Dynamic metrology sampling methods, and system for performing same
US7003758B2 (en) 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
US7103484B1 (en) 2003-10-31 2006-09-05 Kla-Tencor Technologies Corp. Non-contact methods for measuring electrical thickness and determining nitrogen content of insulating films
JP4351522B2 (ja) 2003-11-28 2009-10-28 株式会社日立ハイテクノロジーズ パターン欠陥検査装置およびパターン欠陥検査方法
US8151220B2 (en) * 2003-12-04 2012-04-03 Kla-Tencor Technologies Corp. Methods for simulating reticle layout data, inspecting reticle layout data, and generating a process for inspecting reticle layout data
US7243331B2 (en) * 2004-01-28 2007-07-10 Applied Materials, Israel, Ltd. Method and system for controlling the quality of a reticle
JP4758358B2 (ja) 2004-01-29 2011-08-24 ケーエルエー−テンカー コーポレイション レチクル設計データにおける欠陥を検出するためのコンピュータに実装される方法
US7194709B2 (en) 2004-03-05 2007-03-20 Keith John Brankner Automatic alignment of integrated circuit and design layout of integrated circuit to more accurately assess the impact of anomalies
US7171334B2 (en) 2004-06-01 2007-01-30 Brion Technologies, Inc. Method and apparatus for synchronizing data acquisition of a monitored IC fabrication process
US7207017B1 (en) 2004-06-10 2007-04-17 Advanced Micro Devices, Inc. Method and system for metrology recipe generation and review and analysis of design, simulation and metrology results
ATE512425T1 (de) 2004-08-09 2011-06-15 Bracco Suisse Sa Verfahren und anordnung zur bildregistrierung in der medizinischen bildgebung basierend auf mehreren masken
US7310796B2 (en) 2004-08-27 2007-12-18 Applied Materials, Israel, Ltd. System and method for simulating an aerial image
JP4904034B2 (ja) 2004-09-14 2012-03-28 ケーエルエー−テンカー コーポレイション レチクル・レイアウト・データを評価するための方法、システム及び搬送媒体
US7142992B1 (en) 2004-09-30 2006-11-28 Kla-Tencor Technologies Corp. Flexible hybrid defect classification for semiconductor manufacturing
KR20070104331A (ko) 2004-10-12 2007-10-25 케이엘에이-텐코 테크놀로지스 코퍼레이션 표본 상의 결함들을 분류하기 위한 컴퓨터-구현 방법 및시스템
US7729529B2 (en) 2004-12-07 2010-06-01 Kla-Tencor Technologies Corp. Computer-implemented methods for detecting and/or sorting defects in a design pattern of a reticle
JP2006200972A (ja) 2005-01-19 2006-08-03 Tokyo Seimitsu Co Ltd 画像欠陥検査方法、画像欠陥検査装置及び外観検査装置
US7475382B2 (en) 2005-02-24 2009-01-06 Synopsys, Inc. Method and apparatus for determining an improved assist feature configuration in a mask layout
US7804993B2 (en) 2005-02-28 2010-09-28 Applied Materials South East Asia Pte. Ltd. Method and apparatus for detecting defects in wafers including alignment of the wafer images so as to induce the same smear in all images
US7813541B2 (en) 2005-02-28 2010-10-12 Applied Materials South East Asia Pte. Ltd. Method and apparatus for detecting defects in wafers
US7496880B2 (en) 2005-03-17 2009-02-24 Synopsys, Inc. Method and apparatus for assessing the quality of a process model
US7760347B2 (en) 2005-05-13 2010-07-20 Applied Materials, Inc. Design-based method for grouping systematic defects in lithography pattern writing system
US7760929B2 (en) 2005-05-13 2010-07-20 Applied Materials, Inc. Grouping systematic defects with feedback from electrical inspection
US7853920B2 (en) 2005-06-03 2010-12-14 Asml Netherlands B.V. Method for detecting, sampling, analyzing, and correcting marginal patterns in integrated circuit manufacturing
US7564017B2 (en) 2005-06-03 2009-07-21 Brion Technologies, Inc. System and method for characterizing aerial image quality in a lithography system
US7501215B2 (en) 2005-06-28 2009-03-10 Asml Netherlands B.V. Device manufacturing method and a calibration substrate
US20070002322A1 (en) 2005-06-30 2007-01-04 Yan Borodovsky Image inspection method
US7769225B2 (en) 2005-08-02 2010-08-03 Kla-Tencor Technologies Corp. Methods and systems for detecting defects in a reticle design pattern
US7488933B2 (en) 2005-08-05 2009-02-10 Brion Technologies, Inc. Method for lithography model calibration
KR100958714B1 (ko) 2005-08-08 2010-05-18 브라이언 테크놀로지스, 인코포레이티드 리소그래피 공정의 포커스-노광 모델을 생성하는 시스템 및방법
US7749666B2 (en) 2005-08-09 2010-07-06 Asml Netherlands B.V. System and method for measuring and analyzing lithographic parameters and determining optimal process corrections

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020035461A1 (en) * 1997-09-17 2002-03-21 Numerical Technologies, Inc. Visual analysis and verification system using advanced tools
US6373975B1 (en) * 1999-01-25 2002-04-16 International Business Machines Corporation Error checking of simulated printed images with process window effects included

Also Published As

Publication number Publication date
CN1910516A (zh) 2007-02-07
US20060236294A1 (en) 2006-10-19
JP4758358B2 (ja) 2011-08-24
US20060161452A1 (en) 2006-07-20
WO2005073807A1 (en) 2005-08-11
KR20060131860A (ko) 2006-12-20
US7646906B2 (en) 2010-01-12
JP2007519981A (ja) 2007-07-19
CN1910516B (zh) 2011-01-12

Similar Documents

Publication Publication Date Title
KR101056142B1 (ko) 레티클 설계 데이터의 결함을 검출하기 위한 컴퓨터로구현되는 방법
US8102408B2 (en) Computer-implemented methods and systems for determining different process windows for a wafer printing process for different reticle designs
JP6594876B2 (ja) フォトリソグラフィレチクル認定方法及びシステム
US7689966B2 (en) Methods, systems, and carrier media for evaluating reticle layout data
US7303842B2 (en) Systems and methods for modifying a reticle's optical properties
JP4954211B2 (ja) 個別マスクエラーモデルを使用するマスク検証を行うシステムおよび方法
US6673638B1 (en) Method and apparatus for the production of process sensitive lithographic features
US8151220B2 (en) Methods for simulating reticle layout data, inspecting reticle layout data, and generating a process for inspecting reticle layout data
EP1997045B1 (en) Computer-implemented methods, storage medium, and system for creating a metrology target structure design for a reticle layout
US7853920B2 (en) Method for detecting, sampling, analyzing, and correcting marginal patterns in integrated circuit manufacturing
US7962863B2 (en) Computer-implemented methods, systems, and computer-readable media for determining a model for predicting printability of reticle features on a wafer
KR100596760B1 (ko) 시각 검사 및 검증 시스템
US7297453B2 (en) Systems and methods for mitigating variances on a patterned wafer using a prediction model
JP4216592B2 (ja) 集積回路の特性を測定するプロセスと装置
CN107851315A (zh) 用于预测晶片级缺陷可印性的设备及方法
JP5436487B2 (ja) フォトリソグラフィマスクの検出方法
EP1875309B1 (en) Method for monitoring a reticle
Yu et al. Inspection of advanced computational lithography logic reticles using a 193-nm inspection system
Hsu et al. Double dipole lithography for 65-nm node and beyond: defect sensitivity characterization and reticle inspection

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20140723

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20150723

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20160722

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20170726

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20180726

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20190724

Year of fee payment: 9