KR100899359B1 - 이중 노광 리소그래피를 수행하는 장치, 프로그램물 및방법 - Google Patents

이중 노광 리소그래피를 수행하는 장치, 프로그램물 및방법 Download PDF

Info

Publication number
KR100899359B1
KR100899359B1 KR1020060033312A KR20060033312A KR100899359B1 KR 100899359 B1 KR100899359 B1 KR 100899359B1 KR 1020060033312 A KR1020060033312 A KR 1020060033312A KR 20060033312 A KR20060033312 A KR 20060033312A KR 100899359 B1 KR100899359 B1 KR 100899359B1
Authority
KR
South Korea
Prior art keywords
mask
features
phase shift
width
percent transfer
Prior art date
Application number
KR1020060033312A
Other languages
English (en)
Other versions
KR20060108245A (ko
Inventor
장 풍 첸
두안-푸 스티븐 흐스
더글라스 판 덴 브로에크
Original Assignee
에이에스엠엘 마스크툴즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 마스크툴즈 비.브이. filed Critical 에이에스엠엘 마스크툴즈 비.브이.
Publication of KR20060108245A publication Critical patent/KR20060108245A/ko
Application granted granted Critical
Publication of KR100899359B1 publication Critical patent/KR100899359B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70466Multiple exposures, e.g. combination of fine and coarse exposures, double patterning or multiple exposures for printing a single feature
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2111/00Details relating to CAD techniques
    • G06F2111/06Multi-objective optimisation, e.g. Pareto optimisation using simulated annealing [SA], ant colony algorithms or genetic algorithms [GA]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/18Manufacturability analysis or optimisation for manufacturability

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

다중-노광 리소그래피 이미징 프로세스에 사용하기 위해 기판상에 이미징될 피처들을 갖는 타겟 패턴에 기초하여 보상 마스크들을 생성시키는 방법. 상기 방법은, 상기 타겟 패턴에 대응되는 초기 H-마스크를 정의하는 단계; 상기 타겟 패턴에 대응되는 초기 V-마스크를 정의하는 단계; 상기 H-마스크에서 사전설정된 임계 폭보다 작은 폭을 갖는 수평방향 임계 피처들을 식별하는 단계; 상기 V-마스크에서 사전설정된 임계 폭보다 작은 폭을 갖는 수직방향 임계 피처들을 식별하는 단계; 상기 H-마스크에 형성될 상기 수평방향 임계 피처들에 상기 제 1 위상 시프트 및 상기 제 1 퍼센트 전달을 할당하는 단계; 및 상기 V-마스크에 형성될 상기 수직방향 임계 피처들에 상기 제 2 위상 시프트 및 상기 제 2 퍼센트 전달을 할당하는 단계를 포함한다. 상기 방법은, 상기 H-마스크 및 상기 V-마스크에서 모든 비-임계 피처들에 크롬을 할당하는 단계를 더 포함한다. 상기 비-임계 피처들은 상기 사전설정된 임계 폭 이상인 폭을 갖는 피처들이다. 상기 비-임계 피처들은 크롬을 활용하여 상기 H-마스크 및 상기 V-마스크에 형성된다. 그 다음, 상기 타겟 패턴은 H-마스크와 V-마스크 둘 모두에 의한 이미징에 의하여 기판상에 이미징된다.

Description

이중 노광 리소그래피를 수행하는 장치, 프로그램물 및 방법{A METHOD, PROGRAM PRODUCT AND APPARATUS FOR PERFORMING DOUBLE EXPOSURE LITHOGRAPHY}
도 1a는 허용가능한 SB 폭 대(versus) 하프 피치 최소 디자인 룰(half pitch minimum design rule)의 플롯도;
도 1b는 0.85NA 및 QUASAR 조명을 이용하여, 고립된 라인(isolated line)의 시뮬레이션된 에어리얼 이미지(aerial image)의 비교를 예시하는 도면;
도 2는 본 발명의 DEL/DDL 레이아웃 분해 방법(layout decomposition method)을 나타내는 예시적을 플로우차트;
도 3a 내지 도 3d는 본 발명에 따른, 타겟 패턴(도 3a 참조)을 V-레이아웃(도 3b 참조) 및 H-레이아웃(도 3c 참조)으로 분해(decomposition)하는 일 예시를 나타내며, 그 결과적인 에어리얼 이미지(도 3d)를 나타내는 도면;
도 4a 내지 도 4e는 도 2의 플로우 차트에서 설명된 공정과 조합하여 조명 극성(illumination polarization)을 이용함으로써 이미징 성능을 더욱 개선할 수 있는 방법을 예시하는 도면;
도 5a 내지 도 5c는 SB 폭을 변화시킴에 따라 고립된 45nm 라인을 이미징하는 본 발명의 이중 노광 기술의 성능의 시뮬레이션 비교를 예시하는 도면;
도 6a 및 도 6b는 45nm 조밀한(dense) 및 고립된 라인들에 대한 단일 노광 공정 대 이중 노광 공정간의 비교를 예시하는 도면;
도 7a 및 도 7b는 DRAM 셀의 활성 층(active layer)상에서 트리밍(trim)되는 메인 피처(main feature)를 갖는 본 발명의 DDL/DET 기술의 사용을 예시하는 도면;
도 8a 및 도 8c는 이중 노광 기술을 이용하여 형성된 결과적인 레지스트 등고선(resist contour)들의 일 예시를 나타내는 한편, 도 8b 및 도 8d는 최적화된 일루미네이터를 갖는 단일 노광 기술을 이용하여 형성된 레지스트 등고선들을 예시하는 도면이고, 도 8e는 활성 영역 CD를 가로지른(across) 컷 라인(cut line)상에서 극성 없이 0.85NA 건식(dry)을 이용하는 시뮬레이션된 공정 관용도(process latitude)를 예시하는 도면;
도 9는 본 발명의 방법을 실행하는데 사용될 수 있고 H 및 V 마스크를 나타내는 파일들을 생성하는데 사용될 수 있는 컴퓨터 시스템을 예시하는 블록도; 및
도 10은 개시된 개념들의 도움으로 디자인되는 마스크들과 함께 사용하기에 적합한 예시적인 리소그래피 투영장치를 개략적으로 도시하는 도면이다.
본 발명의 기술 분야는, 일반적으로, 3-톤(tri-tone) 마스크를 이용하고 개선된 스캐터 바아 트리밍(improved scatter bar trimming)을 제공하는 이중 노광 리소그래피를 수행하는 방법, 프로그램물 및 방법에 관한 것이다.
리소그래피 장치는 예를 들어, 집적회로(ICs)의 제조에 사용될 수 있다. 이 러한 경우, 마스크는 IC의 개별층에 대응하는 회로패턴을 포함하고, 이 패턴은 방사선감응재(레지스트)층으로 코팅된 기판(실리콘 웨이퍼)상의 타겟부(예를 들어, 하나 이상의 다이로 구성)상으로 이미징될 수 있다. 일반적으로, 단일 웨이퍼는 투영시스템을 통해 한번에 하나씩 연속적으로 조사되는 인접한 타겟부들의 전체적인 네트워크를 포함할 것이다. 일 형태의 리소그래피 투영장치에서, 타겟부상에 전체 마스크 패턴을 한번에 노광함으로써 각각의 타겟부가 조사되는데, 이러한 장치를 통상 웨이퍼 스테퍼(wafer stepper)라 칭한다. 통상 스텝-앤드-스캔 장치(step-and-scan apparatus)라 불리는 대안적인 장치에서, 투영빔하에서 주어진 기준방향("스캐닝" 방향)으로 마스크 패턴을 점진적으로 스캐닝하면서, 상기 방향과 평행하게(같은 방향으로 평행하게) 또는 반평행하게(반대 방향으로 평행하게) 기판 테이블을 동기적으로 스캐닝함으로써 각각의 타겟부가 조사된다. 일반적으로 투영시스템이 배율인자(M)(일반적으로<1)를 가지므로, 기판 테이블이 스캐닝되는 속도(V)는 마스크 테이블이 스캐닝되는 속도의 인자(M)배가 된다. 여기에 서술된 리소그래피 장치에 관련된 추가 정보는 예를 들어, 본 명세서에서 참고자료로 채택된 US 제6,046,792호로부터 얻을 수 있다.
리소그래피 투영장치를 사용하는 제조 프로세스에서, 마스크 패턴은 적어도 부분적으로 방사선감응재(레지스트)층으로 도포된 기판상에 이미징된다. 이러한 이미징 단계(imaging step)에 앞서, 기판은 전처리(priming), 레지스트 코팅, 소프트 베이크와 같은 다양한 절차를 거칠 수 있다. 노광 후에, 기판은 노광후 베이크(PEB), 현상, 하드 베이크 및 이미징된 피처(imaged feature)의 측정/검사와 같은 기타 절차를 거칠 수 있다. 이러한 일련의 절차는, 예를 들어 IC와 같은 디바이스의 개별층을 패터닝하는 기초로서 사용된다. 그런 다음, 이러한 패터닝된 층은 에칭, 이온주입(도핑), 금속화, 산화, 화학-기계적 폴리싱 등과 같은 개별층을 마무리하기 위한 다양한 프로세스를 거친다. 여러 층이 요구된다면, 새로운 층마다 전체 절차 또는 그 변형 절차가 반복되어져야만 할 것이다. 종국에는, 디바이스의 배열이 기판(웨이퍼)상에 존재하게 될 것이다. 이들 디바이스가 다이싱 또는 소잉 등의 기술에 의해 서로 격리된 후에, 각각의 디바이스는 캐리어에 탑재되고, 핀 등에 접속될 수 있다.
설명을 간단히 하기 위해, 투영시스템은 이후에 "렌즈"라고 언급될 수 있다; 하지만, 이 용어는 예를 들어, 굴절 광학기, 반사 광학기 및 카타디옵트릭 시스템을 포함한 다양한 형태의 투영시스템을 내포하는 것으로서 폭넓게 해석되어야 한다. 또한, 방사선시스템은 방사선 투영빔의 지향, 성형 또는 제어를 하기 위한 디자인 유형 중의 어느 하나에 따라 동작하는 구성요소를 포함할 수 있고, 이러한 구성요소들도 아래에서 집합적으로 또는 개별적으로 "렌즈"라고 언급될 수 있다. 또한, 상기 리소그래피 장치는 두개 이상의 기판 테이블 (및/또는 두개 이상의 마스크 테이블)을 구비하는 형태가 될 수 있다. 이러한 "다수 스테이지" 장치에서, 추가적인 테이블들이 병행하여 사용될 수 있거나, 하나 이상의 다른 테이블들이 노광을 위하여 사용되고 있는 동안에 하나 이상의 테이블에서 준비단계가 수행될 수 있다. 트윈 스테이지 리소그래피 장치는 예를 들어, 본 명세서에서 참고자료로 채택된 US 제5,969,441호에 개시되어 있다.
상기 언급된 포토리소그래피 마스크는 실리콘 웨이퍼상으로 집적되는 회로 구성요소에 대응하는 기하학적인 패턴들을 포함한다. 이러한 마스크를 형성하는데 사용되는 상기 패턴들은, CAD(컴퓨터 지원 설계 : computer-aided design) 프로그램을 사용하여 생성될 수 있고, 이 프로세스는 종종 EDA(전자설계 자동화: electronic design automation)로 언급된다. 대부분의 CAD 프로그램은 기능적인 마스크를 형성하기 위해 사전설정된 디자인 룰의 세트를 따른다. 이들 룰은 처리 및 디자인 제한에 의해 설정된다. 예를 들어, 디자인 룰들은, 회로 디바이스들(게이트들, 캐패시터들 등과 같은) 또는 상호접속 라인들 사이의 간격 허용오차를 정의하여, 상기 회로 디바이스들 또는 라인들이 바람직하지 않은 방식으로 상호 작용하지 않도록 한다. 디자인 룰 제한들은 통상적으로 "임계 치수"(CD)라고 칭해진다. 회로의 임계 치수는 라인 또는 홀의 최소폭 또는 두개의 라인들 또는 두개의 홀들 사이의 최소간격으로 정의될 수 있다. 따라서, 상기 CD는 디자인된 회로의 전체적인 크기 및 밀도를 결정한다.
물론, 집적 회로 제작에 있어서의 목적들 중 하나는 (마스크를 통해) 웨이퍼상에 원래 회로 디자인을 충실하게 재현(faithfully reproduce)하는 것이다. 타겟 패턴의 임계 치수가 점점 작아지기 때문에, 웨이퍼상에 타겟 패턴들을 재현하는 것이 점점 힘들어지고 있다. 하지만, 웨이퍼에 이미징 또는 재현될 수 있는 최소 CD의 감소를 허용하는 공지된 기술들이 있다. 이러한 한가지 기술은, 타겟 패턴내의 피처들이 2개의 별도의 노광(separate exposure)에서 이미징되는 이중 노광 기술이다.
예를 들면, 통상적으로 공지된 이중 노광 기술은 쌍극자 조명(dipole illumination)이다. 이 기술에서는, 제 1 노광시에 타겟 패턴의 수직 에지들(즉, 피처들)이 조명되고, 그 후, 제 2 노광시에 타겟 패턴의 수평 에지들이 조명된다. 설명된 바와 같이, 2개의 노광을 이용함으로써, 개선된 이미징 성능이 얻어질 수 있다.
또한, 칩 제조업자들은 생산시 더 낮은 k1 팩터(factor)와 더 파격적인(aggressive) 디자인 룰들로 가고 있기 때문에, 스캐터링 바아(scattering bar) "SB"(또는 어시스트 피처(assist feature) "AF")의 이용은 필수적이다. SB의 폭 "d"는 다음의 방정식을 이용하여 평가될 수 있으며, 여기서 kSB는 비-프린트성(non-printability) 또는 서브-분해능(sub-resolution)을 나타내는 스케일링 상수(scaling constant)이다(SB 스캐일링 팩터(kSB)의 통상적인 범위는 0.2 내지 0.25이다):
d = kSB(λ/NA)
여기서, λ는 노광 툴(exposure tool)의 파장이고, NA는 노광 툴의 개구수(numerical aperture)이다.
k1을 0.35 이상으로 유지하기 위해서, 제조업자들은 더 높은 NA 툴을 이용하려는 경향이 있다. 침지 리소그래피(immersion lithography)의 도래(advent)로, NA 값을 1보다 크게 할 수 있다. 이러한 월등한(hyper) NA 조건들 하에서는, SB 확장 성(scalability) 및 프린트성이 중요한 이슈(critical issue)가 되고 있다. 도 1a는 SB 폭 대(versus) 하프 피치 최소 디자인 룰(half pitch minimum design rule)의 플롯도이다. 2차 축선(secondary axis)은 k1 팩터이다. 도 1a에 나타낸 바와 같이, 디바이스 제조업자들이 더 낮은 k1 생산으로 가고 있기 때문에, 따라서, SB의 원치않는 프린팅을 회피하기 위해서는 SB 폭이 축소(scale down)되어야 한다. 이는, 프린팅을 회피하기 위해서 SB의 요구되는 폭이 소정 지점에서는 최소 제조가능 폭보다 더 작아질 것이라는 점에서 문제가 된다(즉, 제조하기에는 요구되는 SB가 너무 작을 것이다).
더욱이, 레티클상의 SB 폭이 노광 파장(λ)보다 더 작아지게 되므로, 키르코프 스칼라 법칙(Kirchoff scalar law)은 더 이상 유효하지 않다. 도 1b는 0.85NA 및 QUASAR 조명을 이용하여, 고립된 라인(isolated line)의 시뮬레이션된 에어리얼 이미지(aerial image)의 비교를 예시한다. 4X 레티클상의 SB 폭은 BIM(즉, bright intensity binary mask)상에서 60nm이다. 엄격한(rigorous) EMF(NA85QS9363rig) 대 스칼라(NA85QS9363scl)에 대한 에어리얼 이미지를 비교한 도 1b를 참조하면, EMF 에어리얼 이미지는 SB가 예측된 스칼라 이미지보다 실제적으로 더 어둡다는 것을 나타낸다. 이는 SB가 더 무거운 광학 중량(optical weight)을 가지며 따라서 웨이퍼들상에 보다 용이하게/손쉽게 프린트될 수 있음을 암시(suggest)한다. 따라서, SB 확장성 및 프린트성을 해결(address)하고 SB의 프린팅을 방지하는 공정이 요구된다.
이전의 관점에서, 본 발명의 목적은, 예를 들어 65nm 또는 45nm 노드 디바이스(node device)들 또는 그 미만을 프린트하는 경우에 사용하기 적합한, 기판으로부터 원치않는 SB 잔여물(residue)들을 트리밍(trim)(즉, 제거)하는 이중 노광 리소그래피 방법을 제공하는 것이다.
요약하면, 본 발명은 다중-노광 리소그래피 이미징 공정에서 사용되는 기판상에 이미징될 피처들을 갖는 타겟 패턴에 기초하여 상보적 마스크(complementary mask)들을 생성하는 방법에 관한 것이다. 상기 방법은, 타겟 패턴에 대응하는 초기 H-마스크(initial H-mask)를 정의하는 단계; 상기 타겟 패턴에 대응하는 초기 V-마스크를 정의하는 단계; 사전설정된 임계 폭보다 더 좁은 폭을 갖는 상기 H-마스크내의 수평 임계 피처들을 식별(identify)하는 단계; 사전설정된 임계 폭보다 더 좁은 폭을 갖는 상기 V-마스크내의 수직 임계 피처들을 식별하는 단계; 상기 H-마스크내에 형성되어야 할 상기 수평 임계 피처들에 제 1 위상 시프트(phase shift) 및 제 1 퍼센트 투과(percentage transmission)를 할당하는 단계; 및 상기 V-마스크내에 형성되어야 할 상기 수직 임계 피처들에 제 2 위상 시프트 및 제 2 퍼센트 투과를 할당하는 단계를 포함한다. 상기 방법은, 상기 H-마스크 및 상기 V-마스크내의 모든 비-임계 피처들에 크롬을 할당하는 단계를 더 포함한다. 상기 비-임계 피처들은 사전설정된 임계 폭이상의 폭을 갖는 피처들이다. 비-임계 피처들은 크롬을 이용하여 H-마스크 및 V-마스크내에 형성된다. 그 후, 타겟 패턴은 H-마스크와 V-마스크를 이미징함으로써 기판상에 이미징된다.
본 발명은 종래 기술을 능가하는 중요한 장점들을 제공한다. 예를 들어, 본 발명은 본 발명의 공정에 기인한 SB의 상호 트리밍(mutual trimming)으로 인해 큰 SB를 이용할 수 있는 능력(ability)을 제공한다. 보다 상세하게는, 주어진 공정에서, H-마스크와 V-마스크는 모두 회로 피처 및 SB를 포함하나, 상이한 대응 방위들을 가지며, 따라서, 2개의 노광시 H-마스크 및 V-마스크에 대한 상호 SB 트리밍이 존재한다.
당업자라면, 본 발명의 예시적인 실시예들의 다음의 상세한 설명으로부터 본 발명의 또 다른 장점들을 알 수 있을 것이다.
비록 본 명세서에서는 본 발명을 사용함에 있어 IC의 제조에 대해서만 특정하여 언급하였으나, 본 발명은 다수의 다른 응용례를 가지고 있음을 분명히 이해하여야 한다. 예를 들어, 상기 장치는 집적 광학 시스템, 자기 도메인 메모리용 유도 및 검출 패턴, 액정표시패널, 박막자기헤드 등의 제조에도 사용될 수 있다. 당업자라면, 전술한 기타 응용분야들을 고려할 때, 본 명세서에서 사용된 "레티클", "웨이퍼" 또는 "다이"와 같은 용어의 어떠한 사용도 각각 "마스크", "기판" 및 "타겟부" 등과 같은 좀 더 일반적인 용어로 대체되는 것으로 간주되어야 함을 이해할 것이다.
다음의 상세한 설명 및 첨부 도면을 참조하면, 또 다른 목적 및 장점들과 함께 본 발명 자체를 더 이해할 수 있다.
하기에 보다 상세히 설명되는 바와 같이, 본 발명의 이중 노광 기술은, 타겟 패턴을, 조명시 개선된 스캐터 바아 트리밍 및 개선된 이미징 성능을 제공하는 다수의 3-톤 마스크들로 분해한다.
보다 상세하게는, 도 2는 본 발명의 제 1 실시예를 예시하는 플로우 차트이다. 도 2를 참조하면, 공정의 제 1 단계(단계 20)는 기판(또는 웨이퍼 등)상에 이미징되어야 할 타겟 패턴을 식별하고 판독하는 것이다. 타겟 패턴은, 예를 들어 GDSII 디자인 데이터 또는 여하한의 적절한 데이터 포맷으로 표현될 수 있다. 다음 단계(단계 22)는 타겟 패턴을 수평(H) 및 수직(V) 레이아웃들로 변환(convert)시키고 수평과 수직 레이아웃들에서 임계 지오메트리(critical geometry)들을 식별하는 것이다(단계 24). 타겟 디자인을 H 및 V 레이아웃으로 분리시키는 경우, 초기에는 상기 레이아웃들은 동일하며 타겟 패턴에 대응한다는 것을 유의한다. 하지만, 하기에 보다 상세히 설명되는 바와 같이, H-레이아웃(H-마스크라고도 함)은 본 발명에 따라 수정되고 타겟 패턴의 수평 에지들을 프린트하는 기능을 하며, V-레이아웃(V-마스크라고도 함)은 본 발명에 따라 수정되고 타겟 패턴의 수직 에지들을 프린트하는 기능을 한다.
임계 지오메트리들은, 타겟 패턴의 CD 공차(tolerance) 및 사용되는 이미징 시스템에 기초하여 디자이너에 의해 결정될 수 있는 사전정의된 소정의 양 미만의 폭 치수를 갖는 피처들이다. 지오메트리 작업은, 임계적이어야 할 특정한/사전설정된 값보다 작은 H-마스크 및 V-마스크내의 피처들을 특정화하기 위해 사용된다. 임계 값은 사용되는 디자인 및 기술 모드에 따라 변화될 수 있는 가변값으로서 설정된다. 부연하면, 주어진 공정 및 기술 모드(예컨대, 45nm)의 경우, 본 발명에서는 임계값이라고 하는 소정 값 이하의 폭 치수를 갖는 피처를 적절히 이미징하는 것이 더욱 힘들어진다. 설명된 바와 같이, 이 임계 값은 상이한 기술 모드들 뿐만 아니라 공정으로부터 공정으로도 변할 수 있다.
단계 24는 타겟 패턴을 이미징하는데 사용되는 이미징 시스템의 켈리브레이션된 모델(calibrated model) 또는 에어리얼 이미지 모델을 이용하여 달성될 수 있다는 것을 유의한다. 이러한 모델들을 이용함으로써, 타겟 패턴의 주어진 피처가 어떻게 기판상에 이미징될 것인지 시뮬레이션할 수 있고, 그 후 그 시뮬레이션의 결과에 기초하여, 피처들의 CD 및 어떤 피처들이 임계 피처들로서 적합(qualify)한지를 결정할 수 있다. 이러한 모델들의 이용은 해당 기술 분야에 잘 알려져 있으며, 본 명세서에서는 보다 상세히 설명되지 않을 것이다. 또한, 타겟 패턴을 수평 및 수직 레이아웃들로 변환시키는데 에어리얼 이미지 모델 또는 캘리브레이션된 모델도 사용될 수 있음을 더욱 유의한다. 이는 조명 쌍극자(X) 또는 쌍극자(Y)에 대해 낮은 콘트라스트 방향(contrast direction)으로 처리 에지(treatment edge)를 특정함으로써 달성될 수 있다.
임계 지오메트리들이 H-레이아웃과 V-레이아웃으로 식별되면, 다음 단계(단계 26)는 원하는 투과(예를 들어, 6% 투과) 및 위상(예를 들어, 180도)을, 예를 들어 감쇠 위상-시프트 물질(attenuated phase-shift material)을 이용하여 H-레이아웃과 V-레이아웃내의 임계 피처들의 각각에 할당하는 것이다. 최적의 투과 퍼센트는 예를 들어, 본 명세서에서 인용 참조되고 있는 2004년 11월 5일에 출원된 USP 출원 제 10/981,762호에 개시된 투과 조율 기술(transmission tuning technique)을 이용하여 결정될 수 있음을 유의한다. 이용되어야 할 원하는 투과, 예컨대 6%를 간단히 선택하는 것도 허용될 수 있음은 물론이다. 또한, 임계 피처들에 적용된 원하는 위상 시프트로서 180도가 식별되었지만, 180도 이외의 위상-시프트를 이용할 수 있으며, 그러므로 본 발명은 180도 위상-시프트를 이용하는 것으로 제한된다고 생각해서는 아니된다.
임계 피처들에 할당된 투과 및 위상은 예를 들어 적절한 감쇠 위상-시프팅 물질 또는 무크롬 메사 구조(chromeless mesa structure)를 이용하여 어떻게 임계 피처들이 각각의 마스크내에 형성될 것인지를 정의한다는 것을 유의한다. 주어진 실시예에서는, 마스크 제조 공정의 복잡성을 최소화하기 위해서 동일한 투과 및 위상이 임계 피처들 모두에 적용된다는 것을 더욱 유의한다. 하지만, 시행 시 상이한 투과들 및 위상들을 임계 피처들에 할당할 수도 있는 것도 가능하므로, 개선된 이미징 성능을 유도하게 될 것이다. 최적의 투과는 NA 및 피치(pitch) 의존적임을 유의한다.
H-레이아웃과 V-레이아웃내의 비-임계 피처들(즉, 임계 지오메트리들을 정의하는 폭보다 더 큰 폭을 갖는 피처들)에 대해, 이들 피처들은 크롬을 이용하여 이미징될 수 있다. 어떠한 이유로든 바람직하다면, 이러한 비-임계 피처들은 임계 피처들을 형성하기 위해 사용되는 동일한 위상 시프팅 물질을 이용하여 이미징될 수도 있음은 물론이다.
다음 단계(단계 28)는 H-레이아웃내의 수직 에지들에 또한 V-레이아웃내의 수평 에지들에 임시 크롬 실드(tentative chrome shield)들을 적용하는 것이다. 크 롬 실드들은 예를 들어 다수의 공지된 OPC 모델들 또는 광학 모델들 중 어느 것을 이용하여 적용될 수 있다. 임시 크롬 실드들이 적용되면, 다음 단계(단계 30)는 H-레이아웃 및 V-레이아웃의 각각에 임시 SB를 적용하는 것이다. H-레이아웃에서, SB는 이미징될 수평 에지들과 평행하게 수평으로 연장되어 놓여질 것이고, V-레이아웃에서, SB는 이미징될 수직 에지들과 평행하게 수직으로 연장되어 놓여질 것이다.
본 발명과 연관될 장점들 중 하나는 본 발명의 공정에 기인한 SB의 상호 트리밍으로 인해 큰 SB를 이용할 수 있는 능력임을 유의한다. 보다 상세하게는, 주어진 공정에서, H-마스크와 V-마스크는 회로 피처들 및 SB를 포함하지만, 상이한 대응 방위들에 존재하며, 그러므로 2개의 노광 시 H-마스크 및 V-마스크에 대한 상호 SB 트리밍이 존재한다. 즉, 각각의 노광의 배경 노광(background exposure)은 각각의 마스크내의 SB가 프린트되는 것을 방지하기 위해 사용된다. 이 공정은 매우 낮은 k1 프린팅을 달성하기 위해서 전용화된(dedicated) SB 트리밍을 사용하는 것보다 더 효율적이다. 정확하게, 얼마나 큰 SB 인가는 이용되는 주어진 공정 및 초점심도(depth of focus) 요건들에 따라 어느 정도(in-part) 달라질 수 있다. 허용된 최대 SB 크기를 결정하는 한가지 방법은, 최종 이미지내의 어떠한 SB 잔여부내에도 생기지 않게 되는 최대 허용가능 SB 폭을 결정하기 위해서 초기 시뮬레이션(들)을 실행하는 것이다.
실딩 요건(shielding requirement)들을 초기에 결정하는 경우 각각의 레이아웃들내에는 SB가 존재하지 않기 때문에, 다음 단계(단계 32)에서, 임시 SB가 H-레 이아웃과 V-레이아웃내에 놓여지면, 그 후 실딩을 적용하는 모델은 SB의 광학 중량이 요구되는/최적의 실딩에 대해 고려될 수 있도록 재실행된다. 이와 유사하게, 실딩이 종료되면, 각각의 마스크들에 적용되어야 할 최종화된 실딩의 관점에서 최적의 SB가 결정될 수 있도록 SB를 적용하는 OPC 프로그램이 재실행된다.
SB가 종료되면, 마지막 단계(단계 34)는 마스크 제조 룰 체크(mask manufacture rule check) 및 검증(verification)과 함께 최종 모델 OPC를 수행하는 것이다. 각각의 레이아웃들(즉, 마스크들)이 룰 체크 및 검증을 통과하는 경우, 공정이 완료되며 H-레이아웃 및 V-레이아웃은 이중 노광 이미징 공정시에 이용될 마스크들을 나타낸다.
이전의 공정은 이중 쌍극자 조명으로 제한되지 않음을 유의한다. 예를 들면, 예컨대 X(수평) 또는 Y(수직) 방향으로 대칭 극을 가지나 X로부터 Y방향으로는 비대칭인 주문제작된(customized) QUASAR 조명과 같이 여타의 타입의 조명에도 적용될 수 있다.
도 3a 내지 도 3d는 본 발명에 따른, 타겟 패턴(도 3a 참조)을, V-레이아웃(도 3b 참조) 및 H-레이아웃(도 3c 참조)으로 분해하는 일 예시를 나타내며, 그 결과적인 에어리얼 이미지(도 3d)를 나타낸다. V-레이아웃인 도 3b를 참조하면, 수평으로 지향된 피처들(33)은 크롬 실딩으로 덮여 있고 이미징될 수직 피처들(35)은 6% 투과 및 180도 위상-시프트를 갖는 AttPSM 물질로 구성되어 있다는 것을 알 수 있다. 또한, V-레이아웃은 수직으로 배치된 SB(37)를 포함한다. 이와 유사하게, H-레이아웃인 도 3c를 참조하면, 수평으로 지향된 피처들(35)이 크롬 실딩으로 덮여 있고, 이미징될 수평 피처들(33)이 6% 투과 및 180도 위상-시프트를 갖는 AttPSM 물질로 구성되어 있다는 것을 알 수 있다. 또한, H-레이아웃은 수평으로 배치된 SB(39)를 포함한다. 이전의 예시에서 이미징될 모든 피처들은 그 모든 피처들이 임계적이라고 생각되었기 때문에 AttPSM으로 구성되어 있음을 유의한다. 하지만, 상기에 언급된 바와 같이, 임계 치수를 초과하는 폭을 갖는 타겟 패턴내의 임의의 피처의 경우, 마스크 제조 공정을 단순화하기 위해 크롬을 이용하여 이러한 피처를 형성할 수 있다. 도 3d는 시뮬레이션된 이미징 결과를 예시한다.
이전의 실시예의 일 변형례에서, 조명 극성과 연계하여 본 발명의 이중 노광 공정을 이용함으로써 이미징 성능을 더욱 향상시킬 수 있다. 보다 상세하게, 높은 NA와 강한 오프 액시스 조명(strong off axis illumination) 조건들 하에서는, 피치가 파장보다 더 작은 경우, 0th와 +/-1st차 회절 성분간의 각도가 매우 커서 벡터 효과가 두드러지게 된다. 선형 극성은 콘트라스트를 향상시키는 효율적인 방식이며 노광 시스템들에서 비교적 간단히 구현된다. 도 4a에 도시된 바와 같이, TM(즉, 횡자기파(traverse magnetic wave)로 인한 불완전한 간섭(incomplete interference)은 이미지 콘트라스트를 감소시킬 수 있다. 하지만, 도 4b에 도시된 바와 같이, 선형 극성화 소스(linearly polarized source)와 조합하여 쌍극자 조명을 이용함으로써, 바람직하지 않은 TM 성분이 감소될 수 있으며, 따라서 이미지 콘트라스트를 개선할 수 있다. 도 4c는 0.85NA로 노광된 9% AttPSM 마스크의 경우, σIN = 0.68 및 σOUT = 0.93을 갖는 DX를 도시하며, DX 소스에 선형 y 극성을 적용함으로써 NILS의 3배 개선이 존재한다.
도 4d는 극성의 유무에 따른 노광-디포커스(exposure-defocus: ED) 플롯이다. 선형의 y-극성화 DX가 낮은 최소 세기를 갖기 때문에, ED 윈도우는 더 높은 센터 도즈(center dose)를 갖는다는 것을 유의한다. 도 4e는 동일한 쌍극자 각도 및 시그마 파라미터들과 함께, 선형 y 극성을 추가함으로써, 수직 피처들에 대해 51%의 노광 관용도의 개선이 존재한다는 것을 나타낸다.
상기 언급된 바와 같이, 본 발명의 이중 노광 기술은 이전에 공지된 기술들을 능가하여 개선된 성능을 제공한다. 도 5a는 DX 및 DY 조명들에 대해 각각 선형 y 및 x 극성을 갖는 0.93NA 건식 노광 시스템을 가정하여, 고립된 45nm 라인을 이미징하는 본 발명의 이중 노광 기술의 성능의 시뮬레이션 비교이다. DX 및 DY 쌍극자 세팅(setting)들은 35도 극 각도(pole angle)를 갖는 σIN = 0.68 및 σOUT = 0.93이다. 도 5b는 도 5a의 25nm에서 도 5c의 50nm까지 변하는 폭들을 갖는 최적의 위치에 배치된 SB의 3개의 쌍들을 예시한다. 시뮬레이션 FEM 플롯은 전체 크기(full size) SB가 25nm SB에 비해 100% DOF 개선을 제공한다는 것을 나타낸다.
실제적인 문제(practical matter)로서, ArF를 이용하여 45nm 피처들을 프린트하기 위해서, 침지 및 극성은 DOF를 개선하는데 사용될 것이라는 것이 예상된다. 도 6a 및 도 6b는 45nm 조밀한 라인 및 고립된 라인에 대한 단일 노광 공정 대 이중 노광 공정을 비교한다. 시뮬레이션 세팅은 0.93NA이며, DX 단일 노광에 대해서 σIN = 0.76 및 σOUT = 0.96이다. 이중 노광의 경우, DX 및 DY는 동일한 NA 및 조명 세팅들을 이용한다. Prolith v9.01 EMF1은, 단일 노광 예시들: A 경우(조밀), C 경우(고립), 및 E 경우(고립)(여기서, 조밀한 피치는 120nm임), 및 이중 노광 예시들: B 경우(조밀) 및 D 경우(고립)를 포함한 모든 시뮬레이션들에 대해 사용되었다. A 및 B 경우는 본 발명에 따른 단일 노광 및 이중 노광에 대한 조밀한 라인 성능을 비교한다. 도시된 바와 같이, 적절한 실딩과 함께, 이중 노광은 단일 노광과 동일한 공정 윈도우를 갖는다. 단일 노광을 갖는 고립된 라인들의 경우, 즉 E 경우, 공정 윈도우는 매우 제한된다. 하지만, 이중 노광 기술 및 전체 크기 55nm SB를 이용하는 D 경우는 DOF에 있어서 상당한 개선을 나타낸다.
본 발명에 의해 제공된 또 다른 장점에 대해서, 몇몇 매우 조밀한 디바이스 구성들의 경우, 라인 단부(line end)들 사이의 양호한 제어를 갖는 매우 작은 공간들을 프린트하는 것이 필요하다는 것을 유의한다. 본 발명의 공정은 이러한 작은 공간들을 프린트하도록 시도되는 경우에 개선된 노광 관용도를 제공한다. 일 예시로서, 본 발명의 DDL/DET 기술은 6F2 DRAM 코어를 프린트하는데 사용되었고, 그 결과적인 공정 관용도는 단일 노광 공정을 이용하여 얻어진 것과 비교되었다. 도 7a는 DRAM 셀의 활성 층상에 메인 피처 트리밍(main feature trimming)을 갖는 본 발명의 DDL/DET 기술의 이용을 예시한 것으로, 여기서 k1은 0.28이다. 이 예시에서, DX 및 DY에서 90도를 갖는 각각 2개의 쌍극자 모델들은 원래의 타겟 레이아웃(71)을 9% AttPSM 코어 패턴(73)으로, 또한, 연결된 레지스트 라인들을 소거(clear)하는데 사용된 BIM 트림 마스크(75)를 2개의 분리된 라인 단부로 변환시키기 위해 사 용되었다. 또한, 시뮬레이션된 이미징 결과(77)가 도 7a에 예시되어 있다. 도 7b는 동일한 셀상에 메인 피처 트리밍을 가지나 0.27의 더 파격적인 k1값을 갖는 예시 DEL을 예시한다. 이 경우에서, 제 1 노광에 대한 최적의 소스는 X-Y 비대칭 QUASAR이며, 제 2 노광에 대한 소스는 σOUT보다 더 넓은 σIN을 갖는 쌍극자이다. 도 8a 및 도 8c는 이중 노광 기술을 이용하여 형성된 결과적인 레지스트 등고선들을 나타낸다. 도 8b 및 도 8d는 최적화된 일루미네이터와 함께 단일 노광 기술을 이용하여 형성된 레지스트 등고선들을 예시한다. 상기 도면들에 도시된 바와 같이, 단일 노광의 경우에서, 라인-단부에서의 불량한(poor) NILS로 인해 매우 파격적인 OPC 보정을 필요로 하는 엄격한 라인-단부 풀-백(severe line-end pull-back)이 존재한다. 단일 노광 공정이 이중 노광 공정과 동일한 프린트 결과들을 얻는 것은 매우 힘들다. 도 8e는 활성 영역(CD)을 가로지른 컷 라인상에 극성 없이 0.85NA 건식을 이용하여 시뮬레이션된 공정 관용도를 예시한다. 도시된 바와 같이, 0.18㎛ DOF의 경우, 이중 노광 공정은 최적의 단일 노광에 대해 33%의 더 큰 노광 관용도를 갖게 된다.
본 발명의 앞선 실시예들의 변형례들 또한 가능하다. 예를 들어, 이미 상술된 바와 같이, 본 발명의 이중 노광 기술은 쌍극자 조명으로 제한되지 않는다. 상이한 소스 형상들은 2단계의 조명 프로세스에서 활용될 수 있다. 또한, 제 1 조명에 대한 조명 소스의 형상은 제 2 형상에 대한 조명소스의 형상과는 상이할 수도 있다.
또한, 이미징 결과를 더욱 개선시키기 위한 노력의 일환으로, 타겟 패턴의 주파주를 최적으로 매칭시키기 위해 SB에 마스크들이 위치된다는 것에 유의해야 한다. 따라서, V-마스크에서, 수직방향 SB들은 이미징될 수직방향 피처들의 주파수를 최적으로 매칭시키도록 위치되고, H-마스크에서, 수평방향 SB들은 이미징될 수평방향 피처들의 주파수를 최적으로 매칭시키도록 위치된다.
또 다른 변형례에서는, H-마스크 및 V-마스크에서 임계 피처들에 대해 상이한 위상 시프트 및 퍼센트 전달(percentage transmission)을 할당할 수 있다. 또한, 동일한 마스크(즉, H-마스크 또는 V-마스크)에서 임계 피처들에 대해 상이한 위상 시프트 및 퍼센트 전달을 할당하는 것도 가능하다. 예를 들어, 상이한 폭들을 갖는 피처들(그들 모두는 임계 치수보다 작음)은 상이한 위상 시프트 및/또는 상이한 투과를 활용하면 개선된 이미징 성능을 나타낼 수도 있다.
또 다른 변형례에서는, 이미징 시스템으로부터의 플레어(flare)의 충격을 저감시키기 위하여 서브-분해능 격자 블록(sub-resolution grating block)들이 (SB들을 포함하는) 디자인 피처들을 포함하는 개방 영역들에 부가된다.
도 9는 상술된 조명 최적화를 구현할 수 있는 컴퓨터 시스템(100)을 예시하는 블록도이다. 컴퓨터 시스템(100)은 버스(102) 또는 정보를 통신하기 위한 여타의 통신 메커니즘, 및 정보를 처리하기 위해 버스(102)와 커플링된 프로세서(104)를 포함한다. 컴퓨터 시스템(100)은 또한 랜덤 액세스 메모리(RAM)와 같은 메인 메모리(106), 또는 프로세서(104)에 의해 실행될 명령어들 및 정보를 저장하기 위하여 버스(102)에 커플링되는 여타의 동적 저장 디바이스(dynamic storage device)를 포함한다. 메인 메모리(106)는 또한 프로세서(104)에 의해 실행될 명령어들의 실행 동안에 임시 변수들 또는 여타의 중간 정보를 저장하는데 사용될 수도 있다. 컴퓨터 시스템(100)은 또한 읽기 전용 메모리(ROM)(108) 또는 프로세서(104)에 대한 정적(static) 정보와 명령어들을 저장하기 위하여 버스(102)에 커플링된 여타의 정적 저장 디바이스를 더 포함한다. 자기 디스크 또는 광학 디스크와 같은 저장 디바이스(110)가, 정보 및 명령어들을 저장하기 위한 버스(102)에 제공 및 커플링된다.
컴퓨터 시스템(100)은 버스(102)를 통해, 정보를 컴퓨터 사용자에게 디스플레이하는 CRT(cathode ray tube)나 평판 또는 터치 패널 디스플레이와 같은 디스플레이(112)에 커플링될 수도 있다. 영문자 및 기타 키들을 포함하는 입력 디바이스(114)는 프로세서(104)에 정보 및 명령 선택들을 통신하기 위하여 버스(102)에 커플링된다. 또 다른 타입의 사용자 입력 디바이스는, 프로세서(104)에 직접 정보 및 명령 선택들을 통신하고 디스플레이(112)상의 커서 움직임을 제어하기 위한 마우스, 트랙볼 또는 커서 방향 키들과 같은 커서 컨트롤(116)이다. 이러한 입력 디바이스는 통상적으로 2개의 축, 즉, 제 1 축(예컨대, x) 및 제 2 축(예컨대, y)으로 2 자유도를 가지며, 이는 상기 디바이스가 한 평면에서의 위치들을 특정할 수 있도록 한다. 터치 패널(스크린) 디스플레이가 입력 디바이스로 사용될 수도 있다.
본 발명의 일 실시예에 따르면, 분해 프로세스는 컴퓨터 시스템(100)에 의해, 메인 메모리(106)에 포함된 1이상의 명령어들의 1이상의 시퀀스들을 실행하는 프로세서(104)에 응답하여 수행될 수도 있다. 이러한 명령어들은 저장 디바이스(110)와 같은 또 다른 컴퓨터-판독가능한 매체로부터 메인 메모리(106) 안으로 판 독될 수도 있다. 메인 메모리(106) 안에 포함된 명령어들의 시퀀스들의 실행은, 프로세서(104)가 본 명세서에 기술된 프로세스 단계들을 수행하도록 한다. 멀티-프로세싱 구성예에서 1이상의 프로세스들이 메인 메모리(106) 안에 포함된 명령어들의 시퀀스들을 실행하는데 채택될 수도 있다. 대안적인 실시예들에서는, 하드-와이어 회로가 본 발명을 구현하기 위한 소프트웨어 명령어들을 대신하여 또는 명령어들과 조합하여 사용될 수도 있다. 따라서, 본 발명의 실시예들은 하드웨어 회로나 소프트웨어의 어떠한 특정 조합예에 국한되지 아니한다.
본 명세서에서 사용되는 바와 같이, "컴퓨터-판독가능 매체"라는 용어는, 실행을 위해 프로세서(104)에 명령어를 제공하는데 관여한 여하한의 매체를 지칭한다. 이러한 매체는 비휘발성 매체, 휘발성 매체 및 전송 매체를 포함하나 이러한 것들로 제한되지 않는 다양한 형식을 취할 수 있다. 비휘발성 매체는, 예를 들어 저장 디바이스(110)와 같은 광학 또는 자기 디스크를 포함한다. 휘발성 매체는 메인 메모리(106)와 같은 다이내믹 메모리를 포함한다. 전송 매체는 버스(102)를 포함하는 와이어들을 포함하여 구리선 및 광섬유(fiber optics) 등의 동축 케이블(coaxial cable)을 포함한다. 또한, 전송 매체는 무선 주파수(RF)와 적외선(IR) 데이터 커뮤니케이션 중에 생성된 파와 같은 음파 또는 광 파의 형식을 취할 수 있다. 컴퓨터-판독가능 매체의 일반적인 형식은, 예를 들어 플로피 디스크, 플렉서블 디스크, 하드 디스크, 자기 테이프, 여하한의 자기 매체, CD-ROM, DVD, 여하한의 광학 매체, 펀치 카드, 페이퍼 테이프, 홀의 패턴을 갖는 여타 물리적 매체, RAM, PROM 및 EPROM, FLASH-EPROM, 여타 메모리 칩 또는 카트리지, 후술하는 반송파, 또 는 컴퓨터가 판독할 수 있는 여타 매체를 포함한다.
컴퓨터 판독가능 매체의 다양한 형식들은, 실행을 위해 프로세스(104)에 1이상의 명령어의 1이상의 시퀀스를 전달하는 것과 관련되어 있다. 예를 들어, 명령어들은 초기에 원격 컴퓨터의 자기 디스크 상에 내장될 수도 있다. 상기 원격 컴퓨터는 그 다이내믹 메모리 안으로 명령어들을 로딩하고 모뎀을 이용하여 전화선을 거쳐 명령어들을 전송할 수 있다. 컴퓨터 시스템(100)에 대한 모뎀 로컬은 전화선 상의 데이터를 수신하고 적외선 송신기를 사용하여 상기 데이터를 적외 신호로 변환할 수 있다. 버스(102)에 커플링된 적외 검출기는 적외 신호를 지닌 데이터를 수신하고 버스(102) 상에 상기 데이터를 위치시킬 수 있다. 버스(102)는 데이터를 메인 메모리(106)로 전송하고, 이로부터 프로세서(104)가 명령어들을 검색 및 실행한다. 메인 메모리(106)에 의해 수신된 명령어들은 프로세서(104)에 의한 실행 전이나 후에 저장 디바이스(110) 상에 선택적으로 저장될 수도 있다.
컴퓨터 시스템(100)은 또한 버스(102)에 커플링된 통신 인터페이스(118)를 포함하는 것이 바람직하다. 통신 인터페이스(118)는 로컬 네트워크(122)에 연결되는 네트워크 링크(120)에 커플링되는 2-방향 데이터 통신을 제공한다. 예를 들어, 통신 인터페이스(118)는, 상응하는 타입의 전화선에 데이터 통신 접속을 제공하기 위한 통합 서비스 디지털 네트워크(ISDN) 카드 또는 모뎀일 수도 있다. 또 다른 예로는, 통신 인터페이스(118)는 데이터 통신 접속을 호환가능한 LAN에 제공하기 위한 근거리망(LAN) 카드일 수도 있다. 무선 링크들이 구현될 수도 있다. 이러한 여하한의 구현예에서, 통신 인터페이스(118)는 다양한 타입의 정보를 나타내는 디지 털 데이터 스트림들을 지니는 전기, 전자기 또는 광학 신호들을 송신 및 수신한다.
네트워크 링크(120)는 통상적으로 1이상의 네트워크를 통하여 여타의 데이터 디바이스들로 데이터 통신을 제공한다. 예를 들어, 네트워크 링크(120)는 로컬 네트워크(122)를 통한 접속을 호스트 컴퓨터(124) 또는 인터넷 서비스 제공자(ISP)(126)에 의해 작동되는 데이터 장비로 제공할 수도 있다. ISP(126)는 현재 흔히 "인터넷"(128)이라고 불리우는 월드와이드 패킷 데이터 통신 네트워크를 통해 데이터 통신 서비스들을 제공한다. 로컬 네트워크(122) 및 인터넷(128) 양자 모두는 디지털 데이터 스트림들을 지니는 전기, 전자기 또는 광학 신호들을 사용한다. 다양한 네트워크들을 통한 신호와 네트워크 링크(120) 상의 신호 및 디지털 데이터를 컴퓨터 시스템(100)으로/으로부터 전송하는 통신 인터페이스(118)을 통한 신호들이 정보를 전송하는 반송파들의 예시적인 형태이다.
컴퓨터 시스템(100)은 네트워크(들), 네트워크 링크(120) 및 통신 인터페이스(118)을 통해 프로그램 코드를 포함하는 메시지를 송신하고 데이터를 수신할 수 있다. 예를 들어 인터넷에서, 서버(130)는 인터넷(128), ISP(126), 로컬 네트워크(122) 및 통신 인터페이스(118)를 통해 응용 프로그램을 위한 요청된 코드를 전송할 수도 있다. 본 발명에 따르면, 이러한 다운로딩된 어플리케이션 하나는, 예를 들어 실시예의 조명 최적화를 제공한다. 수신된 코드는, 그것이 수신되고 및/또는 추후 실행을 위해 저장 디바이스(110) 또는 여타의 비휘발성 저장 디바이스에 저장됨에 따라, 프로세서(104)에 의하여 실행될 수도 있다. 이러한 방식으로, 컴퓨터 시스템(100)은 반송파의 형태로 어플리케이션 코드를 얻을 수도 있다.
도 10은 본 발명의 도움으로 디자인된 마스크에 사용하기 적절한 리소그래피 투영장치를 개략적으로 도시한다. 상기 장치는,
- 방사선의 투영빔(PB)을 공급하는 방사선시스템(Ex, IL)(이 특정한 경우에, 방사선시스템은 방사선 소스(LA)도 포함한다);
- 마스크(MA)(예를 들어, 레티클)를 지지하는 마스크 홀더가 제공되고, 아이템 PL에 대하여 마스크를 정확히 위치시키는 제 1 위치설정수단에 연결된 제 1 대물테이블(마스크테이블)(MT);
- 기판(W)(예를 들어, 레지스트코팅된 실리콘웨이퍼)을 유지하는 기판 홀더가 제공되고, 아이템 PL에 대하여 기판을 정확히 위치시키는 제 2 위치설정수단에 연결된 제 2 대물테이블(기판테이블)(WT); 및
- 기판(W)의 타겟부(C)(1 이상의 다이를 포함)상으로 마스크(MA)의 조사된 부분을 이미징시키는 투영시스템("렌즈")(PL)(예를 들어, 굴절형, 카톱트릭 또는 카타디옵트릭 광학시스템)을 포함한다.
묘사된 바와 같이, 상기 장치는 (예를 들어, 투과마스크를 구비한) 투과형으로 구성된다. 하지만, 일반적으로 상기 장치는 (예를 들어, 반사마스크를 구비한) 반사형일 수도 있다. 대안적으로, 상기 장치는 마스크의 사용의 대안례로서 또 다른 종류의 패터닝수단을 채용할 수도 있다; 그 예로서는 프로그램가능한 거울 어레이 또는 LCD 매트릭스가 있다.
상기 소스(LA)(예를 들어, 수은 램프 또는 엑시머 레이저)는 방사선의 빔을 생성한다. 상기 빔은 곧바로 또는, 예를 들어 빔 익스펜더(Ex)와 같은 컨디셔닝수 단을 거친 다음에 조명시스템(일루미네이터)(IL)으로 들어간다. 상기 일루미네이터(IL)는 빔내의 세기분포의 외측반경 및/또는 내측반경 크기(통상 각각 외측-σ 및 내측-σ라 함)를 설정하는 조정수단(AM)을 포함하여 이루어진다. 또한, 그것은 일반적으로 인티그레이터(IN) 및 콘덴서(CO)와 같은 그 밖의 다양한 구성요소들을 포함한다. 이러한 방식으로, 마스크(MA)에 입사하는 빔(PB)은 그 단면에 소정의 균일성과 세기분포를 갖게 된다.
도 10과 관련하여, 상기 소스(LA)는 리소그패피 투영장치의 하우징내에 놓이지만(흔히 방사선 소스(LA)가 예를 들어 수은램프인 경우에서처럼), 그것이 리소그래피 투영장치로부터 멀리 떨어져 있어서 그것이 만들어 낸 방사선빔은 (가령, 적절한 지향거울에 의해) 장치내부로 들어오게 할 수도 있다; 후자의 시나리오는 흔히 방사선 소스(LA)가 (예를 들어, KrF, ArF 또는 F2 레이징에 기초한) 엑시머 레이저인 경우이다. 본 발명은 적어도 이들 시나리오들을 모두 포괄한다.
이후, 상기 빔(PB)은 마스크테이블(MT)상에 잡혀있는 마스크(MA)를 인터셉트한다(intercept). 마스크(MA)를 지난 빔(PB)은 렌즈(PL)를 통과하여 기판(W)의 타겟부(C) 위에 초점이 맞추어진다. 제 2 위치설정수단(및 간섭계측정수단(IF))에 의하여, 기판테이블(WT)은, 예를 들어 빔(PB)의 경로내에 상이한 타겟부(C)를 위치시키도록 정확하게 이동될 수 있다. 이와 유사하게, 제 1 위치설정수단은 예를 들어, 마스크 라이브러리로부터 마스크(MA)를 기계적으로 회수한 후에, 또는 스캔하는 동안, 빔(PB)의 경로에 대하여 마스크(MA)를 정확히 위치시키는데 사용될 수 있다. 일반적으로 대물테이블(MT, WT)의 이동은, 도 10에 명확히 도시되지는 않았지만, 장행정모듈(long stroke module)(개략위치설정) 및 단행정모듈(미세위치설정)의 도움을 받아 실현될 것이다. 하지만, (스텝-앤드-스캔 툴과는 대조적으로) 웨이퍼스테퍼의 경우에는 마스크테이블(MT)이 단지 짧은 행정모듈에만 연결될 수도 있고 또는 고정될 수도 있다.
상술한 장치는 다음의 두가지 상이한 모드로 사용될 수 있다.
- 스텝 모드에서는, 마스크테이블(MT)은 기본적으로 정지상태로 유지되며, 전체 마스크 이미지는 한번에(즉, 단일 "섬광"으로) 타겟부(C) 상으로 투영된다. 이후 기판테이블(WT)이 x 및/또는 y 방향으로 시프트되어 다른 타겟부(C)가 빔(PB)에 의하여 조사될 수 있다.
- 스캔 모드에서는, 주어진 타겟부(C)가 단일 "섬광"에 노광되지 않는 것을 제외하고는 기본적으로 동일한 시나리오가 적용된다. 그 대신에, 마스크테이블(MT)이 v의 속도로 소정 방향(소위 "스캔방향", 예를 들어 y 방향)으로 이동가능해서, 투영빔(PB)이 마스크 이미지의 모든 부분을 스캐닝하도록 되고, 이와 함께 기판테이블(WT)은 속도 V= Mv로, 동일한 방향 또는 그 반대방향으로 동시에 이동하는데, 이 때 M은 렌즈(PL)의 배율(통상 M=1/4 또는 1/5)이다. 이러한 방식으로, 분해능을 떨어뜨리지 않고도 비교적 넓은 타겟부(C)가 노광될 수 있다.
지금까지 본 발명이 기술되고 상세하게 예시되었지만, 이는 단지 예시 및 실예의 방법으로서, 이것에 국한되는 것은 아니며, 본 발명의 범위는 첨부된 청구범위의 청구항에 의해서만 제한된다는 것은 자명하다.
본 발명에 따르면, 예를 들어 65nm 또는 45nm 노드 디바이스들 또는 그 미만을 프린트하는 경우에 사용하기 적합한, 기판으로부터 원치않는 SB 잔여물들을 트리밍(즉, 제거)하는 이중 노광 리소그래피 방법을 얻을 수 있다.

Claims (23)

  1. 삭제
  2. 기판상에 이미징될 피처들을 갖는 타겟 패턴에 기초하여 보상 마스크를 생성하는 방법에 있어서,
    상기 보상 마스크들은 다중-노광 리소그래피 이미징 프로세스에 사용하기 위한 것이고,
    상기 방법은,
    상기 타겟 패턴에 대응되는 초기 H-마스크를 정의하는 단계;
    상기 타겟 패턴에 대응되는 초기 V-마스크를 정의하는 단계;
    상기 H-마스크에서, 사전설정된 임계 폭보다 작은 폭을 갖는 수평방향 임계 피처들을 식별하는 단계;
    상기 V-마스크에서, 사전설정된 임계 폭보다 작은 폭을 갖는 수직방향 임계 피처들을 식별하는 단계;
    제 1 위상 시프트 및 제 1 퍼센트 전달을 나타내기 위하여, 상기 H-마스크에 형성될 상기 수평방향 임계 피처들에 상기 제 1 위상 시프트 및 상기 제 1 퍼센트 전달을 할당하는 단계; 및
    제 2 위상 시프트 및 제 2 퍼센트 전달을 나타내기 위하여, 상기 V-마스크에 형성될 상기 수직방향 임계 피처들에 상기 제 2 위상 시프트 및 상기 제 2 퍼센트 전달을 할당하는 단계를 포함하고,
    상기 H-마스크 및 상기 V-마스크에서 모든 비-임계 피처들에 크롬을 할당하는 단계를 더 포함하고,
    상기 비-임계 피처들은 상기 사전설정된 임계 폭 이상의 폭을 가지며, 상기 비-임계 피처들은 크롬을 활용하여 상기 H-마스크 및 상기 V-마스크 내에 형성되는 것을 특징으로 하는 보상 마스크를 생성하는 방법.
  3. 제2항에 있어서,
    상기 제 1 위상 시프트 및 상기 제 2 위상 시프트는 같고, 상기 제 1 퍼센트 전달 및 상기 제 2 퍼센트 전달은 같은 것을 특징으로 하는 보상 마스크를 생성하는 방법.
  4. 제2항에 있어서,
    상기 H-마스크에서 피처들의 수직방향 에지들에 크롬 실딩(chrome shielding)을 적용시키는 단계; 및
    상기 H-마스크에 스캐터링 바아들을 적용시키는 단계를 더 포함하는 것을 특징으로 하는 보상 마스크를 생성하는 방법.
  5. 제2항에 있어서,
    상기 V-마스크에서 피처들의 수평방향 에지들에 크롬 실딩을 적용시키는 단계; 및
    상기 V-마스크에 스캐터링 바아들을 적용시키는 단계를 더 포함하는 것을 특징으로 하는 보상 마스크를 생성하는 방법.
  6. 삭제
  7. 컴퓨터에 의해 판독가능한 기록 매체를 포함하는 컴퓨터를 제어하는 컴퓨터 프로그램물에 있어서,
    상기 컴퓨터 프로그램물은, 기판상에 이미징될 피처들을 갖는 타겟 패턴를 기초로 하여 보상 마스크들을 나타내는 파일들을 생성시키는 프로세스를 수행하도록 컴퓨터에 명령을 내리기 위하여 상기 기록 매체상에 기록되고, 상기 보상 마스크들은 다중-노광 리소그래피 이미징 프로세스에 사용하기 위한 것이며,
    상기 프로세스는,
    상기 타겟 패턴에 대응되는 초기 H-마스크를 정의하는 단계;
    상기 타겟 패턴에 대응되는 초기 V-마스크를 정의하는 단계;
    상기 H-마스크에서, 사전설정된 임계 폭보다 작은 폭을 갖는 수평방향 임계 피처들을 식별하는 단계;
    상기 V-마스크에서, 사전설정된 임계 폭보다 작은 폭을 갖는 수직방향 임계 피처들을 식별하는 단계;
    제 1 위상 시프트 및 제 1 퍼센트 전달을 나타내기 위하여, 상기 H-마스크에 형성될 상기 수평방향 임계 피처들에 상기 제 1 위상 시프트 및 상기 제 1 퍼센트 전달을 할당하는 단계; 및
    제 2 위상 시프트 및 제 2 퍼센트 전달을 나타내기 위하여, 상기 V-마스크에 형성될 상기 수직방향 임계 피처들에 상기 제 2 위상 시프트 및 상기 제 2 퍼센트 전달을 할당하는 단계를 포함하고,
    상기 프로세스는 상기 H-마스크 및 상기 V-마스크에서 모든 비-임계 피처들에 크롬을 할당하는 단계를 더 포함하고,
    상기 비-임계 피처들은 상기 사전설정된 임계 폭 이상의 폭을 가지며, 상기 비-임계 피처들은 크롬을 활용하여 상기 H-마스크 및 상기 V-마스크 내에 형성되는 것을 특징으로 하는 컴퓨터 프로그램물.
  8. 제7항에 있어서,
    상기 제 1 위상 시프트 및 상기 제 2 위상 시프트는 같고, 상기 제 1 퍼센트 전달 및 상기 제 2 퍼센트 전달은 같은 것을 특징으로 하는 컴퓨터 프로그램물.
  9. 제7항에 있어서,
    상기 프로세스는,
    상기 H-마스크에서 피처들의 수직방향 에지들에 크롬 실딩을 적용시키는 단계; 및
    상기 H-마스크에 스캐터링 바아들을 적용시키는 단계를 더 포함하는 것을 특징으로 하는 컴퓨터 프로그램물.
  10. 제7항에 있어서,
    상기 V-마스크에서 피처들의 수평방향 에지들에 크롬 실딩을 적용시키는 단계; 및
    상기 V-마스크에 스캐터링 바아들을 적용시키는 단계를 더 포함하는 것을 특징으로 하는 컴퓨터 프로그램물.
  11. 삭제
  12. 디바이스 제조방법에 있어서,
    (a) 적어도 부분적으로 방사선 감응재층으로 덮힌 기판을 제공하는 단계;
    (b) 이미징 시스템을 사용하여 방사선 투영빔을 제공하는 단계;
    (c) 상기 투영빔에 패턴 단면을 부여하는데 활용되는 복수의 마스크를 생성시키는 단계;
    (d) 패터닝된 방사선 빔을 상기 방사선 감응재층의 타겟부상으로 투영하는 단계를 포함하며,
    상기 단계 (c)에서, 상기 마스크들은:
    타겟 패턴에 대응되는 초기 H-마스크를 정의하는 단계;
    상기 타겟 패턴에 대응되는 초기 V-마스크를 정의하는 단계;
    상기 H-마스크에서, 사전설정된 임계 폭보다 작은 폭을 갖는 수평방향 임계 피처들을 식별하는 단계;
    상기 V-마스크에서, 사전설정된 임계 폭보다 작은 폭을 갖는 수직방향 임계 피처들을 식별하는 단계;
    제 1 위상 시프트 및 제 1 퍼센트 전달을 나타내기 위하여, 상기 H-마스크에 형성될 상기 수평방향 임계 피처들에 상기 제 1 위상 시프트 및 상기 제 1 퍼센트 전달을 할당하는 단계; 및
    제 2 위상 시프트 및 제 2 퍼센트 전달을 나타내기 위하여, 상기 V-마스크에 형성될 상기 수직방향 임계 피처들에 상기 제 2 위상 시프트 및 상기 제 2 퍼센트 전달을 할당하는 단계를 포함하는 방법에 의해서 형성되며,
    상기 단계(c)는, 상기 H-마스크 및 상기 V-마스크에서 모든 비-임계 피처들에 크롬을 할당하는 단계를 더 포함하고,
    상기 비-임계 피처들은 상기 사전설정된 임계 폭 이상인 폭을 가지며, 상기 비-임계 피처들은 크롬을 활용하여 상기 H-마스크 및 상기 V-마스크 내에 형성되는 것을 특징으로 하는 디바이스 제조방법.
  13. 청구항 13은(는) 설정등록료 납부시 포기되었습니다.
    제12항에 있어서,
    상기 제 1 위상 시프트 및 상기 제 2 위상 시프트는 같고, 상기 제 1 퍼센트 전달 및 상기 제 2 퍼센트 전달은 같은 것을 특징으로 하는 디바이스 제조방법.
  14. 청구항 14은(는) 설정등록료 납부시 포기되었습니다.
    제12항에 있어서,
    상기 단계 (c)는, 상기 H-마스크에서 피처들의 수직방향 에지들에 크롬 실딩을 적용시키는 단계; 및
    상기 H-마스크에 스캐터링 바아들을 적용시키는 단계를 더 포함하는 것을 특징으로 하는 디바이스 제조방법.
  15. 청구항 15은(는) 설정등록료 납부시 포기되었습니다.
    제12항에 있어서,
    상기 단계 (c)는,
    상기 V-마스크에서 피처들의 수평방향 에지들에 크롬 실딩을 적용시키는 단계; 및
    상기 V-마스크에 스캐터링 바아들을 적용시키는 단계를 더 포함하는 것을 특징으로 하는 디바이스 제조방법.
  16. 삭제
  17. 웨이퍼 이미징 방법에 있어서,
    기판상에 이미징될 타겟 패턴을 정의하는 단계;
    상기 타겟 패턴에 대응되는 초기 H-마스크를 정의하는 단계;
    상기 타겟 패턴에 대응되는 초기 V-마스크를 정의하는 단계;
    상기 H-마스크에서, 사전설정된 임계 폭보다 작은 폭을 갖는 수평방향 임계 피처들을 식별하는 단계;
    상기 V-마스크에서, 사전설정된 임계 폭보다 작은 폭을 갖는 수직방향 임계 피처들을 식별하는 단계;
    제 1 위상 시프트 및 제 1 퍼센트 전달을 나타내기 위하여, 상기 H-마스크에 형성될 상기 수평방향 임계 피처들에 상기 제 1 위상 시프트 및 상기 제 1 퍼센트 전달을 할당하는 단계;
    제 2 위상 시프트 및 제 2 퍼센트 전달을 나타내기 위하여, 상기 V-마스크에 형성될 상기 수직방향 임계 피처들에 상기 제 2 위상 시프트 및 상기 제 2 퍼센트 전달을 할당하는 단계;
    제 1 소스 조명을 활용하여 상기 H-마스크를 이미징하는 단계; 및
    제 2 소스 조명을 활용하여 상기 V-마스크를 이미징하는 단계를 포함하며,
    상기 H-마스크 및 상기 V-마스크에서 모든 비-임계 피처들에 크롬을 할당하는 단계를 더 포함하고,
    상기 비-임계 피처들은 상기 사전설정된 임계 폭 이상의 폭을 가지며, 상기 비-임계 피처들은 크롬을 활용하여 상기 H-마스크 및 상기 V-마스크 내에 형성되는 것을 특징으로 하는 웨이퍼 이미징 방법.
  18. 제17항에 있어서,
    상기 제 1 위상 시프트 및 상기 제 2 위상 시프트는 같고, 상기 제 1 퍼센트 전달 및 상기 제 2 퍼센트 전달은 같은 것을 특징으로 하는 웨이퍼 이미징 방법.
  19. 제17항에 있어서,
    상기 H-마스크에서 피처들의 수직방향 에지들에 크롬 실딩을 적용시키는 단계; 및
    상기 H-마스크에 스캐터링 바아들을 적용시키는 단계를 더 포함하는 것을 특징으로 하는 웨이퍼 이미징 방법.
  20. 제17항에 있어서,
    상기 V-마스크에서 피처들의 수평방향 에지들에 크롬 실딩을 적용시키는 단계; 및
    상기 V-마스크에 스캐터링 바아들을 적용시키는 단계를 더 포함하는 것을 특징으로 하는 웨이퍼 이미징 방법.
  21. 제17항에 있어서,
    상기 제 1 소스 조명 및 상기 제 2 소스 조명은 쌍극자 조명인 것을 특징으로 하는 웨이퍼 이미징 방법.
  22. 제17항에 있어서,
    상기 제 1 소스 조명은 쌍극자 조명이고, 상기 제 2 소스 조명은 비-쌍극자 조명인 것을 특징으로 하는 웨이퍼 이미징 방법.
  23. 제22항에 있어서,
    상기 제 2 소스 조명은 QUASAR 조명 또는 환형 조명 중 하나인 것을 특징으로 하는 웨이퍼 이미징 방법.
KR1020060033312A 2005-04-12 2006-04-12 이중 노광 리소그래피를 수행하는 장치, 프로그램물 및방법 KR100899359B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US67028505P 2005-04-12 2005-04-12
US60/670,285 2005-04-12

Publications (2)

Publication Number Publication Date
KR20060108245A KR20060108245A (ko) 2006-10-17
KR100899359B1 true KR100899359B1 (ko) 2009-05-27

Family

ID=36648642

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020060033312A KR100899359B1 (ko) 2005-04-12 2006-04-12 이중 노광 리소그래피를 수행하는 장치, 프로그램물 및방법

Country Status (8)

Country Link
US (4) US7681171B2 (ko)
EP (1) EP1712954B1 (ko)
JP (2) JP4617272B2 (ko)
KR (1) KR100899359B1 (ko)
CN (1) CN1908812B (ko)
DE (1) DE602006014319D1 (ko)
SG (1) SG126877A1 (ko)
TW (1) TWI334962B (ko)

Families Citing this family (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7395516B2 (en) 2005-05-20 2008-07-01 Cadence Design Systems, Inc. Manufacturing aware design and design aware manufacturing
CN101228527B (zh) * 2005-05-20 2011-03-23 凯登斯设计***有限公司 有制造意识的ic设计处理和有设计意识的ic制造处理
US8839175B2 (en) 2006-03-09 2014-09-16 Tela Innovations, Inc. Scalable meta-data objects
US8658542B2 (en) 2006-03-09 2014-02-25 Tela Innovations, Inc. Coarse grid design methods and structures
US9563733B2 (en) 2009-05-06 2017-02-07 Tela Innovations, Inc. Cell circuit and layout with linear finfet structures
US7446352B2 (en) 2006-03-09 2008-11-04 Tela Innovations, Inc. Dynamic array architecture
US8541879B2 (en) 2007-12-13 2013-09-24 Tela Innovations, Inc. Super-self-aligned contacts and method for making the same
US8448102B2 (en) 2006-03-09 2013-05-21 Tela Innovations, Inc. Optimizing layout of irregular structures in regular layout context
US8653857B2 (en) 2006-03-09 2014-02-18 Tela Innovations, Inc. Circuitry and layouts for XOR and XNOR logic
US7763534B2 (en) 2007-10-26 2010-07-27 Tela Innovations, Inc. Methods, structures and designs for self-aligning local interconnects used in integrated circuits
US7908578B2 (en) 2007-08-02 2011-03-15 Tela Innovations, Inc. Methods for designing semiconductor device with dynamic array section
US7956421B2 (en) 2008-03-13 2011-06-07 Tela Innovations, Inc. Cross-coupled transistor layouts in restricted gate level layout architecture
US9230910B2 (en) 2006-03-09 2016-01-05 Tela Innovations, Inc. Oversized contacts and vias in layout defined by linearly constrained topology
EP1843202B1 (en) * 2006-04-06 2015-02-18 ASML Netherlands B.V. Method for performing dark field double dipole lithography
KR100735535B1 (ko) * 2006-07-10 2007-07-04 삼성전자주식회사 마스크 제작 방법
US7966585B2 (en) * 2006-12-13 2011-06-21 Mentor Graphics Corporation Selective shielding for multiple exposure masks
US7802226B2 (en) 2007-01-08 2010-09-21 Mentor Graphics Corporation Data preparation for multiple mask printing
JP5220317B2 (ja) * 2007-01-11 2013-06-26 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US7934177B2 (en) * 2007-02-06 2011-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for a pattern layout split
US8667443B2 (en) 2007-03-05 2014-03-04 Tela Innovations, Inc. Integrated circuit cell library for multiple patterning
TWI426343B (zh) * 2007-05-17 2014-02-11 Lg Innotek Co Ltd 一種具有多個半透射部分之半色調網點光罩及其製造方法
US8713483B2 (en) 2007-06-05 2014-04-29 Mentor Graphics Corporation IC layout parsing for multiple masks
DE102007042272B4 (de) * 2007-09-06 2009-09-24 Vistec Semiconductor Systems Gmbh Verfahren zur Korrektur der durch die Verzeichnung eines Objektivs verursachten Messfehler
US7785946B2 (en) 2007-09-25 2010-08-31 Infineon Technologies Ag Integrated circuits and methods of design and manufacture thereof
US8453094B2 (en) 2008-01-31 2013-05-28 Tela Innovations, Inc. Enforcement of semiconductor structure regularity for localized transistors and interconnect
US7939443B2 (en) 2008-03-27 2011-05-10 Tela Innovations, Inc. Methods for multi-wire routing and apparatus implementing same
JP5599395B2 (ja) 2008-07-16 2014-10-01 テラ イノヴェイションズ インコーポレイテッド 動的アレイアーキテクチャにおけるセル位相整合及び配置の方法及びその実施
US9122832B2 (en) * 2008-08-01 2015-09-01 Tela Innovations, Inc. Methods for controlling microloading variation in semiconductor wafer layout and fabrication
US8184897B2 (en) * 2008-10-02 2012-05-22 Synopsys, Inc. Method and apparatus for determining an optical threshold and a resist bias
US20100129617A1 (en) * 2008-11-21 2010-05-27 Corrigan Thomas R Laser ablation tooling via sparse patterned masks
KR101095680B1 (ko) * 2008-12-26 2011-12-19 주식회사 하이닉스반도체 후면 위상 격자 마스크 및 그 제조 방법
KR20100083989A (ko) * 2009-01-15 2010-07-23 삼성전자주식회사 반도체 장치의 제조에 이용되는 포토 마스크
US8327301B2 (en) * 2009-02-03 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Routing method for double patterning design
US8416393B2 (en) 2009-04-02 2013-04-09 Taiwan Semiconductor Manufacturing Company, Ltd. Cross quadrupole double lithography method and apparatus for semiconductor device fabrication using two apertures
US8321818B2 (en) * 2009-06-26 2012-11-27 International Business Machines Corporation Model-based retargeting of layout patterns for sub-wavelength photolithography
US8661392B2 (en) 2009-10-13 2014-02-25 Tela Innovations, Inc. Methods for cell boundary encroachment and layouts implementing the Same
US8146026B2 (en) * 2009-11-17 2012-03-27 International Business Machines Corporation Simultaneous photolithographic mask and target optimization
US8230372B2 (en) 2009-12-03 2012-07-24 International Business Machines Corporation Retargeting for electrical yield enhancement
US8331646B2 (en) 2009-12-23 2012-12-11 International Business Machines Corporation Optical proximity correction for transistors using harmonic mean of gate length
US20110212403A1 (en) * 2010-02-26 2011-09-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for enhanced dipole lithography
US9159627B2 (en) 2010-11-12 2015-10-13 Tela Innovations, Inc. Methods for linewidth modification and apparatus implementing the same
US8575020B2 (en) 2011-03-02 2013-11-05 Texas Instruments Incorporated Pattern-split decomposition strategy for double-patterned lithography process
WO2012119105A2 (en) * 2011-03-02 2012-09-07 Texas Instruments Incorporated Hybrid pitch-split pattern-split litrography process
US8580675B2 (en) * 2011-03-02 2013-11-12 Texas Instruments Incorporated Two-track cross-connect in double-patterned structure using rectangular via
JP5750476B2 (ja) * 2013-07-22 2015-07-22 東京応化工業株式会社 レジストパターン形成方法
CN104749873B (zh) * 2013-12-31 2019-09-03 中芯国际集成电路制造(上海)有限公司 用于多构图工艺的光学临近修正方法
TWI575306B (zh) 2014-09-16 2017-03-21 聯華電子股份有限公司 光學鄰近修正之驗證方法
TWI530988B (zh) * 2014-11-18 2016-04-21 華亞科技股份有限公司 具有非典型圖案之光阻、使用此光阻蝕刻基材之方法與所得之蝕刻洞
US9524361B2 (en) 2015-04-20 2016-12-20 United Microelectronics Corp. Method for decomposing a layout of an integrated circuit
KR102495912B1 (ko) * 2018-08-10 2023-02-03 삼성전자 주식회사 표준 셀을 포함하는 집적 회로 및 이를 제조하기 위한 방법
US11320742B2 (en) * 2018-10-31 2022-05-03 Taiwan Semiconductor Manufacturing Company Ltd. Method and system for generating photomask patterns
CN112366203B (zh) * 2020-10-23 2023-01-03 福建省晋华集成电路有限公司 图案布局以及其形成方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20030077447A (ko) * 2002-03-25 2003-10-01 에이에스엠엘 마스크툴즈 비.브이. 무크롬 상 리소그래피를 이용하여 상 및 크롬영역으로반도체디바이스패턴을 분해하는 방법 및 장치
KR20040026123A (ko) * 2002-07-26 2004-03-27 에이에스엠엘 마스크툴즈 비.브이. 마스크 생성방법 및 장치, 패턴프린팅 방법, 및 컴퓨터프로그램물

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5357311A (en) 1991-02-25 1994-10-18 Nikon Corporation Projection type light exposure apparatus and light exposure method
JP3146500B2 (ja) * 1991-02-28 2001-03-19 株式会社ニコン 露光方法、半導体素子の形成方法、及びフォトマスク
JP2682436B2 (ja) * 1994-03-29 1997-11-26 日本電気株式会社 レジストパタ−ンの形成方法
US5472814A (en) 1994-11-17 1995-12-05 International Business Machines Corporation Orthogonally separated phase shifted and unphase shifted mask patterns for image improvement
US6150058A (en) 1998-06-12 2000-11-21 Taiwan Semiconductor Manufacturing Company Method of making attenuating phase-shifting mask using different exposure doses
WO2000025181A1 (fr) * 1998-10-23 2000-05-04 Hitachi, Ltd. Procede de fabrication de dispositif semi-conducteur et procede de formation de masque adapte associe
US20040142252A1 (en) 1999-04-29 2004-07-22 Skrobis Amy V. Method of machining glass
US6207333B1 (en) * 1999-07-29 2001-03-27 International Business Machines Corporation Mask with attenuating phase-shift and opaque regions
TW587199B (en) * 1999-09-29 2004-05-11 Asml Netherlands Bv Lithographic method and apparatus
KR100313280B1 (ko) * 1999-10-25 2001-11-07 한신혁 반도체 장치의 전도배선 마스크 제조방법
TW512424B (en) 2000-05-01 2002-12-01 Asml Masktools Bv Hybrid phase-shift mask
US6553562B2 (en) * 2001-05-04 2003-04-22 Asml Masktools B.V. Method and apparatus for generating masks utilized in conjunction with dipole illumination techniques
TW530336B (en) 2001-08-21 2003-05-01 Asml Masktools Bv Lithographic method and lithographic apparatus
US7026081B2 (en) 2001-09-28 2006-04-11 Asml Masktools B.V. Optical proximity correction method utilizing phase-edges as sub-resolution assist features
JP4171647B2 (ja) 2001-11-28 2008-10-22 エーエスエムエル マスクツールズ ビー.ブイ. プロセス・ラチチュードを改善するために利用した補助形態を除去する方法
CN100338528C (zh) * 2002-03-25 2007-09-19 Asml蒙片工具有限公司 利用双极照明进行基于规则的栅极缩小的方法和装置
WO2003102696A2 (en) * 2002-05-29 2003-12-11 Massachusetts Institute Of Technology A method for photolithography using multiple illuminations and a single fine feature mask
US6807662B2 (en) 2002-07-09 2004-10-19 Mentor Graphics Corporation Performance of integrated circuit components via a multiple exposure technique
US6711732B1 (en) * 2002-07-26 2004-03-23 Taiwan Semiconductor Manufacturing Company Full sized scattering bar alt-PSM technique for IC manufacturing in sub-resolution era
TWI274969B (en) * 2002-09-11 2007-03-01 Asml Masktools Bv Method and computer program product of generating masks and mask generated thereby, device manufacturing method and device manufactured thereby, and method of printing pattern
JP4393049B2 (ja) 2002-09-17 2010-01-06 キヤノン株式会社 走査光学系及びそれを用いた画像形成装置
SG137657A1 (en) 2002-11-12 2007-12-28 Asml Masktools Bv Method and apparatus for performing model-based layout conversion for use with dipole illumination
DE10310137B4 (de) * 2003-03-07 2010-08-19 Qimonda Ag Satz von wenigstens zwei Masken zur Projektion von jeweils auf den Masken gebildeten und aufeinander abgestimmten Strukturmustern und Verfahren zur Herstellung der Masken
SG111289A1 (en) 2003-11-05 2005-05-30 Asml Masktools Bv A method for performing transmission tuning of a mask pattern to improve process latitude
US20050214651A1 (en) * 2004-03-25 2005-09-29 Yuan-Hsun Wu Aperture plate for optical lithography systems

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20030077447A (ko) * 2002-03-25 2003-10-01 에이에스엠엘 마스크툴즈 비.브이. 무크롬 상 리소그래피를 이용하여 상 및 크롬영역으로반도체디바이스패턴을 분해하는 방법 및 장치
KR20040026123A (ko) * 2002-07-26 2004-03-27 에이에스엠엘 마스크툴즈 비.브이. 마스크 생성방법 및 장치, 패턴프린팅 방법, 및 컴퓨터프로그램물

Also Published As

Publication number Publication date
SG126877A1 (en) 2006-11-29
JP2010256935A (ja) 2010-11-11
US20100221669A1 (en) 2010-09-02
US8910091B2 (en) 2014-12-09
JP4717153B2 (ja) 2011-07-06
CN1908812A (zh) 2007-02-07
EP1712954A1 (en) 2006-10-18
EP1712954B1 (en) 2010-05-19
JP2006293381A (ja) 2006-10-26
DE602006014319D1 (de) 2010-07-01
US7681171B2 (en) 2010-03-16
KR20060108245A (ko) 2006-10-17
JP4617272B2 (ja) 2011-01-19
CN1908812B (zh) 2012-02-22
TW200702902A (en) 2007-01-16
US20060277521A1 (en) 2006-12-07
US8122391B2 (en) 2012-02-21
TWI334962B (en) 2010-12-21
US20150095858A1 (en) 2015-04-02
US20130055171A1 (en) 2013-02-28

Similar Documents

Publication Publication Date Title
KR100899359B1 (ko) 이중 노광 리소그래피를 수행하는 장치, 프로그램물 및방법
KR100860328B1 (ko) 4분의 1 파장의 리소그래피에서 초점심도를 향상시키는 모델 기반 스캐터링 바아 배치를 위한 방법, 컴퓨터 프로그램을 기록한 컴퓨터로 읽을 수 있는 기록매체 및 장치
KR101185463B1 (ko) Na-시그마 노광세팅 및 디바이스 레이아웃을 이용하는 스캐터링 바아 opc에 대한 동시 최적화 방법, 프로그램물 및 장치
KR100860088B1 (ko) 다중 노광 리소그래피 프로세스에 사용하기 위한 모델 기반기하학적 분해를 위한 방법, 프로그램물 및 장치
JP4922112B2 (ja) パターン分解フィーチャのためのモデルベースopcを行うための方法および装置
KR100592580B1 (ko) 쌍극조명에 사용하기 위한 모델-기반 레이아웃 변환을수행하는 방법 및 장치
US6553562B2 (en) Method and apparatus for generating masks utilized in conjunction with dipole illumination techniques
KR100920232B1 (ko) Dpt 프로세스에 사용하기 위한 패턴의 분해를 수행하는방법, 프로그램물 및 장치
KR20070100182A (ko) 다크 필드 더블 이중극 리소그래피(ddl)를 수행하는방법 및 장치
KR20050043714A (ko) 프로세스 관용도를 향상시키기 위한 마스크 패턴의 투과율튜닝을 수행하는 방법
KR100875232B1 (ko) 개선된 cpl 마스크 및 상기 마스크를 생성하는 방법 및 프로그램물
KR20070019618A (ko) 개선된 cpl 마스크 및 상기 마스크를 생성하는프로그램물 및 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130513

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20140509

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20150512

Year of fee payment: 7

LAPS Lapse due to unpaid annual fee