KR100853388B1 - 클리닝 방법 및 기판 처리 방법 - Google Patents

클리닝 방법 및 기판 처리 방법 Download PDF

Info

Publication number
KR100853388B1
KR100853388B1 KR1020077005759A KR20077005759A KR100853388B1 KR 100853388 B1 KR100853388 B1 KR 100853388B1 KR 1020077005759 A KR1020077005759 A KR 1020077005759A KR 20077005759 A KR20077005759 A KR 20077005759A KR 100853388 B1 KR100853388 B1 KR 100853388B1
Authority
KR
South Korea
Prior art keywords
gas
plasma
cleaning
etching
ignition
Prior art date
Application number
KR1020077005759A
Other languages
English (en)
Other versions
KR20070037658A (ko
Inventor
히로시 간난
노보루 다무라
가즈야 도바시
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP2003185161A external-priority patent/JP4558285B2/ja
Priority claimed from JP2003185160A external-priority patent/JP4558284B2/ja
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20070037658A publication Critical patent/KR20070037658A/ko
Application granted granted Critical
Publication of KR100853388B1 publication Critical patent/KR100853388B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G5/00Cleaning or de-greasing metallic material by other methods; Apparatus for cleaning or de-greasing metallic material with organic solvents
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • General Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Optics & Photonics (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 발명은 가스 입구와 가스 출구를 갖추고 있고 주회로를 형성하는 가스 통로와, 이 가스 통로의 일부에 권취된 코일을 포함하는 토로이달형 플라즈마 발생 장치에서의 플라즈마 발생 방법은 상기 가스 통로 안에 적어도 5%의 NF3를 함유하는 Ar 가스와 NF3 가스의 혼합 가스를 공급하고, 상기 코일을 고주파 전력에 의해 구동하여 플라즈마를 착화하는 공정을 포함하며, 상기 플라즈마 착화 공정은 6.65∼66.5 Pa의 전체 압력 하에서 실행된다.

Description

클리닝 방법 및 기판 처리 방법{METHOD FOR CLEANING AND METHOD FOR TREATING SUBSTRATE}
도 1은 본 발명이 적용되는 CVD 장치의 구성을 도시한 도면.
도 2a는 종래의 유도 결합형 플라즈마 발생 장치의 개요를 도시한 도면.
도 2b는 종래의 전자 사이클로트론 공명형 플라즈마 발생 장치의 개요를 도시한 도면.
도 2c는 종래의 헬리콘파 여기형 플라즈마 발생 장치의 개요를 도시한 도면.
도 2d는 종래의 마이크로파 공진기형 플라즈마 발생 장치의 개요를 도시한 도면.
도 2e는 종래의 토로이달형 플라즈마 발생 장치의 개요를 도시한 도면.
도 3은 종래의 평행 평판형 플라즈마 발생 장치의 개요를 도시한 도면.
도 4는 도 1의 CVD 장치에서 사용되는 종래의 토로이달형 플라즈마 발생 장치의 구성을 도시한 도면.
도 5는 플라즈마 클리닝 프로세스에서 사용되는 Ar/NF3 혼합 가스 속의 NF3 농도와 클리닝 속도와의 관계를 도시한 도면.
도 6은 플라즈마 클리닝 프로세스에서 사용되는 Ar/NF3 혼합 가스의 전체 압 력과 클리닝 속도와의 관계를 도시한 도면.
도 7은 플라즈마 클리닝 프로세스에서 사용되는 Ar/NF3 혼합 가스 속의 NF3 농도와 플라즈마 유지 파워와의 관계를 도시한 도면.
도 8은 플라즈마 클리닝 프로세스에서 사용되는 Ar/NF3 혼합 가스의 전체 압력과 플라즈마 유지 파워와의 관계를 도시한 도면.
도 9는 본 발명의 제1 실시예에 따른 플라즈마 착화 조건의 탐색을 설명한 도면.
도 10은 본 발명의 제1 실시예에 따라 구현된 플라즈마 착화 조건을 도시한 도면.
도 11은 본 발명의 제1 실시예에 따라 구현된 플라즈마 착화 전압과 전체 압력과의 관계를 도시한 도면.
도 12는 본 발명의 제2 실시예에 따른 Ar/F2 가스의 플라즈마 착화 조건을 도시한 도면.
도 13은 본 발명의 제3 실시예에 따른 플라즈마 착화 포인트로부터, 플라즈마 클리닝 또는 플라즈마 에칭 프로세스 포인트까지의 이행을 도시한 도면.
도 14는 본 발명의 제3 실시예에서 사용되는 가스 유량 전환 기구의 구성을 도시한 도면.
도 15는 본 발명의 제3 실시예에 따른 플라즈마 클리닝/에칭 공정의 가스 및 RF 파워 공급 시퀀스를 도시한 도면.
(도면의 주요 부분에 대한 부호의 설명)
10 : CVD 장치
11 : 처리 용기
12 : 서셉터
13 : 진공 펌프
13A : 차단 밸브
13B : 컨덕턴스 밸브
14 : 샤워 헤드
15 : 원료 가스 공급계
15A∼15C : 원료 가스원
15VA∼15VC : 밸브
16 : 클리닝 모듈
16A : 클리닝 가스원
16B : Ar 가스원
16a∼16d : 질량 유량 컨트롤러
16C : 리모트 플라즈마원
16VA∼16VC : 밸브
20 : ICP형 플라즈마 발생 장치
21 : 플라즈마 용기
22 : 코일
23 : 고주파 전원
30 : ECR형 플라즈마 발생 장치
31 : 플라즈마 용기
32 : 자석
33 : 마이크로파 전원
40 : 헬리콘파 플라즈마 발생 장치
41 : 플라즈마 용기
42 : 루프 안테나
43 : 고주파 전원
44 : 자석
50 : 마이크로파 공진기형 플라즈마 발생 장치
51 : 마이크로파 공진기
52 : 마이크로파 전원
60 : 토로이달형 플라즈마 발생 장치
61 : 가스 통로
61A : 가스 입구
61B : 가스 출구
62 : 고주파 코일
70 : 평행 평판형 플라즈마 발생 장치
71 : 플라즈마 용기
71A, 71B : 전극
72 : 고주파 전원
L1 : 원료 가스 라인
L2 : 클리닝 가스 라인
본 발명은 일반적으로 반도체 장치의 제조 방법에 관한 것으로서, 특히 플라즈마를 사용한 클리닝 방법 및 기판 처리 방법에 관한 것이다. 또한, 본 발명은 플라즈마 발생 장치에 관한 것으로서, 특히 플라즈마 착화 방법에 관한 것이다.
플라즈마 발생 장치는 반도체 장치나 액정 표시 장치의 제조에 있어서 널리 사용되고 있다. 예컨대, 플라즈마 발생 장치를 사용함으로써, 반도체 기판 속에 형성된 불순물 원소의 농도 분포가 변화하지 않는 저온에서 성막 처리 또는 에칭 처리를 실행할 수 있다. 또한, 플라즈마 발생 장치는 기판 처리를 행한 후, 처리 용기 내부를 클리닝하는 데 사용되고 있다.
도 1은 종래의 전형적인 매엽식 CVD 장치(10)의 구성을 도시한다.
도 1을 참조하면, 매엽식 CVD 장치(10)는 가열 기구(도시하지 않음)를 포함하고 피처리 기판(12A)을 유지하는 서셉터(12)를 포함하며, 진공 펌프(13)에 의해 차단 밸브(13A) 및 컨덕턴스 밸브(13B)를 통해 배기되는 처리 용기(11)를 포함하 고, 상기 처리 용기(11) 안에는 원료 가스 공급계(15)로부터 라인(L1) 및 밸브(V1)를 통해 원료 가스를 공급받는 샤워 헤드(14)가 상기 서셉터(12)상의 피처리 기판(12A)에 대면하도록 설치되어 있다.
상기 원료 공급계(15)는 원료 가스원(15A∼15C)을 포함하고, 상기 원료 가스원(15A)중의 원료 가스는 밸브(15VA)를 통해 상기 라인(L1)에, 상기 원료 가스원(15B)중의 원료 가스는 밸브(15VB)를 통해 상기 라인(L1)에, 또한 상기 원료 가스원(15C)중의 원료 가스는 밸브(15VC)를 상기 라인(L1)에 통해 공급된다.
상기 라인(L1)을 통해서 공급된 원료 가스는 상기 처리 용기(11) 안의 프로세스 공간에 상기 샤워 헤드(14)를 통해 방출되고, 상기 피처리 기판(12A)의 표면에 있어서의 분해 반응에 의해 상기 피처리 기판(12)의 표면에 원하는 성막이 생긴다.
도 1의 매엽식 CVD 장치(10)에서는, 상기 처리 용기(11)에는 도시를 생략한 게이트 밸브 구조가 상기 피처리 기판(12A)의 출납을 위해 마련되어 있고, 상기 게이트 밸브 구조는 기판 반송실에 결합되어 있다. 상기 매엽식 CVD 장치(10)는 상기 기판 반송실에 결합된 다른 처리 장치와 함께 매엽식 기판 처리 시스템을 구성한다.
이러한 매엽식 처리 시스템을 구성하는 매엽식 CVD 장치(10)에서는, 성막 처리시에 기판 온도를 서셉터(12) 속에 형성한 가열 장치에 의해 제어하고 있고, 처리 용기(10)의 벽면은 비교적 낮은 온도, 예컨대 실온∼150℃ 정도의 온도로 유지된다(콜드 월; COLD WALL).
이러한 콜드 월 타입의 CVD 장치에서는, 피처리 기판(12A)상으로의 성막시에 처리 용기(11)의 내벽면에 반응 생성물이 어느 정도 퇴적되는 것을 막을 수 없고, 이 때문에 하나 또는 복수 개의 피처리 기판의 성막 처리가 종료할 때마다 상기 처리 용기(11) 내부에 에칭성의 클리닝 가스를 흐르게 하여 퇴적물을 제거하는 클리닝 공정이 행해진다.
특히 최근의 초미세화 반도체 장치의 제조에 사용되는 CVD 장치의 경우, 소정의 초기 프로세스 조건을 회복시키기 위해서, 빈번하게, 이상적으로는 피처리 기판을 1장 처리할 때마다 클리닝 공정을 행하는 것이 바람직하다. 그러나, 이와 같이 빈번히 클리닝 공정을 행하는 경우에는, 클리닝 시간이 반도체 장치의 제조 효율(throughput)을 크게 저하시키는 요인이 된다.
이 때문에, 도 1의 CVD 장치에서는, 상기 처리 용기(11)의 외부에 에칭 가스원(16A) 및 플라즈마 가스원(16B), 리모트 플라즈마원(16C)으로 이루어진 클리닝 모듈(16)을 더 설치하고, 상기 리모트 플라즈마원(16C)에 의해 형성된 반응성이 높은 에칭 가스를 라인(L2) 및 밸브(16VC)를 통해 상기 처리 용기(11) 내부의 프로세스 공간으로 공급하도록 하고 있다. 이와 같이 플라즈마원을 처리 용기(11)의 외부에 마련함으로써, 고에너지 플라즈마에 의한 처리 용기(11) 내벽의 손상을 막을 수 있어 안정한 클리닝을 행할 수 있게 된다. 또한, 플라즈마 속에 형성되는 이온은 리모트 플라즈마원(16C)으로부터 처리 용기(11)로 수송되는 도중에 전자와 재결합하기 때문에, 도 1의 구성에서는 반응을 촉진하는 라디칼만이 처리 용기(11) 안으 로 공급된다.
또한, 도 1에 있어서 상기 에칭 가스원(16A)은 NF3 등의 불소를 포함하는 에칭 가스를 상기 리모트 플라즈마원(16C)에 밸브(16VA)를 통해 공급하고, 또한, 상기 플라즈마 가스원(16B)은 Ar 등의 희가스를 상기 리모트 플라즈마원(16C)에 밸브(16VB)를 통해 공급한다.
또한, 상기 불소를 포함하는 클리닝 가스로서는 상기 NF3 등 할로겐 화합물 이외에, CH3COOH 등의 비할로겐 화합물이 사용되는 경우도 있다. 또한, 상기 플라즈마 가스원(16B)으로부터의 희석 가스로서는 Ar 이외에 He, Ne, Kr, Xe 등이 사용되는 경우도 있고, 상기 희석 가스로서 희가스 이외에 H2O, O2, H2, N2, C2F6 등이 사용되는 경우도 있다.
이러한 리모트 플라즈마원(16C)으로서는, 도 2a에 도시된 유도 결합(ICP)형 플라즈마 발생 장치(20), 도 2b에 도시된 전자 사이클로트론 공명(ECR)형 플라즈마 발생 장치(30), 도 2c에 도시된 헬리콘파 여기형 플라즈마 발생 장치(40), 도 2d에 도시된 마이크로파 공진기형 플라즈마 발생 장치(50), 도 2e에 도시된 토로이달형 플라즈마 발생 장치(60) 등이 알려져 있다. 또한, 처리 용기(11)의 내부에 마련되는 플라즈마원으로서, 도 3에 도시된 평행 평판(CCP)형 플라즈마 발생 장치(70)가 사용되고 있다.
도 2a의 ICP형 플라즈마 발생 장치(20)에서는, 내부에서 플라즈마가 발생되는 플라즈마 용기(21)의 주위에 고주파 코일(22)을 권취하고, 이것을 고주파 전 원(23)에 의해 구동함으로써, 상기 플라즈마 용기 안에 플라즈마를 형성한다.
또한, 도 2b의 ECR형 플라즈마 발생 장치(30)에서는, 내부에서 플라즈마가 발생되는 플라즈마 용기(31) 내부의 공간에, 상기 플라즈마 용기(31)의 주위에 자석(32)을 배치함으로써 자계를 인가하고, 이 상태에서 상기 용기(31) 내부의 가스에 마이크로파 전원(33)으로부터 마이크로파를 더 공급함으로써, 상기 용기(31) 내부의 가스에 전자 사이클로트론 공명을 유도한다.
도 2c의 헬리콘파형 플라즈마 발생 장치(40)에서는, 내부에서 플라즈마가 발생되는 플라즈마 용기(41)에 자석(44)이 근접하여 설치되고, 상기 플라즈마 용기(41)에 근접하여 루프 안테나(42)가 더 설치된다. 이 루프 안테나를 고주파 전원(43)으로부터의 고주파 전력으로 구동하고, 상기 플라즈마 용기(41) 안에 헬리콘파를 전파시킴으로써, 고밀도 플라즈마를 형성한다.
도 2d의 마이크로파 공진기형 플라즈마 발생 장치(50)에서는, 내부에서 플라즈마가 형성되는 플라즈마 용기(51)가 마이크로파 공진기를 형성하고, 이 마이크로파 공진기에 마이크로파 전원(52)으로부터의 마이크로파를 전계에 의해 구동함으로써, 플라즈마를 형성한다.
도 2e의 토로이달형 플라즈마 발생 장치(60)에서는, 가스 입구(61A)와 가스 출구(61B)를 갖춘 순환적인 가스 통로(61)가 마련되어 있고, 상기 가스 통로(61)의 외측에는 고주파 코일(62)이 권취되어 있다.
그래서, 상기 가스 입구(61A)에 도입된 Ar 등의 희가스는 상기 순환 가스 통로(61) 안을 주회(周回)하지만, 그 때에 상기 고주파 코일(62)을 마이크로파에 의 해 구동함으로써, 상기 희가스 속에 플라즈마가 유도된다.
또한, 도 3의 CCP형 플라즈마 발생 장치(70)에서는, 내부에서 플라즈마가 발생되는 플라즈마 용기(71) 안에 한 쌍의 평행 평판 전극(71A, 71B)을 배치하고 있고, 이것을 고주파 전원(72)에 의해 구동함으로써, 상기 전극 사이에 플라즈마를 형성한다. 즉, 도 3의 플라즈마 발생 장치(70)는 그 자체가 플라즈마 처리 장치를 구성하며, 상기 플라즈마 용기(71)가 처리 용기로서 사용된다. 이 경우, 상기 하부 전극(71B)이 서셉터가 되며, 이 위에 피처리 기판이 놓여진다.
특히, 도 2e의 토로이달형 플라즈마 발생 장치에서는, 플라즈마 발생이 발생 장치의 벽면으로부터 떨어져서 일어나고, 처리 용기(11) 내부의 프로세스 공간으로의 이온 등과 같이 질량이 큰 하전 입자의 도입이 적은 바람직한 특징을 얻을 수 있기 때문에, 도 1의 플라즈마 처리 장치(10)에 있어서, 이러한 토로이달형 플라즈마 발생 장치를 리모트 플라즈마원(16C)으로서 사용하는 것이 바람직하다고 생각되고 있다.
도 4는 이러한 상기 리모트 플라즈마원(16C)으로서 사용되는 상기 도 2e에 도시된 토로이달형 플라즈마 발생 장치(60)를 보다 상세히 나타낸다.
도 4를 참조하면, 플라즈마 발생 장치(60)는 가스 입구(61A)와 가스 출구(61B)를 갖춘 순환적인 가스 통로(61)를 가지며, 상기 가스 통로의 외측에는 고주파 코일(62)이 권취되어 있다.
그래서, 상기 가스 입구(61A)에 도입된 Ar 등의 희가스는 상기 순환 가스 통로(61) 안을 주회하지만, 그 때에 상기 고주파 코일(62)을 고주파 전력에 의해 구 동함으로써, 상기 희가스 속으로 플라즈마를 유도한다. 이와 같이 하여 유도된 플라즈마가 상기 가스 통로(61) 안을 고속으로 주회함에 따라 상기 가스 통로(61) 안에는 도 4 중에 실선(61a)으로 도시한 주회적인 전류로가 형성되고, 또한, 상기 고주파 코일이 형성하는 자력선이 도 4 중, 파선(61b)으로 도시하는 바와 같이 상기 전류로(61a)에 일치한 경로로 제한된다. 이와 같이 자력선이 경로(61b)로 제한되면 플라즈마 속의 전자나 이온이 상기 자력선 경로(61b)에 일치하는 전류로(61a)로 제한되고, 상기 전류로(61a) 중의 전류 밀도가 더욱 증대되지만, 이러한 전류 밀도의 증대는 자력선이 상기 자력선 경로(61b)로 더욱 제한되게 한다.
도 4의 토로이달형 플라즈마 발생 장치(60)에서는, 이와 같이 고밀도 플라즈마가 상기 순환 가스 통로(61)를 구획하는 벽면으로부터 떨어진 위치에 형성되기 때문에, 특히 고에너지로 가속된 전자에 의한 벽면의 스퍼터가 적고, 오염이 적은 플라즈마의 형성이 가능하게 된다. 또한, 이러한 오염이 적은 플라즈마는 안정하게 유지된다.
[특허 문헌 1] 미국 특허 제6374831호 공보
이와 같이, 도 4의 토로이달형 플라즈마 발생 장치(60)에서는, 일단 고밀도 플라즈마가 형성되면 그것을 안정하게 유지할 수 있지만, 전술한 설명으로부터도 알 수 있듯이, 플라즈마의 착화에 대해서는 문제를 갖고 있다. 이러한 문제는 예컨대 도 4의 플라즈마 발생 장치(60)를 도 1의 CVD 장치(10)에 있어서 리모트 플라즈마원(16C)으로서 사용한 경우에 특히 현저히 나타난다.
도 1을 다시 참조하면, 상기 CVD 장치(10)에 있어서는 NF3 에칭 가스가 상기 리모트 플라즈마원(16C)으로 공급되고 있지만, NF3나 F2, CF4, C2F6, C3F8, SF6, ClF3 등의 에칭에 사용되는 불소 함유 화합물은 전리 에너지가 Ar에 비하여 매우 크고, 이 때문에 상기 리모트 플라즈마원(16C) 중에서 상기 Ar 가스원(16B)으로부터의 Ar 가스에 전기 음성도가 높은 F를 함유하는 NF3 등의 불소를 함유하는 에칭 가스가 첨가된 경우, 상기 리모트 플라즈마원(16C) 중에 있어서의 플라즈마의 착화가 곤란해지는 문제가 발생한다.
도 5는, 본 발명의 발명자가 본 발명의 기초가 되는 연구에서, 도 1의 CVD 장치(10)에 있어서 리모트 플라즈마원(16C)으로서 도 4의 토로이달형 장치(60)를 사용하고, 상기 리모트 플라즈마원(16C)에 공급되는 Ar/NF3 혼합 가스 속에서의 NF3 가스의 비율을 다양하게 변화시켜 클리닝을 행한 경우의 클리닝 속도와 NF3 가스 농도와의 관계를 나타낸다. 단, 도 5의 실험에서는, 상기 도 4의 순환 가스 통로(61) 안의 압력을 1333 Pa(10 Torr)로 설정하고, 서셉터(12)의 온도를 100℃로 하고, 또한 Ar 가스와 NF3 가스의 합계 유량을 1500 SCCM으로 한 조건 하에서 상기 도 1의 기판(12A) 상에 형성된 열산화막의 에칭(클리닝)을 행하고 있다. 도면 중에서, 종축의 클리닝 속도는 상기 열산화막의 매분에 대한 막두께 변화율을 나타낸다. 상기 리모트 플라즈마원(16C)은 주파수가 400 kHz인 고주파에 의해 구동되고 있다.
도 5를 참조하면, 클리닝 속도는 Ar/NF3 혼합 가스 속의 NF3 가스 농도가 증대함에 따라 증대되는 것을 알 수 있다. 이것으로부터, 1200 Pa의 압력 하에서 500 ㎚/분 이상의 클리닝 속도를 실현하고자 하면, 상기 리모트 플라즈마원(16C)에 공급되는 Ar/NF3 혼합 가스에는 적어도 5%의 농도[=Ar/(Ar+NF3)]가 되도록 NF3를 첨가하는 것이 바람직한 것을 알 수 있다.
도 6은 도 1의 CVD 장치(10)에 있어서의 클리닝 속도와 NF3 가스 분압과의 관계를 나타낸다. 단, 도 6의 실험도 본 발명의 발명자가 본 발명의 기초가 되는 연구에서 행한 것으로서, 리모트 플라즈마원(16C)으로서 도 4의 토로이달형 플라즈마 발생 장치(60)를 사용하고 있다. 단, 도 6의 실험에서는, 상기 리모트 플라즈마원(16C)에 공급되는 NF3 가스의 농도를 45%로 설정하고, Ar/NF3 혼합 가스의 전 유량을 1500 SCCM으로 설정한 조건 하에서 상기 처리 용기(11) 안의 전체 압력을 변화시키면서 상기 열산화막의 에칭을 행하고 있다.
도 6을 참조하면, NF3 가스의 농도를 고정한 경우에는, 처리 용기(11) 안의 전체 압력(따라서 NF3 가스 분압)을 증대시킴으로써, 열산화막의 에칭 속도, 즉 클리닝 속도가 증대되는 것을 알 수 있다. 도 6의 관계로부터는 NF3의 농도를 45%로 설정한 경우, 약 266 Pa(2 Torr) 이상의 압력에서 매분 500 ㎚를 초과하는 클리닝 속도(에칭 속도)가 실현되고 있는 것을 알 수 있다.
도 5, 도 6의 결과는 상기 도 1의 CVD 장치(10)에 있어서 도 4에 도시된 토 로이달형 플라즈마 발생 장치(60)를 리모트 플라즈마원(16C)으로서 사용한 경우, 상기 리모트 플라즈마원(16C)에 공급되는 Ar/NF3 혼합 가스 속의 NF3 가스 농도 또는 분압을 증대시킴으로써, 매분 500 ㎚를 초과하는 클리닝 속도가 실현되는 것을 나타내고 있고, 따라서 도 1의 CVD 장치(10)에 있어서 클리닝을 효율적으로 행하기 위해서는 이와 같이 NF3 가스 농도를 증가시키는 것이 바람직한 것을 알 수 있다.
또한, 도 7은 도 4의 토로이달형 플라즈마 발생 장치(60)에 있어서의 플라즈마 유지 파워와, 상기 플라즈마 발생 장치(60)에 공급되는 Ar/NF3 혼합 가스 속의 NF3 농도와의 관계를 나타낸다. 단, 도 7의 실험도 본 발명의 발명자가 본 발명의 기초가 되는 연구에서 행한 것으로서, 전체 압력을 10 Torr, 상기 Ar/NF3 혼합 가스의 전 유량을 1500 SCCM으로 설정한 조건 하에서 플라즈마의 발생을 행하고 있다.
도 7을 참조하면, 일단 플라즈마가 형성되면, NF3 가스의 농도가 증대되더라도, 공급되는 RF 파워를 증대시킴으로써, 플라즈마를 유지할 수 있는 것을 알 수 있다. 한편, 도 7의 관계는 Ar/NF3 혼합 가스의 농도를 증대시킨 경우에는 플라즈마를 유지하는 데에 큰 RF 파워가 요구되는 것을 나타내고 있다. 상기 Ar/NF3 혼합 가스 속의 NF3 농도가 0인 경우에는 매우 적은 RF 파워로 플라즈마가 유지되는 것을 알 수 있다.
또한, 도 8은 도 4의 토로이달형 플라즈마 발생 장치(60)에 있어서의 플라즈 마 유지 파워와, 상기 플라즈마 발생 장치(60)에 공급되는 Ar/NF3 혼합 가스의 전체 압력과의 관계를 나타낸다. 단, 도 8의 실험도 본 발명의 발명자가 본 발명의 기초가 되는 연구에서 행한 것으로서, Ar/NF3 혼합 가스 속의 NF3 농도를 45%로, 또한 상기 Ar/NF3 혼합 가스의 전 유량을 1500 SCCM으로 설정한 조건 하에서 플라즈마의 발생을 행하고 있다.
도 8로부터, 상기 Ar/NF3 혼합 가스 속에서의 플라즈마 유지 파워는 전체 압력이 저하되면 감소하고, 예컨대 전체 압력이 약 333 Pa(2.5 Torr)에서는 3 kW 정도의 고주파 파워로 플라즈마가 유지되는 것을 알 수 있다. 이것에 대하여, 전체 압력이 약 2000 Pa(15 Torr)인 경우에는, 4 kW를 초과하는 RF 파워를 투입하지 않으면 플라즈마를 유지할 수 없는 것을 알 수 있다.
이와 같이, 도 4의 토로이달형 플라즈마 발생 장치(60)에서는, 일단 플라즈마가 착화하면 높은 NF3 분압 또는 농도에서, 커다란 RF 파워를 투입함으로써 효율적으로 플라즈마 에칭 또는 플라즈마 클리닝을 행할 수 있지만, 앞서도 설명한 바와 같이, 토로이달형 플라즈마 발생 장치에서는, 특히 NF3 등과 같이 전기 음성도가 높은 원소를 함유하는 가스를 공급한 경우에는, 플라즈마의 착화가 곤란해지는 문제점을 갖고 있다. 도 4의 토로이달형 플라즈마 발생 장치(60)에서는, Ar 가스에 NF3 가스가 약간 첨가된 것만으로도 플라즈마는 착화하지 않게 된다. 또한, 전체 압력이 높아지면 플라즈마는 착화하지 않게 된다. 이러한 문제점은 도 7, 도 8의 플 라즈마 유지 파워와 NF3 농도 또는 분압, 또한 플라즈마 유지 파워와 전체 압력과의 관계로부터도 시사되는 것이다.
이러한 플라즈마 착화의 문제를 방지하기 위해서 종래에는 리모트 플라즈마원(16C)의 착화시에는 Ar 100% 가스를 공급하고, 플라즈마가 형성된 시점에서 불소를 함유하는 에칭 가스를 이것에 첨가하는 것이 행해지고 있었다(예컨대 특허 문헌 1을 참조). 앞서 도 5 내지 도 8에서 설명한 실험에서도 플라즈마의 착화는 이와 같이 플라즈마 착화시에 Ar 100% 가스를 사용함으로써 행하고 있다.
그러나, 이러한 종래의 방법에서는, 플라즈마 착화시에 도 4의 순환 가스 통로(61)를 충분히 퍼지하여 NF3 가스를 제거하지 않으면 플라즈마를 착화할 수 없기 때문에, 특히 최근의, 예컨대 설계 룰이 1 ㎛ 이하인 초미세화 반도체 장치의 제조시에 요구되는 빈번한 처리 용기의 클리닝, 예컨대 기판을 1장 처리할 때마다 처리 용기(11)의 클리닝 처리를 행하려고 하면, 매우 긴 처리 시간이 걸리게 되어 기판 처리 효율이 크게 저하되어 버린다.
또한, 종래, 할로겐을 함유하는 클리닝 가스를 플라즈마 발생 장치에 공급한 상태에서 플라즈마를 착화하고자 하면, 도 2a 내지 도 2f 또는 도 3 중 어느 하나의 형식의 플라즈마 발생 장치에 있어서도 앞서 설명한 바와 같이 플라즈마 착화가 곤란해지기 때문에, 높은 구동 전압을 인가하지 않을 수 없는데, 이와 같이 높은 구동 전압을 인가하게 되면, 플라즈마가 착화한 순간에 코일이나 전극을 포함하는 구동계의 임피던스가 크게 변화되고, 오버 슈트한 구동 전압이 상기 구동계나 고주 파 전원을 파손시킬 우려가 있다.
그래서, 본 발명은 전술한 문제점을 해결한, 신규하고 유용한 플라즈마 착화 방법, 클리닝 및 기판 처리 방법을 제공하는 것을 개괄적 과제로 한다.
본 발명의 다른 과제는 토로이달형 플라즈마 발생 장치에서, Ar 가스와 NF3 가스의 혼합 가스에 대하여 플라즈마를 착화시키는 플라즈마 착화 방법과, 이러한 토로이달형 플라즈마 발생 장치를 사용한 기판 처리 방법을 제공하는 데에 있다.
본 발명의 다른 과제는 저전압에서 플라즈마를 착화할 수 있고, 이로써 고전압에 의한 전원이나 코일, 전극 등의 손상을 막을 수 있는 플라즈마 클리닝 방법을 제공하는 데에 있다.
본 발명은,
가스 입구와 가스 출구를 갖추고 있고 주회로를 형성하는 가스 통로와, 이 가스 통로의 일부에 권취된 코일을 갖는 토로이달형 플라즈마 발생 장치에서의 플라즈마 발생 방법으로서,
상기 가스 통로 안에 적어도 5%의 NF3를 함유하는 Ar 가스와 NF3 가스의 혼합 가스를 공급하고, 상기 코일을 고주파 전력에 의해 구동하여 플라즈마를 착화하는 공정을 포함하며,
상기 플라즈마 착화 공정은 6.65∼66.5 Pa의 전체 압력 하에서 실행되는 것을 특징으로 하는 플라즈마 발생 방법을 제공한다.
본 발명은 또한,
가스 입구와 가스 출구를 갖추고 있고 주회로를 형성하는 가스 통로와, 이 가스 통로의 일부에 권취된 코일을 갖는 토로이달형 플라즈마 발생 장치에서의 플라즈마 발생 방법으로서,
상기 가스 통로 안에 적어도 5%의 F2를 함유하는 Ar 가스와 F2 가스의 혼합 가스를 공급하고, 상기 코일을 고주파 전력에 의해 구동하여 플라즈마를 착화하는 공정을 포함하며,
상기 플라즈마 착화 공정은 6.65∼66.5 Pa의 전체 압력 하에서 실행되는 것을 특징으로 하는 플라즈마 발생 방법을 제공한다.
본 발명은 또한,
배기계에 의해 배기되고, 리모트 플라즈마원이 결합된 처리 용기의 클리닝 방법으로서,
상기 리모트 플라즈마원은, 가스 입구와 가스 출구를 갖추고 있고 주회로를 형성하는 가스 통로와, 상기 가스 통로의 일부에 권취된 코일을 포함하는 토로이달형 플라즈마 발생 장치로 이루어지며,
상기 클리닝 방법은 상기 리모트 플라즈마원에서, F를 함유하는 라디칼을 형성하는 공정과,
상기 라디칼을 상기 처리 용기 내부로 공급하고, 상기 라디칼에 의해 상기 처리 용기 내부를 클리닝하는 공정을 포함하며,
상기 라디칼을 형성하는 공정은,
상기 가스 통로 안에, Ar 가스 속에 적어도 5%의 농도로 NF3 또는 F2를 클리닝 가스로서 함유하는 혼합 가스를 플라즈마가 착화하는 제1 압력에서 공급하고, 상기 코일을 고주파 전력에 의해 구동하여 플라즈마를 착화하는 공정과,
상기 가스 통로 안에서의 상기 혼합 가스의 전체 압력을 상기 플라즈마를 유지하면서 제2 압력까지 증대시키는 공정을 포함하며,
상기 클리닝 공정은 상기 제2 압력에서 상기 처리 용기 내부를 클리닝하는 것을 특징으로 하는 클리닝 방법을 제공한다.
본 발명은 또한,
배기계에 의해 배기되고, 리모트 플라즈마원이 결합된 처리 용기 안에서의 기판 처리 방법으로서,
상기 리모트 플라즈마원은, 가스 입구와 가스 출구를 갖추고 있고 주회로를 형성하는 가스 통로와, 이 가스 통로의 일부에 권취된 코일을 포함하는 토로이달형 플라즈마 발생 장치로 이루어지며,
상기 기판 처리 방법은 상기 리모트 플라즈마원에서, F를 함유하는 라디칼을 형성하는 공정과,
상기 라디칼을 상기 처리 용기 내부로 공급하고, 상기 라디칼에 의해 상기 처리 용기 안에서 피처리 기판 표면을 에칭하는 공정을 포함하며,
상기 라디칼을 형성하는 공정은,
상기 가스 통로 안에, Ar 가스 속에 적어도 5%의 농도로 NF3 또는 F2를 에칭 가스로서 함유하는 혼합 가스를 플라즈마가 착화하는 제1 압력에서 공급하고, 상기 코일을 고주파 전력에 의해 구동하여 플라즈마를 착화하는 공정과,
상기 가스 통로 안에서의 상기 혼합 가스의 전체 압력을, 상기 플라즈마를 유지하면서 제2 압력까지 증대시키는 공정을 포함하며,
상기 에칭 공정은 상기 제2 압력에서 실행되는 것을 특징으로 하는 기판 처리 방법을 제공한다.
본 발명은 또한,
처리 용기 내부를 플라즈마 여기된 클리닝 가스의 라디칼에 의해 제1 압력대에서 클리닝하는 클리닝 방법으로서,
플라즈마 발생 장치에 희석 가스와 클리닝 가스의 혼합 가스를 상기 제1 압력대보다 낮은 제2 압력대에서 도입하여 플라즈마를 착화하는 공정과,
상기 처리 용기 내부의 압력을 상기 제2 압력대로부터 상기 제1 압력대까지 증대시키는 공정을 포함하는 것을 특징으로 하는 클리닝 방법을 제공한다.
본 발명은 또한,
처리 용기 안에서 피처리 기판 표면을 플라즈마 여기된 에칭 가스의 라디칼에 의해 제1 압력대에서 에칭하는 기판 처리 방법으로서,
플라즈마 발생 장치에 희석 가스와 에칭 가스의 혼합 가스를 상기 제1 압력대보다 낮은 제2 압력대에서 도입하여 플라즈마를 착화하는 공정과,
상기 처리 용기 내부의 압력을 상기 제2 압력대로부터 상기 제1 압력대까지 증대시키는 공정을 포함하는 것을 특징으로 하는 기판 처리 방법을 제공한다.
본 발명은,
처리 용기 내부를 플라즈마 여기된 클리닝 가스의 라디칼에 의해 제1 유량대에서 클리닝하는 클리닝 방법으로서,
플라즈마 발생 장치에 희석 가스와 클리닝 가스의 혼합 가스를 상기 제1 유량대보다 낮은 제2 유량대에서 도입하여 플라즈마를 착화하는 공정과,
상기 혼합 가스의 유량을 상기 제2 유량대로부터 상기 제1 유량대까지 증대시키는 공정을 더 포함하는 것을 특징으로 하는 클리닝 방법을 제공한다.
본 발명은,
처리 용기 안에서 피처리 기판 표면을 플라즈마 여기된 에칭 가스의 라디칼에 의해 제1 유량대에서 에칭하는 기판 처리 방법으로서,
플라즈마 발생 장치에 희석 가스와 에칭 가스의 혼합 가스를 상기 제1 유량대보다 낮은 제2 유량대에서 도입하여 플라즈마를 착화하는 공정과,
상기 혼합 가스의 유량을 상기 제2 유량대로부터 상기 제1 유량대까지 증대시키는 공정을 더 포함하는 것을 특징으로 하는 기판 처리 방법을 제공한다.
본 발명에 따르면, 토로이달형 플라즈마 발생 장치에서, 가스 통로 안에 적어도 5%의 NF3를 함유하는 Ar 가스와 NF3 가스의 혼합 가스를 공급하고, 고주파 전력에 의해 6.65∼66.5 Pa의 전체 압력 하에서 플라즈마를 착화함으로써, Ar/NF3 혼합 가스에 대해서 플라즈마를 착화하는 것이 가능해지고, 그 결과 플라즈마를 단속적으로 형성할 필요가 있는 매엽식 기판 처리 시스템 등에 있어서, 플라즈마를 착 화하고자 할 때마다 필요한 리모트 플라즈마원으로부터 NF3 가스를 퍼지하는 공정을 생략할 수 있으며, 클리닝이나 기판 처리의 작업 효율을 크게 개선할 수 있다. 또한, 일단 플라즈마가 착화하면, 플라즈마를 소멸시키는 일없이 플라즈마 착화 포인트로부터 클리닝이나 에칭이 실행되는 프로세스 포인트까지 이행하는 것이 가능해져서 효율적인 플라즈마 프로세스를 실행하는 것이 가능해진다.
또한, 본 발명에 따르면, 플라즈마 착화시에 가스압을 저감시킴으로써, 할로겐 화합물을 함유한 가스라도 낮은 전압으로 플라즈마 착화를 행하는 것이 가능해진다. 이에 따라, 플라즈마 착화 순간의 큰 임피던스 변화에 기인하여 발생하는 커다란 전압 오버 슈트의 발생 및 이것에 의한 구동 전원 또는 전극, 코일 등의 파손이 방지된다. 본 발명에서는, 이와 같이 하여 플라즈마가 착화한 후, 플라즈마를 유지한 채로 소정의 프로세스 조건까지 가스압을 증대시킴으로써, 원하는 클리닝 프로세스 또는 에칭 프로세스를 효율적으로 실행하는 것이 가능해진다. 또한, 본 발명에 따르면, 할로겐 화합물을 함유한 가스에 대하여 플라즈마가 착화되기 때문에, 특히 매엽 처리 공정과 같이, 플라즈마를 빈번히 단속하는 공정의 경우에, 플라즈마를 착화할 때마다 할로겐 화합물을 함유하는 가스를 퍼지할 필요가 없게 되어 클리닝 또는 기판 처리 효율이 크게 향상된다.
[제1 실시예]
이하, 본 발명의 바람직한 실시예에 대해서 설명한다.
앞서도 설명한 바와 같이, 토로이달형 플라즈마 발생 장치에서는, 플라즈마 에 의한 플라즈마 발생 장치 벽면의 스퍼터링이 억제되기 때문에, 플라즈마를 사용한 기판 처리 공정에서의 오염이 적다는 바람직한 특징이 있지만, 플라즈마의 착화가 곤란하며, 플라즈마 착화를 행하는 경우에는, NF3 등과 같이 전기 음성도가 큰 할로겐을 함유하는 에칭 가스 또는 클리닝 가스를 배제하고, Ar 가스 100%의 분위기에서 착화를 실행해야 했다.
이와 같이 종래의 토로이달형 플라즈마 발생 장치에서는, 플라즈마의 착화는 Ar 가스 100%의 분위기 속에서만 가능한 것이 일반적으로 받아들여지고 있다. 이에 대하여, 본 발명의 발명자는 감압 환경 하에서는 전자의 평균 자유 공정이 길어지는 것에 착안하여, 토로이달형의 플라즈마 발생 장치에 있어서도 통상의 클리닝이나 에칭에 사용되는 것보다 저압의 감압 환경 하에서 고주파 전계를 인가할 경우에, 전자가 전계에 의해 크게 가속되는 결과, 큰 에너지를 획득할 있는지, 그리고 이와 같이 전자가 큰 에너지를 갖는 경우에, Ar 가스 속에 NF3 등과 같이 전기 음성도가 높은 할로겐을 함유하는 가스가 첨가되어 있어도 플라즈마가 착화할 가능성이 있는지를 착안하기에 이르렀다.
도 9는 이러한 착안에 기초하여 본 발명의 발명자가 본 발명의 기초가 되는 연구에서, 도 4의 토로이달형 플라즈마 발생 장치(60)(ASTRONi, MKS에서 제조, 미국 특허 제6150628호 공보)에 대해서 플라즈마 착화 조건을 상기 Ar/NF3 혼합 가스 속에서의 NF3 농도를 다양하게 변화시키고, 또한 전체 압력을 다양하게 변화시키면서 탐색한 결과를 나타낸다.
도 9를 참조하면, ●는 플라즈마 착화가 발생하지 않은 점을 나타내고 있고, 상기 NF3 농도가 2.5% 이상에서는, 실험하고자 하는 어떠한 압력에서도 플라즈마 착화가 발생하지 않았지만, NF3 농도를 1.7%로 한 경우에는, 도면 중에 ○로 나타낸 바와 같이, 전체 압력을 69 Pa(520 mTorr)까지 저감한 경우에 플라즈마 착화가 발생하는 것을 발견하였다. 단, 도 9의 실험에서는, 상기 Ar/NF3 혼합 가스의 전 유량을 500 SCCM으로 하고 있고, 1.7 kW의 고주파 전력을 인가하고 있다.
그래서, 도 4의 토로이달형 플라즈마 발생 장치(60)에 대해서 이와 같이 하여 발견된 착화점을 출발점으로 하여 상기 Ar/NF3 혼합 가스의 전체 압력, 유량 및 상기 혼합 가스 속에서의 NF3 농도를 다양하게 변화시켜 플라즈마 착화점을 탐색한 결과, 도 10에 도시된 바와 같은 결과를 얻었다. 단, 도 10의 실험에서는, 주파수가 400 kHz의 고주파를 1500 W의 파워로 공급하고 있다.
도 10을 참조하면, 종축은 상기 Ar/NF3 혼합 가스 속에서의 NF3의 농도[=NF3/(Ar+NF3)]를 나타내고 있고, 횡축은 상기 가스 통로(21) 안의 전체 압력을 나타내고 있으며, 음영을 부여한 범위가 플라즈마의 착화가 가능한 조건을 나타내고 있다.
즉, 상기 가스 통로(21) 안의 전체 압력이 감소함에 따라 플라즈마 착화가 가능한 NF3 농도 범위가 증대되고, 또한 상기 Ar/NF3 혼합 가스의 전 유량이 감소함 에 따라 플라즈마 착화가 가능한 NF3 농도 범위가 증대되는 것을 알 수 있다.
한편, 상기 가스 통로(61) 안의 전체 압력이 너무 낮아지면, 가속된 전자가 Ar 원자 또는 NF3 분자에 충돌할 확률이 저감하여 플라즈마의 착화는 곤란해진다.
도 10으로부터, 플라즈마 착화시에 있어서의 상기 가스 통로(61) 안의 전체 압력을 66.5 Pa(0.5 Torr) 이하, 바람직하게는 6.65 Pa(0.05 Torr) 이하로 감소시킴으로써, NF3를 5% 이상 함유하는 Ar/NF3 혼합 가스 속에서 플라즈마 착화가 가능하고, 특히 Ar/NF3 혼합 가스 속에서의 NF3의 농도가 45%에 도달하여도 플라즈마 착화가 가능한 경우가 있는 것을 알 수 있다.
또한, 도 10은 플라즈마 착화시에 상기 토로이달형 플라즈마 발생 장치에 공급되는 Ar/NF3 혼합 가스의 유량을 저감시킴으로써, 플라즈마 착화가 발생하는 NF3 농도 범위가 증대되는 경향을 보이고 있다. 예컨대, 상기 Ar/NF3 혼합 가스의 가스 유량이 80 SCCM인 경우, 플라즈마 착화는 발생하지만, 플라즈마 착화가 발생하는 NF3 농도 범위 또는 압력 범위는 한정되는 데 대하여, 상기 가스 유량을 20 SCCM, 5 SCCM, 3 SCCM으로 감소시킴에 따라 플라즈마 착화가 발생하는 NF3 농도 범위 및 압력 범위는 확대되는 것을 알 수 있다. 또한, 플라즈마 착화는 상기 Ar/NF3 혼합 가스의 가스 유량이 100 SCCM 이하이면, 상기 혼합 가스가 5% 정도의 NF3를 함유하고 있는 경우에도 발생하는 것이 확인되고 있다.
도 11은 도 9, 도 10의 결과에 기초하여 구한 도 4의 토로이달형 플라즈마 발생 장치(60)에 있어서의 플라즈마 착화 전압과 전체 압력과의 관계를 나타낸다.
도 11을 참조하면, 도시한 예는 상기 Ar/NF3 혼합 가스 속에 NF3가 5% 함유되어 있는 경우에 대한 것이지만, 플라즈마 착화 전압은 전체 압력의 저하와 함께 저하되고, 도 9의 ○로 나타낸 착화점에 거의 대응하는 압력에서 최소가 되는 것을 알 수 있다. 이보다 압력이 저하되면 상기 충돌 확률이 저하되는 결과로, 플라즈마 착화 전압은 급격히 상승한다.
도 11의 관계로부터는, 상기 혼합 가스의 전체 압력이 매우 높은 경우 또는 매우 낮은 경우라도, 상기 혼합 가스에 대하여 도 11의 곡선을 초과하는 충분한 전압을 부여하면 플라즈마를 착화하는 것이 가능한 것을 알 수 있지만, 실제의 플라즈마 발생 장치에서는 장치적 설계상의 제약, 또는 비용적인 제약이 있으며, 실제로 플라즈마 착화 가능한 압력 범위는 6.65∼66.5 Pa(0.05∼0.5 Torr) 정도의 범위로 한정되게 된다.
이와 같이, 본 실시예에 따르면, 토로이달형 플라즈마 발생 장치에 있어서, NF3를 5% 이상 함유한 Ar/NF3 혼합 가스를 사용한 경우라도 플라즈마의 착화가 가능해진다. 이 때문에, 예컨대 매엽식 기판 처리 장치에 있어서, 빈번하게, 또는 기판을 1장 처리할 때마다 처리 용기 내부를 클리닝하는 경우, 플라즈마를 착화시키는 데에 처리 용기 내부로부터 NF3 클리닝 가스를 긴 시간에 걸쳐 퍼지할 필요가 없게 되어 기판 처리 효율이 크게 향상된다. 동일한 이점은 NF3 가스를 사용하여 피처리 기판을 1장씩 에칭하는 매엽식 플라즈마 에칭 장치의 경우에서도 얻어진다.
[제2 실시예]
도 12는 본 발명의 발명자가 도 4에 도시된 토로이달형 플라즈마 발생 장치(60)에 있어서 상기 가스 통로(61)에 Ar과 F2의 혼합 가스를 다양한 F2 농도[F2/(Ar+F2)]로 공급한 경우에 대해서, 앞의 도 9와 동일한 순서에 의해 플라즈마 착화 조건을 탐색한 결과를 본 발명의 제2 실시예로서 나타낸다.
단, 도 12의 실험에서는, 상기 Ar/F2 혼합 가스의 유량을 100 SCCM으로 설정하고, 주파수가 400 kHz의 고주파를 1300 W의 파워로 공급하고 있다.
도 12를 참조하면, 전 가스 유량을 100 SCCM으로 한 경우, 플라즈마의 착화는 상기 혼합 가스 속의 F2 농도가 5%인 경우, 대략 6.65 Pa(0.05 Torr) 이상, 66.5 Pa(0.5 Torr) 이하의 압력 범위에서 발생하고, 이 착화 가능한 압력 범위는 상기 혼합 가스 속의 F2 농도가 증대함에 따라 축소되지만, 약 45%의 F2 농도까지는 착화가 가능한 것을 알 수 있다.
[제3 실시예]
이와 같이, 본 발명의 발명자는 본 발명의 기초가 되는 연구에서, 도 4에 도시된 바와 같은 토로이달형의 플라즈마 발생 장치에 있어서, Ar 가스에 NF3나 F2 등과 같이, 전기 음성도가 높은 할로겐을 함유하는 가스를 첨가한 혼합 가스를 공급한 경우라도 플라즈마 착화가 가능한 것과, 플라즈마 착화가 가능해지는 조건을 발 견하는 것에 성공하였다.
한편, 실제로 CVD 장치, 예컨대 도 1의 CVD 장치(10)에 있어서 클리닝 또는 에칭에 사용되는 압력 또는 가스 유량은 도 9 또는 도 10에서 도시한 착화점보다 훨씬 크고, 따라서 토로이달형 플라즈마 발생 장치(60)에서는, 도 9 또는 도 10의 착화점에서 플라즈마가 착화한 후, 플라즈마를 소멸시키는 일없이 실제로 프로세스가 행해지는 프로세스점까지 조건을 변화하는 것이 요구된다. 예컨대, 앞서 설명한 도 5 또는 도 6에 따르면, 매분 150 또는 200 ㎚의 클리닝 속도를 달성하고자 하면, Ar/NF3 혼합 가스 속의 NF3의 농도를 50% 이상으로, 또한 압력(전체 압력)도 1330 Pa(10 Torr) 이상으로 설정해야 하는 것을 알 수 있다.
그래서, 본 발명의 발명자는 본 발명의 기초가 되는 연구에서, 상기 도 1의 CVD 장치(10)에 대해서 도 13에 도시된 바와 같이 도 9 또는 도 10에서 설명한 플라즈마 착화점에 대응하는 착화 포인트 (1)로부터 실제의 클리닝 또는 에칭 프로세스가 행해지는 프로세스 포인트 (2)까지, 상기 Ar/NF3 혼합 가스의 전체 압력 및 유량을 다양한 경로로 변화시켜, 포인트 (1)로부터 포인트 (2)까지 플라즈마가 유지되는지 여부를 검증하였다. 단, 이 실험에서는 도 1의 CVD 장치(10)에 있어서 상기 밸브(16Vc)는 완전 개방되어 있고, 상기 리모트 플라즈마원(16C)으로서 사용되는 도 2의 토로이달형 플라즈마 발생 장치(20)의 가스 통로(21)에서의 압력과 상기 처리 용기(11) 내부의 압력은 실질적으로 동일하게 되어 있다.
도 13의 실험에서는, 착화 포인트 (1)에 있어서의 전체 압력을 약 11 Pa(0.08 Torr)로, 또한 상기 Ar/NF3 혼합 가스의 전 유량을 3 SCCM으로 설정하고, 프로세스 포인트 (2)에 있어서의 전체 압력을 1330 Pa(10 Torr)로, 또한 상기 Ar/NF3 혼합 가스의 전 유량을 3 SLM으로 설정하고 있다.
도 13을 참조하면, 경로 A에서는 상기 착화 포인트 (1)로부터 상기 약 11 Pa(0.08 Torr)의 압력을 유지한 채로 가스 유량을 증가시켜, 포인트 (4)에 도달한다. 즉, 포인트 (1)로부터 포인트 (4)까지는 도 1의 CVD 장치(10)에 있어서 상기 처리 용기(11) 안의 압력이, 상기 Ar/NF3 혼합 가스의 유량이 증대되더라도 일정하게 유지되도록 배기계의 컨덕턴스 밸브(13B)를 서서히 개방하고 있고, 상기 포인트 (4)에 있어서는 상기 컨덕턴스 밸브(13B)가 완전 개방 상태로 되어 있다. 이와 같이, 상기 포인트 (4)는 상기 컨덕턴스 밸브(13B) 및 이것에 협동하는 진공 펌프(13)의 능력에 의해 결정된다.
이 상태에서 상기 Ar/NF3 혼합 가스의 유량을 상기 프로세스 포인트 (2)에 대응한 소정의 프로세스 유량까지 서서히 증대시키면 상기 처리 용기(11) 내부의 압력, 따라서 상기 가스 통로(61) 안의 전체 압력은 증대되어 포인트 (5)에 도달한다. 이 시점으로부터 상기 Ar/NF3 혼합 가스 유량을 일정하게 유지한 채로 상기 컨덕턴스 밸브(13B)를 서서히 폐쇄함으로써, 상기 처리 용기(11) 내부의 압력, 따라서 상기 가스 통로(61) 안의 압력은 상기 프로세스 포인트 (2)까지 서서히 증대한다.
한편, 도 13의 경로 B에서는, 상기 Ar/NF3 혼합 가스 유량을 일정하게 유지한 채로 상기 컨덕턴스 밸브(13B)를 서서히 폐쇄함으로써 상기 처리 용기(11) 내부의 압력, 따라서 상기 가스 통로(61) 안의 전체 압력은 서서히 증대되어 완전 폐쇄 상태에서 포인트 (6)에 도달한다. 즉, 상기 포인트 (6)은 상기 컨덕턴스 밸브(13B)의 완전 폐쇄 상태에서의 가스 누설량 및 진공 펌프(13)의 능력에 의해 결정된다.
상기 경로 B에서는 상기 포인트 (6)으로부터, 상기 컨덕턴스 밸브(13B)를 완전 폐쇄 상태로 유지한 채로 상기 Ar/NF3 혼합 가스의 유량을 증대시킴으로써, 상기 처리 용기(11) 내부의 압력, 따라서 상기 가스 통로(61) 안의 전체 압력은 서서히 증대되어 상기 프로세스 포인트 (2)의 프로세스압에 대응한 포인트 (7)에 도달한다. 또한, 상기 포인트 (7)로부터 Ar/NF3 혼합 가스의 유량을 상기 프로세스 포인트 (2)까지 서서히 증대시킨다. 그 때, 상기 컨덕턴스 밸브(13B)를 서서히 폐쇄함으로써, 상기 처리 용기(11) 내부의 압력, 따라서 상기 가스 통로(61) 안의 전체 압력을 상기 프로세스압으로 유지한다.
또한, 도 11의 경로 C에서는, 상기 착화 포인트 (1)에서 플라즈마를 착화시킨 후, 상기 컨덕턴스 밸브(13B)의 개방도를 유지한 채로 상기 Ar/NF3 혼합 가스의 유량을 소정의 프로세스 유량에 대응한 포인트 (3)까지 증가시키고, 그 후 상기 컨덕턴스 밸브(13B)를 서서히 폐쇄함으로써, 상기 프로세스 포인트 (2)까지 상기 처리 용기(11) 내부의 압력, 따라서 상기 가스 통로(61) 안의 전체 압력을 증대시킨다.
이와 같이, 상기 착화 포인트 (1)로부터 프로세스 포인트 (2)까지 여러 가지 경로로 가스 유량 및 전체 압력을 변화하는 실험을 행한 결과, 도 13 중, 상기 포인트 (1) 내지 (7)로 둘러싸인 영역에서는, 상기 전체 압력 및 가스 유량을 변화시키더라도 일단 착화된 플라즈마는 소멸하는 일이 없는 것이 확인되고 있다.
또한, 앞서 설명한 바와 같이, 포인트 (4) 및 포인트 (6), 따라서 포인트 (4)로부터 포인트 (5)까지의 경로 및 포인트 (6)으로부터 포인트 (7)까지의 경로는 사용되는 CVD 장치의 컨덕턴스 밸브(13B)의 설계 및 진공 펌프(13)의 능력에 의해 결정되는 것으로, 상기 컨덕턴스 밸브(13B)의 최대 컨덕턴스를 증대시키거나, 또는 진공 펌프(13)의 능력을 증대시키면 상기 포인트 (4)로부터 포인트 (5)로의 경로는 대유량측으로 이동한다. 또한, 상기 컨덕턴스 밸브(13B)의 최소 컨덕턴스를 감소시키거나 또는 진공 펌프(13)의 능력을 저하시키면 상기 포인트 (6)으로부터 포인트 (7)로의 경로는 고압측으로 이동한다.
또한, 상기 프로세스 포인트 (2)는 먼저 도 5 내지 도 9에서 설명한 조건 중 어느 하나로 설정하는 것이 가능하다.
즉, 상기 프로세스 포인트 (2)에 있어서, 도 5에 도시된 바와 같이 상기 Ar/NF3 혼합 가스 속의 NF3 농도를 80%까지 증대시킴으로써, 열산화막에 대하여 매분 2000 ㎚의 클리닝 속도를 실현하는 것이 가능하다. 이 경우에는 상기 착화 포인트 (1)로부터 프로세스 포인트 (2)까지 사이에 상기 Ar/NF3 혼합 가스 속에서의 NF3 농도를 변화시킬 필요가 있다. 이러한 경우라도, 일단 플라즈마가 착화하면 플라즈 마는 유지되는 것이 확인되고 있다.
이와 같이 하여 프로세스 포인트 (2)에 도달한 후에는 통상의 클리닝 공정을 행하는 것이 가능하다. 또한, 도 1의 CVD 장치(10)에서는 클리닝은 상기 리모트 플라즈마원(16C)으로서 사용되는 토로이달형의 플라즈마 발생 장치(20)에 있어서 플라즈마 착화가 발생한 시점에서 시작되고 있는 것에 주의하여야 한다.
앞서도 설명한 바와 같이, 도 13에 있어서 착화 포인트 (1)로부터 프로세스 포인트 (2)로 이행하는 경우에, 상기 Ar/NF3 혼합 가스 속의 Ar 가스와 NF3 가스의 혼합비는 고정하여도 좋고, 변화시켜도 좋다. 그 때, 본 발명에서는 플라즈마 착화가 발생한 직후부터 클리닝이 시작되고 있기 때문에, 상기 Ar/NF3 혼합 가스 속의 NF3 농도를 착화 포인트 (1)로부터 프로세스 포인트 (2)로 이행하는 동안에 증가시키는 것 뿐만 아니라, 필요에 따라 저감시키는 것도 가능하다.
또한, 도 1의 CVD 장치(10)에 있어서, 상기 토로이달형 플라즈마 발생 장치(20)를 리모트 플라즈마원(16C)으로서 사용하고, 상기 처리 용기(11) 안에서 열산화막이나 CVD 산화막 등의 절연막의 플라즈마 에칭, 또는 W막이나 Ti막 등의 금속막의 플라즈마 에칭, 나아가서는 TiN막 등의 도전성 질화막의 플라즈마 에칭이나 폴리실리콘막의 플라즈마 에칭을 행할 수 있다.
또한, 본 실시예에서는 도 1의 CVD 장치(10)에 있어서, 도 14에 도시된 바와 같이 상기 NF3 가스원(16A)에 능력이 다른 복수의 질량 유량 컨트롤러(16a, 16b)를 설치하고, 이들을 밸브에 의해 전환하여 사용하는 것도 가능하다. 도 13에서는, 마 찬가지로, Ar 가스원(16B)에도 능력이 다른 복수의 질량 유량 컨트롤러(16c, 16d)가 설치되어 있고, 이들이 밸브에 의해 전환하여 사용된다.
그래서, 예컨대 도 13의 착화 포인트 (1)로부터 최초로 질량 유량 컨트롤러(16a)에 의해 Ar/NF3 혼합 가스 유량이 경로(C)를 따라 증대되고 있고, 상기 경로(C)상의 포인트 (8)에 있어서 질량 유량 컨트롤러(16a)로부터 보다 대용량의 질량 유량 컨트롤러(16b)로 전환하는 경우를 생각하면, 상기 질량 유량 컨트롤러의 전환에 따라 일시적으로 유량 및 전체 압력이 포인트 (9)까지 저하되는 경우가 있지만, 보다 대용량의 질량 유량 컨트롤러(16b)를 구동함으로써, 경로(C)상의 포인트 (10)으로 되돌릴 수 있다. 그 때, 본 실시예에 따르면, 상기 포인트 (9)가 도 11 중에 도시한 플라즈마 유지 영역 안에 위치하고 있는 한, 포인트 (1)에서 착화한 플라즈마가 소멸하는 일은 없다.
또한, 상기 복귀 후의 포인트 (10)은 상기 경로(C)상에 한정되지 않고, 상기 포인트 (8)보다 유량이 큰 범위 내에서 상기 플라즈마 유지 영역 안의 임의의 점으로 선택할 수 있다.
동일한, 저압력 하에서 착화한 플라즈마를 유지한 채로 프로세스 조건에 대응한 고압력까지 플라즈마 발생 장치 안의 압력을 증대시키는 것은 상기 Ar/NF3 가스의 경우뿐만 아니라 Ar/F2 혼합 가스를 사용하는 경우에 있어서도 가능하다.
이 경우에도 승압 중에 상기 Ar/F2 혼합 가스 속의 F2 농도를 일정하게 유지하여도 좋고, 또한 변화시켜도 좋다.
또한, 상기 플라즈마 발생 장치 안에 공급되는 희가스는 Ar 가스에 한정되지 않고, He, Ne, Kr, Xe 등의 가스를 사용하는 것도 가능하다.
도 15는 상기 결과에 기초한 본 발명의 제3 실시예에 따른 클리닝 또는 에칭 프로세스에서 사용되는 가스 및 RF 파워의 공급 시퀀스를 도시한다.
도 15를 참조하면, 본 실시예에서는 처음에 소량의 Ar 가스 및 NF3 가스를 도 4의 토로이달형 플라즈마 발생 장치(60)에 공급하고, 6.65∼66.5 Pa의 전체 압력(P1) 하에서 RF 파워를 공급하여 플라즈마를 착화시킨다.
플라즈마가 착화한 후, 상기 Ar 가스 및 NF3 가스의 유량은 도 13 중의 포인트 (1) 내지 (7)로 둘러싸인 영역 안이 임의의 경로로 증대되어 소정의 프로세스압 P2에 도달함으로써 원하는 클리닝 또는 에칭 프로세스를 실행한 후, RF 파워를 차단한다.
또한, 앞서도 설명한 바와 같이, NF3를 사용한 클리닝 또는 에칭 프로세스는 플라즈마 착화 직후부터 이미 시작되고 있다.
또한, 본 실시예에 있어서도 상기 NF3 가스 대신에 F2 가스를 사용하는 것이 가능하다. 이 경우에는 상기 착화 공정의 압력 P1 및 그 때의 Ar 가스 및 F2 가스의 유량을 도 12에서 설명한 착화 범위 내에 들도록 설정하면 좋다.
[제4 실시예]
앞의 도 11의 관계, 즉 플라즈마 착화 전압이 저압측에서 감소하고, 어떤 최 소값에 대응하는 압력을 지나가면 급증하는 경향은 토로이달형의 플라즈마 발생 장치에 한정되지 않고, 도 2a 내지 도 2e 또는 도 3에 도시된 플라즈마 발생 장치(20∼70)에 있어서, 희가스의 종류 및 할로겐 함유 에칭 가스 또는 클리닝 가스의 종류에 상관없이 보편적으로 성립되는 경향이라고 생각할 수 있다.
그래서, 본 실시예에서는, 도 2a 내지 도 2e 또는 도 3에 도시된 플라즈마 발생 장치(20∼70)에 있어서, 할로겐 화합물을 함유하는 가스를 사용하여 처리 용기 내부를 플라즈마 클리닝할 때에, 또는 할로겐 화합물을 함유하는 가스를 사용하여 피처리 기판 표면을 플라즈마 에칭할 때에, 희가스와 상기 할로겐 화합물을 함유하는 가스의 혼합 가스에, 도 11에 도시된 착화 전압이 최소가 되는 조건 또는 그 근방의 조건을 사용하여 플라즈마를 착화한다.
본 실시예에서는 플라즈마의 착화가 저전압으로 발생하기 때문에, 플라즈마 발생 장치의 전극이나 코일에 고전압이 인가되는 일이 없고, 플라즈마 착화에 따라 순간적으로 커다란 임피던스 변화가 생기더라도, 고주파 전원이나 전극, 코일 등이 파손되는 일이 없다.
한편, 앞서도 설명한 바와 같이, 플라즈마 클리닝 또는 플라즈마 에칭에서는 NF3나 F2 등의 클리닝/에칭 가스의 농도 또는 분압이 높으면 높을수록, 프로세스의 효율이 향상된다. 물론, 도 10 또는 도 12의 착화 영역 안에서도 플라즈마가 착화하면, 플라즈마 속에 상기 클리닝/에칭 가스가 함유되기 때문에, 클리닝 공정이나 에칭 공정은 시작되지만, 장치에 따라서는 클리닝/에칭 가스의 농도가 불충분하기 때문에 충분한 처리 효율을 달성할 수 없는 경우도 생각할 수 있다.
그래서, 본 실시예에서는, 앞의 도 15와 동일한 시퀀스에 따라 플라즈마가 착화한 후, 상기 희가스와 클리닝/에칭 가스의 혼합 가스의 전체 압력을 원하는 프로세스압까지 서서히 증대시키는 것을 행한다.
예컨대, 도 15의 시퀀스에서는, 앞서도 도 13에서 설명한 바와 같이, 도 15의 압력 P1에 대응하는 착화 포인트 (1)로부터 실제의 클리닝 프로세스가 행해지는 도 15의 압력 P2에 대응하는 프로세스 포인트 (2)까지, 도 13에 있어서, 상기 포인트 (1) 내지 (7)로 둘러싸인 영역을 통과하여 전체 압력 및 가스 유량을 변화시킴으로써, 일단 착화된 플라즈마를 소멸시키는 일없이 원하는 전체 압력 및 가스 농도를 실현할 수 있다.
또한, 앞서도 설명한 바와 같이, 포인트 (4) 및 포인트 (6), 따라서 포인트 (4)로부터 포인트 (5)까지의 경로 및 포인트 (6)으로부터 포인트 (7)까지의 경로는 사용되는 CVD 장치의 컨덕턴스 밸브(13B)의 설계 및 진공 펌프(13)의 능력에 의해 결정되는 것으로, 상기 컨덕턴스 밸브(13B)의 최대 컨덕턴스를 증대시키거나 또는 진공 펌프(13)의 능력을 증대시키면 상기 포인트 (4)로부터 포인트 (5)로의 경로는 대유량측으로 이동한다. 또한, 상기 컨덕턴스 밸브(13B)의 최소 컨덕턴스를 감소시키거나 또는 진공 펌프(13)의 능력을 저하시키면 상기 포인트 (6)으로부터 포인트 (7)로의 경로는 고압측으로 이동한다.
또한, 상기 프로세스 포인트 (2)는 효율적으로 플라즈마 클리닝을 실행할 수 있는 기지의 조건 중 어느 하나로 설정하는 것이 가능하다.
즉, 상기 프로세스 포인트 (2)에 있어서, 예컨대 상기 Ar/NF3 혼합 가스 속의 NF3 농도를 80%까지 증대시킴으로써, 열산화막에 대하여 매분 2000 ㎚의 클리닝 속도를 실현하는 것이 가능하다. 이 경우에는, 상기 착화 포인트 (1)로부터 프로세스 포인트 (2)까지의 사이에 상기 Ar/NF3 혼합 가스 속의 NF3 농도를 변화시킬 필요가 있다. 이러한 경우라도, 일단 플라즈마가 착화하면 플라즈마는 유지되는 것이 확인되고 있다.
이와 같이 하여 프로세스 포인트 (2)에 도달한 후에는 통상의 클리닝 공정을 행하는 것이 가능하다. 또한, 도 1의 CVD 장치(10)에서는, 클리닝이 상기 리모트 플라즈마원(16C)으로서 사용되는 토로이달형의 플라즈마 발생 장치(20)에 있어서 플라즈마 착화가 발생한 시점에서부터 시작되고 있는 것에 주의하여야 한다.
도 13에 있어서 착화 포인트 (1)로부터 프로세스 포인트 (2)로 이행하는 경우에, 상기 Ar/NF3 혼합 가스 속의 Ar 가스와 NF3 가스의 혼합비는 고정하여도 좋고, 변화시켜도 좋다. 그 때, 본 발명에서는 플라즈마 착화가 발생한 직후부터 클리닝이 시작되고 있기 때문에, 상기 Ar/NF3 혼합 가스 속의 NF3 농도를 착화 포인트 (1)로부터 프로세스 포인트 (2)로 이행하는 동안에 증가시키는 것 뿐만 아니라, 필요에 따라 저감시키는 것도 가능하다.
또한, 도 1의 CVD 장치(10)에 있어서, 상기 도 2a 내지 도 2e 중 어느 하나의 플라즈마 발생 장치를 리모트 플라즈마원(16C)으로서 사용하여, 상기 처리 용 기(11) 중에 있어서 열산화막이나 CVD 산화막 등의 절연막의 플라즈마 에칭, 또는 W막이나 Ti막 등의 금속막의 플라즈마 에칭, 나아가서는 TiN막 등의 도전성 질화막의 플라즈마 에칭이나 폴리실리콘막의 플라즈마 에칭을 행할 수 있다.
또한, 본 실시예에 있어서도 앞의 실시예와 마찬가지로 도 1의 CVD 장치(10)에 있어서, 도 14에 도시된 바와 같이 상기 NF3 가스원(16A)에 능력이 다른 복수의 질량 유량 컨트롤러(16a, 16b)를 설치하여, 이들을 밸브에 의해 전환하여 사용하는 것도 가능하다. 도 10에서는, 마찬가지로, Ar 가스원(16B)에도 능력이 다른 복수의 질량 유량 컨트롤러(16c, 16d)가 설치되어 있고, 이들을 밸브에 의해 전환하여 사용한다.
그래서, 예컨대 도 13의 착화 포인트 (1)로부터 최초로 질량 유량 컨트롤러(16a)에 의해 Ar/NF3 혼합 가스 유량이 경로(C)를 따라 증대되고 있고, 상기 경로(C)상의 포인트 (8)에 있어서 질량 유량 컨트롤러(16a)로부터 보다 대용량의 질량 유량 컨트롤러(16b)로 전환하는 경우를 생각하면, 상기 질량 유량 컨트롤러의 전환에 따라 일시적으로 유량 및 전체 압력이 포인트 (9)까지 저하되는 경우가 있지만, 보다 대용량의 질량 유량 컨트롤러(16b)를 구동함으로써, 경로(C)상의 포인트 (10)으로 되돌릴 수 있다. 그 때, 본 실시예에 따르면, 상기 포인트 (9)가 도 9에 도시한 플라즈마 유지 영역 안에 위치하고 있는 한, 포인트 (1)에서 착화한 플라즈마가 소멸하는 일은 없다.
또한, 상기 복귀후의 포인트 (10)은 상기 경로(C)상에 한정되지 않고, 상기 포인트 (8)보다 유량이 큰 범위 내에서 상기 플라즈마 유지 영역 안의 임의의 점으로 선택할 수 있다.
이상 본 발명을 주로 토로이달형 플라즈마 발생 장치에 Ar/NF3 혼합 가스 또는 Ar/F2 혼합 가스를 공급하여 플라즈마를 형성하는 경우를 예로 설명하였지만, 본 발명에 있어서 플라즈마 발생 장치는 토로이달형 플라즈마 발생 장치에 한정되지 않고, 본 발명은 상기 제4 실시예에 있어서 설명한 바와 같이, 도 2a 내지 도 2e 또는 도 3에 도시된 다른 플라즈마 발생 장치에 있어서도 적용 가능하다.
또한, 본 발명에 있어서, 플라즈마 형성을 위해 공급되는 희석 가스는 Ar에 한정되지 않고, 본 발명은 He, Ne, Kr, Xe 등의 희가스 또는 H2O, O2, H2, N2, C2F6 등을 사용한 경우라도 성립한다. 또한, 본 발명에서 사용되는 클리닝/에칭 가스는 NF3 또는 F2에 한정되지 않고, 다른 할로겐 화합물 가스, 나아가서는 CH3COOH 등과 같이 CH3COO기를 함유하는 화합물을 사용하는 것도 가능하다.
이상, 본 발명을 바람직한 실시예에 대해서 설명하였지만, 본 발명은 이러한 특정한 실시예에 한정되지 않고, 특허청구범위에 기재한 요지 내에서 다양하게 변형 및 변경이 가능하다.
본 발명에 따르면, 토로이달형 플라즈마 발생 장치에서, 가스 통로 안에 적어도 5%의 NF3를 함유하는 Ar 가스와 NF3 가스의 혼합 가스를 공급하고, 고주파 전 력에 의해 6.65∼66.5 Pa의 전체 압력 하에서 플라즈마를 착화함으로써, Ar/NF3 혼합 가스에 대해서 플라즈마를 착화하는 것이 가능해지고, 그 결과 플라즈마를 단속적으로 형성할 필요가 있는 매엽식 기판 처리 시스템 등에 있어서, 플라즈마를 착화하고자 할 때마다 필요한 리모트 플라즈마원으로부터 NF3 가스를 퍼지하는 공정을 생략할 수 있으며, 클리닝이나 기판 처리의 작업 효율을 크게 개선할 수 있다. 또한, 일단 플라즈마가 착화하면, 플라즈마를 소멸시키는 일없이 플라즈마 착화 포인트로부터 클리닝이나 에칭이 실행되는 프로세스 포인트까지 이행하는 것이 가능해져서 효율적인 플라즈마 프로세스를 실행하는 것이 가능해진다.
또한, 본 발명에 따르면, 플라즈마 착화시에 가스압을 저감시킴으로써, 할로겐 화합물을 함유한 가스라도 낮은 전압으로 플라즈마 착화를 행하는 것이 가능해진다. 이에 따라, 플라즈마 착화 순간의 큰 임피던스 변화에 기인하여 발생하는 커다란 전압 오버 슈트의 발생 및 이것에 의한 구동 전원 또는 전극, 코일 등의 파손이 방지된다. 본 발명에서는, 이와 같이 하여 플라즈마가 착화한 후, 플라즈마를 유지한 채로 소정의 프로세스 조건까지 가스압을 증대시킴으로써, 원하는 클리닝 프로세스 또는 에칭 프로세스를 효율적으로 실행하는 것이 가능해진다. 또한, 본 발명에 따르면, 할로겐 화합물을 함유한 가스에 대하여 플라즈마가 착화되기 때문에, 특히 매엽 처리 공정과 같이, 플라즈마를 빈번히 단속하는 공정의 경우에, 플라즈마를 착화할 때마다 할로겐 화합물을 함유하는 가스를 퍼지할 필요가 없게 되어 클리닝 또는 기판 처리 효율이 크게 향상된다.

Claims (32)

  1. 처리 용기 내부를 플라즈마 여기된 클리닝 가스의 라디칼에 의해 프로세스가 가능한 제1 압력대에서 클리닝하는 클리닝 방법으로서,
    상기 처리 용기 외부에 마련된 플라즈마 발생 장치에, 클리닝 가스와 상기 클리닝 가스보다 전리 에너지가 작은 희석가스가 혼합된 혼합 가스를, 상기 제1 압력대보다 낮으며 착화가 가능한 제2 압력대에서 도입하여 플라즈마를 착화하는 공정과,
    상기 처리 용기 내부의 압력을 상기 착화가 가능한 제2 압력대로부터 상기 프로세스가 가능한 제1 압력대까지 플라즈마를 유지하면서 증대시키는 공정
    을 포함하고,
    상기 플라즈마 발생 장치는 토로이달형 플라즈마 발생 장치이며,
    상기 혼합 가스에서의 상기 클리닝 가스의 농도는 플라즈마 착화 후 증가되는 것을 특징으로 하는 클리닝 방법.
  2. 제1항에 있어서, 상기 클리닝 가스는 할로겐 화합물을 함유하는 것을 특징으로 하는 클리닝 방법.
  3. 제1항에 있어서, 상기 클리닝 가스는 NF3를 함유하는 것을 특징으로 하는 클리닝 방법.
  4. 제1항에 있어서, 상기 클리닝 가스는 F2를 함유하는 것을 특징으로 하는 클리닝 방법.
  5. 제1항에 있어서, 상기 희석 가스는 Ar, Kr, Xe 중 어느 하나로부터 선택되는 것을 특징으로 하는 클리닝 방법.
  6. 삭제
  7. 삭제
  8. 처리 용기 안에서 피처리 기판 표면을 플라즈마 여기된 에칭 가스의 라디칼에 의해 프로세스가 가능한 제1 압력대에서 에칭하는 기판 처리 방법으로서,
    상기 처리 용기 외부에 마련된 플라즈마 발생 장치에, 에칭 가스와 상기 에칭 가스보다 전리 에너지가 작은 희석 가스가 혼합된 혼합 가스를, 상기 제1 압력대보다 낮으며 착화가 가능한 제2 압력대에서 도입하여 플라즈마를 착화하는 공정과,
    상기 처리 용기 내부의 압력을 상기 착화가 가능한 제2 압력대로부터 상기 프로세스가 가능한 제1 압력대까지 플라즈마를 유지하면서 증대시키는 공정
    을 포함하고,
    상기 플라즈마 발생 장치는 토로이달형 플라즈마 발생 장치이며,
    상기 혼합 가스에서의 상기 에칭 가스의 농도는 플라즈마 착화 후 증가되는 것을 특징으로 하는 기판 처리 방법.
  9. 제8항에 있어서, 상기 에칭 가스는 할로겐 화합물을 함유하는 것을 특징으로 하는 기판 처리 방법.
  10. 제8항에 있어서, 상기 에칭 가스는 NF3를 함유하는 것을 특징으로 하는 기판 처리 방법.
  11. 제8항에 있어서, 상기 에칭 가스는 F2를 함유하는 것을 특징으로 하는 기판 처리 방법.
  12. 제8항에 있어서, 상기 희석 가스는 Ar, Kr, Xe 중 어느 하나로부터 선택되는 것을 특징으로 하는 기판 처리 방법.
  13. 삭제
  14. 삭제
  15. 처리 용기 내부를 플라즈마 여기된 클리닝 가스의 라디칼에 의해 프로세스가 가능한 제1 유량대에서 클리닝하는 클리닝 방법으로서,
    상기 처리 용기 외부에 마련된 플라즈마 발생 장치에, 클리닝 가스와 상기 클리닝 가스보다 전리 에너지가 작은 희석 가스가 혼합된 혼합 가스를 상기 제1 유량대보다 낮으며 착화가 가능한 제2 유량대에서 도입하여 플라즈마를 착화하는 공정과,
    상기 혼합 가스의 유량을 상기 착화가 가능한 제2 유량대로부터 상기 프로세스가 가능한 제1 유량대까지 플라즈마를 유지하면서 증대시키는 공정
    을 포함하고,
    상기 플라즈마 발생 장치는 토로이달형 플라즈마 발생 장치이며,
    상기 혼합 가스에서의 상기 클리닝 가스의 농도는 플라즈마 착화 후 증가되는 것을 특징으로 하는 클리닝 방법.
  16. 제15항에 있어서, 상기 클리닝 가스는 할로겐 화합물을 함유하는 것을 특징으로 하는 클리닝 방법.
  17. 제15항에 있어서, 상기 클리닝 가스는 NF3를 함유하는 것을 특징으로 하는 클리닝 방법.
  18. 제15항에 있어서, 상기 클리닝 가스는 F2를 함유하는 것을 특징으로 하는 클리닝 방법.
  19. 제15항에 있어서, 상기 희석 가스는 Ar, Kr, Xe 중 어느 하나로부터 선택되는 것을 특징으로 하는 클리닝 방법.
  20. 삭제
  21. 삭제
  22. 처리 용기 속에서 피처리 기판 표면을 플라즈마 여기된 에칭 가스의 라디칼에 의해 프로세스가 가능한 제1 유량대에서 에칭하는 기판 처리 방법으로서,
    상기 처리 용기 외부에 마련된 플라즈마 발생 장치에, 에칭 가스와 상기 에칭 가스보다 전리 에너지가 작은 희석 가스가 혼합된 혼합 가스를, 상기 제1 유량대보다 낮으며 착화가 가능한 제2 유량대에서 도입하여 플라즈마를 착화하는 공정과,
    상기 혼합 가스의 유량을 상기 착화가 가능한 제2 유량대로부터 상기 프로세스가 가능한 제1 유량대까지 플라즈마를 유지하면서 증대시키는 공정
    을 포함하고,
    상기 플라즈마 발생 장치는 토로이달형 플라즈마 발생 장치이며,
    상기 혼합 가스에서의 상기 에칭 가스의 농도는 플라즈마 착화 후 증가되는 것을 특징으로 하는 기판 처리 방법.
  23. 제22항에 있어서, 상기 에칭 가스는 할로겐 화합물을 함유하는 것을 특징으로 하는 기판 처리 방법.
  24. 제22항에 있어서, 상기 에칭 가스는 NF3를 함유하는 것을 특징으로 하는 기판 처리 방법.
  25. 제22항에 있어서, 상기 에칭 가스는 F2를 함유하는 것을 특징으로 하는 기판 처리 방법.
  26. 제22항에 있어서, 상기 희석 가스는 Ar, Kr, Xe 중 어느 하나로부터 선택되는 것을 특징으로 하는 기판 처리 방법.
  27. 삭제
  28. 삭제
  29. 진공 펌프(13)에 의해 배기되며, 피처리 기판(12A)을 유지하는 서셉터(12) 및 가열 기구를 포함하는 처리 용기(11)와,
    상기 처리 용기(11)에 원료 가스를 공급하는 원료 공급계(15)와,
    상기 처리 용기(11) 외부에 마련되는 클리닝 모듈(16)
    을 포함하고,
    상기 클리닝 모듈(16)은,
    리모트 플라즈마 발생 장치(16C)와,
    클리닝 가스를 상기 리모트 플라즈마 발생 장치(16C)를 통해 상기 처리 용기(11) 내부에 공급하는 클리닝 가스원(16A)와,
    희석 가스를 상기 리모트 플라즈마 발생 장치(16C)를 통해 상기 처리 용기(11) 내부에 공급하는 플라즈마 가스원(16B)
    을 포함하고,
    상기 리모트 플라즈마 발생 장치(16C)는 토로이달형 플라즈마 발생 장치이며,
    상기 클리닝 가스 및 상기 클리닝 가스보다 전리 에너지가 작은 희석 가스가 혼합된 혼합가스는, 착화 가능한 제2 압력대에서 상기 리모트 플라즈마 발생 장치(16C)의 내부로 도입되어 플라즈마 착화되며,
    상기 처리 용기(11)의 내부 압력은, 상기 제2 압력로부터, 상기 제2 압력대보다 높고 플라즈마 여기된 클리닝 가스의 라디칼에 의해 프로세스 가능한 제1 압력대까지 플라즈마가 유지하면서 증대되고,
    상기 혼합 가스에서의 상기 클리닝 가스의 농도는 플라즈마 착화 후 증가되는 것인 기판 클리닝 장치.
  30. 진공 펌프(13)에 의해 배기되며, 피처리 기판(12A)을 유지하는 서셉터(12) 및 가열 기구를 포함하는 처리 용기(11)와,
    상기 처리 용기(11)에 원료 가스를 공급하는 원료 공급계(15)와,
    상기 처리 용기(11) 외부에 마련되는 에칭 모듈(16)
    을 포함하고,
    상기 에칭 모듈(16)은,
    리모트 플라즈마 발생 장치(16C)와,
    에칭 가스를 상기 리모트 플라즈마 발생 장치(16C)를 통해 상기 처리 용기(11) 내부에 공급하는 에칭 가스원(16A)와,
    희석 가스를 상기 리모트 플라즈마 발생 장치(16C)를 통해 상기 처리 용기(11) 내부에 공급하는 플라즈마 가스원(16B)
    을 포함하고,
    상기 리모트 플라즈마 발생 장치(16C)는 토로이달형 플라즈마 발생 장치이며,
    상기 에칭 가스 및 상기 에칭 가스보다 전리 에너지가 작은 희석 가스가 혼합된 혼합가스는, 착화 가능한 제2 압력대에서 상기 리모트 플라즈마 발생 장치(16C)의 내부로 도입되어 플라즈마 착화되며,
    상기 처리 용기(11)의 내부 압력은, 상기 제2 압력로부터, 상기 제2 압력대보다 높고 플라즈마 여기된 에칭 가스의 라디칼에 의해 프로세스 가능한 제1 압력대까지 플라즈마가 유지하면서 증대되고,
    상기 혼합 가스에서의 상기 에칭 가스의 농도는 플라즈마 착화 후 증가되는 것인 기판 처리 장치.
  31. 진공 펌프(13)에 의해 배기되며, 피처리 기판(12A)을 유지하는 서셉터(12) 및 가열 기구를 포함하는 처리 용기(11)와,
    상기 처리 용기(11)에 원료 가스를 공급하는 원료 공급계(15)와,
    상기 처리 용기(11) 외부에 마련되는 클리닝 모듈(16)
    을 포함하고,
    상기 클리닝 모듈(16)은,
    리모트 플라즈마 발생 장치(16C)와,
    클리닝 가스를 상기 리모트 플라즈마 발생 장치(16C)를 통해 상기 처리 용기(11) 내부에 공급하는 클리닝 가스원(16A)와,
    희석 가스를 상기 리모트 플라즈마 발생 장치(16C)를 통해 상기 처리 용기(11) 내부에 공급하는 플라즈마 가스원(16B)
    을 포함하고,
    상기 리모트 플라즈마 발생 장치(16C)는 토로이달형 플라즈마 발생 장치이며,
    상기 클리닝 가스 및 상기 클리닝 가스보다 전리 에너지가 작은 희석 가스가 혼합된 혼합가스는, 착화 가능한 제2 유량대에서 상기 리모트 플라즈마 발생 장치(16C)의 내부로 도입되어 플라즈마 착화되며,
    상기 처리 용기(11)의 내부 압력은, 상기 제2 유량대로부터, 상기 제2 유량대보다 높고 플라즈마 여기된 클리닝 가스의 라디칼에 의해 프로세스 가능한 제1 유량대까지 플라즈마가 유지하면서 증대되고,
    상기 혼합 가스에서의 상기 클리닝 가스의 농도는 플라즈마 착화 후 증가되는 것인 기판 클리닝 장치.
  32. 진공 펌프(13)에 의해 배기되며, 피처리 기판(12A)을 유지하는 서셉터(12) 및 가열 기구를 포함하는 처리 용기(11)와,
    상기 처리 용기(11)에 원료 가스를 공급하는 원료 공급계(15)와,
    상기 처리 용기(11) 외부에 마련되는 에칭 모듈(16)
    을 포함하고,
    상기 에칭 모듈(16)은,
    리모트 플라즈마 발생 장치(16C)와,
    에칭 가스를 상기 리모트 플라즈마 발생 장치(16C)를 통해 상기 처리 용기(11) 내부에 공급하는 에칭 가스원(16A)와,
    희석 가스를 상기 리모트 플라즈마 발생 장치(16C)를 통해 상기 처리 용기(11) 내부에 공급하는 플라즈마 가스원(16B)
    을 포함하고,
    상기 리모트 플라즈마 발생 장치(16C)는 토로이달형 플라즈마 발생 장치이며,
    상기 에칭 가스 및 상기 에칭 가스보다 전리 에너지가 작은 희석 가스가 혼합된 혼합가스는, 착화 가능한 제2 유량대에서 상기 리모트 플라즈마 발생 장치(16C)의 내부로 도입되어 플라즈마 착화되며,
    상기 처리 용기(11)의 내부 압력은, 상기 제2 유량대로부터, 상기 제2 유량대보다 높고 플라즈마 여기된 에칭 가스의 라디칼에 의해 프로세스 가능한 제1 유량대까지 플라즈마가 유지하면서 증대되고,
    상기 혼합 가스에서의 상기 에칭 가스의 농도는 플라즈마 착화 후 증가되는 것인 기판 처리 장치.
KR1020077005759A 2003-06-27 2004-06-25 클리닝 방법 및 기판 처리 방법 KR100853388B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JPJP-P-2003-00185161 2003-06-27
JPJP-P-2003-00185160 2003-06-27
JP2003185161A JP4558285B2 (ja) 2003-06-27 2003-06-27 プラズマクリーニング方法および基板処理方法
JP2003185160A JP4558284B2 (ja) 2003-06-27 2003-06-27 プラズマ発生方法、クリーニング方法、基板処理方法、およびプラズマ発生装置

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020057024535A Division KR100797498B1 (ko) 2003-06-27 2004-06-25 플라즈마 발생 방법, 클리닝 방법 및 기판 처리 방법

Publications (2)

Publication Number Publication Date
KR20070037658A KR20070037658A (ko) 2007-04-05
KR100853388B1 true KR100853388B1 (ko) 2008-08-21

Family

ID=33554471

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020057024535A KR100797498B1 (ko) 2003-06-27 2004-06-25 플라즈마 발생 방법, 클리닝 방법 및 기판 처리 방법
KR1020077005759A KR100853388B1 (ko) 2003-06-27 2004-06-25 클리닝 방법 및 기판 처리 방법

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020057024535A KR100797498B1 (ko) 2003-06-27 2004-06-25 플라즈마 발생 방법, 클리닝 방법 및 기판 처리 방법

Country Status (3)

Country Link
US (2) US20060226119A1 (ko)
KR (2) KR100797498B1 (ko)
WO (1) WO2005001920A1 (ko)

Families Citing this family (97)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7488689B2 (en) * 2004-12-07 2009-02-10 Tokyo Electron Limited Plasma etching method
US20070117396A1 (en) * 2005-11-22 2007-05-24 Dingjun Wu Selective etching of titanium nitride with xenon difluoride
US8278222B2 (en) * 2005-11-22 2012-10-02 Air Products And Chemicals, Inc. Selective etching and formation of xenon difluoride
US20090242386A1 (en) * 2008-03-27 2009-10-01 Renato Amaral Minamisawa System and Method of Fabricating Pores in Polymer Membranes
US9710491B2 (en) * 2009-11-02 2017-07-18 Microsoft Technology Licensing, Llc Content-based image search
US20110106798A1 (en) * 2009-11-02 2011-05-05 Microsoft Corporation Search Result Enhancement Through Image Duplicate Detection
US8433140B2 (en) * 2009-11-02 2013-04-30 Microsoft Corporation Image metadata propagation
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
WO2012112187A1 (en) * 2011-02-15 2012-08-23 Applied Materials, Inc. Method and apparatus for multizone plasma generation
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
JP5525504B2 (ja) * 2011-11-08 2014-06-18 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US10115565B2 (en) * 2012-03-02 2018-10-30 Panasonic Intellectual Property Management Co., Ltd. Plasma processing apparatus and plasma processing method
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US20150167160A1 (en) * 2013-12-16 2015-06-18 Applied Materials, Inc. Enabling radical-based deposition of dielectric films
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
JP6499835B2 (ja) * 2014-07-24 2019-04-10 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9761488B2 (en) * 2015-07-17 2017-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method for cleaning via of interconnect structure of semiconductor device structure
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08288223A (ja) * 1995-04-13 1996-11-01 Toshiba Corp 薄膜の製造方法
KR19990063077A (ko) * 1997-12-15 1999-07-26 미따라이 하지메 플라즈마 처리 방법 및 기판 처리 방법
KR20030002465A (ko) * 2001-06-29 2003-01-09 삼성전자 주식회사 챔버 플라즈마 클리닝 방법
KR20030042494A (ko) * 2001-11-22 2003-06-02 삼성전자주식회사 반도체 공정챔버 세정방법

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4431898A (en) * 1981-09-01 1984-02-14 The Perkin-Elmer Corporation Inductively coupled discharge for plasma etching and resist stripping
US4662977A (en) * 1986-05-05 1987-05-05 University Patents, Inc. Neutral particle surface alteration
JPH03170678A (ja) * 1989-11-29 1991-07-24 Fujitsu Ltd 反応容器のクリーニング方法
US5288971A (en) * 1991-08-09 1994-02-22 Advanced Energy Industries, Inc. System for igniting a plasma for thin film processing
US5413670A (en) * 1993-07-08 1995-05-09 Air Products And Chemicals, Inc. Method for plasma etching or cleaning with diluted NF3
US5413870A (en) * 1994-01-03 1995-05-09 Flood; Christopher J. Decorative bathroom panel including embedded fabric
US5460689A (en) * 1994-02-28 1995-10-24 Applied Materials, Inc. High pressure plasma treatment method and apparatus
JPH07283140A (ja) * 1994-04-05 1995-10-27 Nippon Telegr & Teleph Corp <Ntt> 活性原子の供給制御方法
DE19513250C2 (de) * 1995-04-07 1999-06-10 Dornier Gmbh Verfahren und Vorrichtung zur kontinuierlichen Entfernung von Stickoxiden in Abgasen von Verbrennungsmaschinen
JPH09251935A (ja) * 1996-03-18 1997-09-22 Applied Materials Inc プラズマ点火装置、プラズマを用いる半導体製造装置及び半導体装置のプラズマ点火方法
US6286451B1 (en) * 1997-05-29 2001-09-11 Applied Materials, Inc. Dome: shape and temperature controlled surfaces
US6274058B1 (en) * 1997-07-11 2001-08-14 Applied Materials, Inc. Remote plasma cleaning method for processing chambers
US6374831B1 (en) * 1999-02-04 2002-04-23 Applied Materials, Inc. Accelerated plasma clean
JP2001020076A (ja) 1999-07-06 2001-01-23 Hitachi Kokusai Electric Inc 反応室のクリーニング方法及び装置
JP2001020078A (ja) 1999-07-08 2001-01-23 Kawasaki Steel Corp 耐穴あき性に優れた亜鉛めっき鋼板及びその製造方法
TW516076B (en) * 2000-06-13 2003-01-01 Applied Materials Inc Method and apparatus for increasing the utilization efficiency of gases during semiconductor processing
US6835278B2 (en) * 2000-07-07 2004-12-28 Mattson Technology Inc. Systems and methods for remote plasma clean
JP4432235B2 (ja) * 2000-08-30 2010-03-17 パナソニック株式会社 チャネル選択フィルタおよび受信機
JP3883396B2 (ja) * 2001-05-21 2007-02-21 東京応化工業株式会社 誘導結合プラズマ着火方法
US20030129106A1 (en) * 2001-08-29 2003-07-10 Applied Materials, Inc. Semiconductor processing using an efficiently coupled gas source
US6855906B2 (en) * 2001-10-16 2005-02-15 Adam Alexander Brailove Induction plasma reactor
JP2003151971A (ja) * 2001-11-14 2003-05-23 Mitsubishi Heavy Ind Ltd チャンバークリーニング方法、成膜装置、及び半導体装置の製造方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08288223A (ja) * 1995-04-13 1996-11-01 Toshiba Corp 薄膜の製造方法
KR19990063077A (ko) * 1997-12-15 1999-07-26 미따라이 하지메 플라즈마 처리 방법 및 기판 처리 방법
KR20030002465A (ko) * 2001-06-29 2003-01-09 삼성전자 주식회사 챔버 플라즈마 클리닝 방법
KR20030042494A (ko) * 2001-11-22 2003-06-02 삼성전자주식회사 반도체 공정챔버 세정방법

Also Published As

Publication number Publication date
US20060226119A1 (en) 2006-10-12
KR100797498B1 (ko) 2008-01-24
KR20060064569A (ko) 2006-06-13
US8574448B2 (en) 2013-11-05
WO2005001920A1 (ja) 2005-01-06
US20100252068A1 (en) 2010-10-07
KR20070037658A (ko) 2007-04-05

Similar Documents

Publication Publication Date Title
KR100853388B1 (ko) 클리닝 방법 및 기판 처리 방법
JP4889138B2 (ja) 処理チャンバのための遠隔式プラズマクリーニング方法
US10600660B2 (en) Method of selectively etching first region made of silicon nitride against second region made of silicon oxide
US5587039A (en) Plasma etch equipment
KR100843018B1 (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
US6200651B1 (en) Method of chemical vapor deposition in a vacuum plasma processor responsive to a pulsed microwave source
US20110039355A1 (en) Plasma Generation Controlled by Gravity-Induced Gas-Diffusion Separation (GIGDS) Techniques
JPH07221078A (ja) プラズマ装置およびこれを用いたプラズマ処理方法
JPH08288259A (ja) ヘリコン波プラズマ装置およびこれを用いたドライエッチング方法
US20160358784A1 (en) Plasma-enhanced etching in an augmented plasma processing system
JPH09232292A (ja) 半導体ウェーハ製造用プラズマ処理装置
JP4558285B2 (ja) プラズマクリーニング方法および基板処理方法
JP4558284B2 (ja) プラズマ発生方法、クリーニング方法、基板処理方法、およびプラズマ発生装置
JP2019186501A (ja) エッチングする方法及びプラズマ処理装置
CN109075068B (zh) 蚀刻方法
US6214720B1 (en) Plasma process enhancement through reduction of gaseous contaminants
WO2022059440A1 (ja) エッチング方法、プラズマ処理装置、及び基板処理システム
WO2022085424A1 (ja) 基板処理方法および基板処理装置
CN115943481A (zh) 基板处理方法以及基板处理装置
JPH0513373A (ja) プラズマ処理装置

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120724

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20130719

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20140721

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20150716

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20160721

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20170720

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20180801

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20190730

Year of fee payment: 12