KR100830068B1 - 반도체 공정 설비의 보론 나이트라이드/이트리아 복합체부품 및 그 제조방법 - Google Patents

반도체 공정 설비의 보론 나이트라이드/이트리아 복합체부품 및 그 제조방법 Download PDF

Info

Publication number
KR100830068B1
KR100830068B1 KR1020037008515A KR20037008515A KR100830068B1 KR 100830068 B1 KR100830068 B1 KR 100830068B1 KR 1020037008515 A KR1020037008515 A KR 1020037008515A KR 20037008515 A KR20037008515 A KR 20037008515A KR 100830068 B1 KR100830068 B1 KR 100830068B1
Authority
KR
South Korea
Prior art keywords
coating film
boron nitride
yttria
composite
plasma
Prior art date
Application number
KR1020037008515A
Other languages
English (en)
Other versions
KR20030066756A (ko
Inventor
오도넬로버트제이.
창크리스토퍼씨.
도어티존이.
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20030066756A publication Critical patent/KR20030066756A/ko
Application granted granted Critical
Publication of KR100830068B1 publication Critical patent/KR100830068B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Other Surface Treatments For Metallic Materials (AREA)

Abstract

플라즈마 챔버와 같은 반도체 공정 설비에서 보론 나이트라이드/이트리아 복합체 함유 표면을 포함한 부식 방지 부품과 그 제조방법을 제공한다.

Description

반도체 공정 설비의 보론 나이트라이드/이트리아 복합체 부품 및 그 제조방법{Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof}
본 발명은 반도체 공정 설비와 그 부품들의 부식 저항성을 개선하는 방법에 관한 것이다.
반도체 공정 분야에서, 진공 공정 챔버들이 기판 상 물질의 식각 및 화학기상증착(CVD)을 위해 일반적으로 사용되고 있으며, 이는 진공 챔버로 식각가스 또는 증착가스를 공급하고 이 가스를 플라즈마 상태로 활성화시키기 위해 가스에 RF 필드를 적용함으로써 수행된다. 평행판, 유도 결합 플라즈마(ICP)라고도 불리는 트랜스포머 결합 플라즈마(TCPTM) 및 전자-사이클로트론 공명(ECR) 반응기들과 그 부품들이 공동소유인 미국 특허 제4,340,462호, 제4,948,458호, 제5,200,232호 및 제5,820,723호에 개시되어 있다. 이러한 반응기들 내에서 플라즈마 환경이 갖는 부식적인 특성과 파티클 및/또는 중금속 오염의 최소화라는 요구로 인하여, 이러한 설비의 부품들이 높은 부식 저항성을 갖는 것이 매우 바람직하다.
반도체 기판들을 처리하는 동안에 기판들은 전형적으로 진공 챔버 내에서 기 계적인 클램프 및 정전기적 클램프(ESC)에 의해 기판 홀더 상에 위치한다. 이러한 클램핑 시스템들 및 그 부품들의 예를 공동소유인 미국 특허 제5,262,029호 및 제5,838,529호에서 찾을 수 있다. 공정가스는 가스 노즐, 가스 링, 가스 분산판 등과 같은 여러가지 방식에 의해 챔버 내로 공급될 수 있다. 유도 결합 플라즈마 반응기 및 그 부품들을 위한 온도 제어된 가스 분산판의 예는 공동소유인 미국 특허 제5,863,376호에 나와 있다. 플라즈마 챔버 설비뿐만 아니라, 반도체 기판들을 처리하는 데에 이용되는 다른 설비는 이송 메커니즘, 가스 공급 시스템, 라이너(liner), 리프트 메커니즘(lift mechanism), 로드락(load lock), 도어 메커니즘(door mechanism), 로봇암(robotic arm), 조임쇄(fastener)와 같은 것을 포함한다. 이러한 설비의 부품들은 반도체 공정과 관련된 다양한 부식성 조건에 처해진다. 나아가, 실리콘 웨이퍼와 같은 반도체 기판 그리고 평판 디스플레이(flat panel display)에 사용되는 유리 기판과 같은 유전체를 처리하기 위한 높은 청정 요건(high purity requirement)의 관점에서, 개선된 부식 저항성을 가지는 부품들이 이러한 환경에서 요구되고 있다.
알루미늄 및 알루미늄 합금이 플라즈마 반응기들의 벽체, 전극, 기판 지지대, 조임쇄 및 다른 부품들로써 주로 사용되고 있다. 이러한 금속 부품들의 부식을 방지하기 위하여, 여러가지 코팅막으로 알루미늄 표면을 코팅하기 위한 다양한 기술들이 제안되어 왔다. 예를 들어, 미국 특허 제5,641,375호는 벽체에 대한 플라즈마 침식 및 마모를 줄이기 위하여 알루미늄 챔버 벽체를 양극산화(anodizing)하는 것을 개시하고 있다. 상기 '375호 특허에서는 결국에는 양극산화된 층이 스 퍼터되거나 식각되어 제거되므로 챔버를 교체해야 한다고 언급하고 있다. 미국 특허 제5,895,586호는 알루미늄 물질 상에 Al2O3, AlC, TiN, TiC, AlN 등의 부식 저항성 막을 형성하는 기술을 일본 특허 공개 번호 제62-103379호에서 찾아볼 수 있다고 언급하고 있다.
미국 특허 제5,680,013호는 식각 챔버의 금속 표면 상에 Al2O3를 화염 분무하는 기술이 미국 특허 제4,491,496호에 개시되어 있다고 언급하고 있다. 상기 '013호 특허는 알루미늄과, 알루미늄 산화막과 같은 세라믹 코팅막 사이의 열팽창계수의 차이는 열사이클에 기인한 코팅막의 크랙 및 부식 환경 안에서 코팅막의 긍극적인 파괴를 유발한다고 언급하고 있다. 챔버 벽체를 보호하기 위하여, 미국 특허 제5,366,585호, 제5,798,016호 및 제5,885,356호는 라이너 설비를 제안하고 있다. 예를 들어, 상기 '016 특허는 세라믹, 알루미늄, 스틸 및/또는 석영으로 이루어지고 알루미늄 산화물, Sc2O3 또는 Y2O3로 된 코팅막을 갖고 있는 라이너를 개시하고 있다. 이 중에서는 기계가공성이 용이하다는 이유로 알루미늄이 선호되고, 플라즈마로부터 알루미늄을 보호하기 위한 알루미늄 코팅재로서 Al2O3가 선호된다. 상기 '585 특허는 고체 알루미나로부터 제작되고 적어도 0.005 인치의 두께를 가지며 자유 기립하는 세라믹 라이너를 개시하고 있다. 상기 '585 특허는 또한 하부 알루미늄을 소모함이 없이 증착된 세라믹층의 사용은 화염 분무 또는 플라즈마 분무된 알루미늄 산화물에 의해 제공될 수 있음을 언급하고 있다. 상기 '356 특허는 웨이퍼 페디스탈(pedestal)을 위해 알루미나로 된 세라믹 라이너 및 알루미늄 나이트라이 드로 된 세라믹 쉴드(shield)를 개시하고 있다. 미국 특허 제5,885,356호는 CVD 챔버 내에서 사용하기 위한 세라믹 라이너 물질을 개시한다.
다양한 코팅이 반도체 공정 설비의 금속 부품들을 위해 제안되고 있다. 예를 들면, 미국 특허 제5,879,523호는 열 분무 Al2O3 코팅막이 스테인리스 스틸 또는 알루미늄과 같은 금속에 도포되고 그 사이에는 선택적 NiAlx 본드 코팅막을 갖는 스퍼터링 챔버에 대하여 개시하고 있다. 미국 특허 제5,522,932호 및 제5,891,253호는 기판의 플라즈마 처리에 사용되는 설비의 금속 부품과의 사이에 선택적 니켈 코팅막을 갖게 형성되는 로듐 코팅막에 관하여 개시하고 있다. 미국 특허 제5,680,013호는 플라즈마 공정 챔버 내의 금속 표면용 비접합(non-bonded) 세라믹 보호층에 관하여 개시하고 있으며, 바람직한 세라믹 물질은 AlN 소결체이고, 덜 바람직한 물질은 알루미늄 산화물, 마그네슘 플루오라이드(fluoride) 및 마그네슘 산화물을 포함한다고 하고 있다. 미국 특허 제5,904,778호는 챔버 벽체, 챔버 뚜껑(roof), 또는 웨이퍼 주변의 칼러(collar)로 사용하기 위한 자유 기립하는 SiC 상의 SiC CVD 코팅막을 개시하고 있다.
샤워헤드 가스 분산 시스템들과 같은 플라즈마 반응기 부품들과 관련하여 샤워헤드의 물질에 관한 다양한 제안들이 이루어져 왔다. 예를 들어, 공동소유인 미국 특허 제5,569,356호는 실리콘, 흑연 또는 실리콘 카바이드로 된 샤워헤드를 개시하고 있다. 미국 특허 제5,494,713호는 알루미늄 전극 상에 알루마이트 (alumite)막을 형성하고 상기 알루마이트막 상에 실리콘 산화막 또는 실리콘 나이트라이드와 같은 실리콘 코팅막을 형성하는 것에 관하여 개시하고 있다. 상기 '713 특허는 알루미늄 코팅막, 알루마이트 코팅막 및 실리콘 코팅막이 서로 다른 선형 팽창 계수를 가지며, 실리콘 코팅막의 두께가 너무 두꺼우면 크랙이 쉽게 발생하기 때문에, 실리콘 코팅막의 두께가 10㎛ 이하이어야 하고, 바람직하게는 약 5㎛라고 하고 있다. 그러나, 5㎛ 미만의 두께는 알루미늄 기판의 보호가 충분하지 않기 때문에 바람직하지 않다고 하고 있다. 미국 특허 제4,534,816호는 스테인리스 스틸, 알루미늄, 구리 등으로 이루어진 상부 샤워헤드 전극에 관하여 개시하고 있다. 미국 특허 제4,612,077호는 마그네슘으로 이루어진 샤워헤드 전극에 관하여 개시하고 있다. 미국 특허 제5,888,907호는 비정질 탄소, SiC 또는 Al으로 된 샤워헤드 전극을 개시하고 있다. 미국 특허 제5,006,220호와 제5,022,979호는 고순도 SiC의 표면층을 제공하기 위해 전체가 SiC로 제작되거나, CVD로 증착된 SiC가 코팅된 탄소 베이스로 제작된 샤워헤드 전극을 개시하고 있다.
반도체 공정 설비의 부품들에 관한 고순도 및 부식 저항성 요구의 견지에서, 이러한 부품들을 위해 사용되는 물질 및/또는 코팅물의 개선이 관련 업계에서 요구되고 있다. 더구나, 챔버 물질과 관련하여 플라즈마 반응 챔버의 서비스 수명을 증가시키고, 따라서 장치의 휴지시간(down time)을 감소시킬 수 있는 재질들이 반도체 웨이퍼에 대한 공정 비용을 저감시키는 데 있어서 이득이 된다.
본 발명의 일 관점에 따라, 반도체 공정 설비 부품의 표면 상에 부식 방지 보론 나이트라이드/이트리아 복합체 함유 코팅막을 형성하는 방법이 제공된다. 이 방법은 외측의 부식 방지 표면을 형성할 수 있도록 반도체 공정 설비 부품 표면에 보론 나이트라이드/이트리아 복합체 함유 코팅막을 증착하는 단계를 포함한다. 부식 방지 표면이라 함은, 플라즈마 챔버 가스들에 의한 코팅막의 부식에 저항하면서, 하부의 물질들을 플라즈마 챔버 가스들의 부식성 효과로부터 보호하는 표면 코팅을 의미한다. 코팅되어야 할 공정 설비 부품의 하부 표면은 금속, 세라믹 또는 폴리머 물질을 포함할 수 있는데 바람직한 물질은 양극산화된 알루미늄이다.
바람직한 실시예에 있어서, 하나 이상의 중간 금속, 세라믹 또는 폴리머 코팅막이 반도체 공정 설비의 표면과 보론 나이트라이드/이트리아 복합체 함유 코팅막 사이에 사용될 수 있다. 코팅될 금속 표면은 양극산화되거나 양극산화되지 않은 알루미늄, 스테인리스 스틸, 몰리브덴 또는 다른 금속과 같은 내화 금속 또는 플라즈마 챔버 안에서 사용되는 합금을 포함한다. 코팅될 세라믹 표면은 알루미나, SiC, AlN, Si3N4, BC 또는 플라즈마와 양립할 수 있는 다른 세라믹 물질을 포함한다. 코팅될 폴리머 표면은 Teflon?과 같은 플루오로폴리머(fluoropolymer), Vespel?과 같은 폴리이미드, 및 200℃에 달하는 온도에서 플라즈마 챔버 안에서 유용한 다른 폴리머 물질을 포함한다.
본 발명의 두번째 관점에 따라, 금속 부품이 제공된다. 상기 부품은, (a) 금속 표면; (b) 상기 금속 표면 상의 선택적인 제 1 중간 코팅막; (c) 상기 제 1 중간 코팅막 또는 상기 금속 표면 상의 선택적인 제 2 중간 코팅막; 및 (d) 부식 저항성 외측 표면을 형성하는, 상기 부품 상의 보론 나이트라이드/이트리아 복합체 함유 코팅막을 포함한다. 상기 제 1 및 제 2 중간 코팅막 각각은 금속 또는 금속의 합금, 세라믹, 폴리머 또는 플라즈마 챔버 반응기 안에서 사용되는 물질의 복합체 또는 혼합물일 수 있다.
본 발명의 다른 관점에 따라, 보론 나이트라이드/이트리아 복합체 함유 물질로 만들어진 반도체 공정 설비 부품이 제공된다. 상기 부품은 이러한 설비 안에서 사용되는 하나 이상의 코팅막을 포함할 수 있다.
본 발명의 목적 및 장점은 다음의 도면과 관련하여 후술하는 바람직한 실시예의 상세한 설명으로부터 더욱 분명해질 것이다.
도 1은 본 발명에 따라 부식 방지 코팅막으로 코팅된 부품을 가지는 플라즈마 반응 챔버의 개략적인 단면도이다.
도 2는 도 1의 A 부분에 있는 부식 방지 코팅막을 상세하게 도시한 도면이다.
본 발명은 부식 방지 코팅막을 사용함으로써, 플라즈마 공정 반응 챔버의 부품들과 같은 반도체 공정 설비들의 금속, 세라믹 및 폴리머 표면에 부식 저항성을 제공하는 효과적인 방법을 제공한다. 이러한 부품들은 챔버 벽체, 기판 지지대, 샤워헤드, 배플(baffle), 링, 노즐 등을 포함하는 가스 분산 시스템, 조임쇄, 가열 부재, 플라즈마 스크린, 라이너, 로봇암, 조임쇄와 같은 운송 모듈 부품들, 챔버 내벽 및 외벽체 등을 포함한다.
본 발명은 금속, 세라믹 또는 폴리머 표면을 갖는 모든 형태의 부품들에 적용될 수 있지만, 설명의 편의를 위하여, 본 발명은 본 명세서에 그 전부가 원용되어 통합된 미국 특허 제5,820,723호에서 설명된 장치와 관련하여 더욱 상세하게 설명될 것이다.
도 1은 He 후면 냉각을 하는 동안 기판(60)에 RF 바이어스를 제공할 뿐만 아니라 기판(60)에 정전기적 클램핑 힘을 제공하는 기판 홀더(70)를 포함하는 진공 공정 반응 챔버(10)를 도시한 도면이다. 포커스 링(72)은 상기 기판 상의 영역 안에 플라즈마를 가둔다. 고밀도 플라즈마를 제공하기 위하여 적절한 RF 소스에 의해 전력이 가해지는 안테나(40)와 같이 챔버에 고밀도(예컨대, 1011∼1012 이온/㎤) 플라즈마를 유지하기 위한 에너지 소스가 반응 챔버(10)의 상단에 구비된다. 상기 챔버는 챔버의 바닥에서 가운데 위치한 진공 포트(vacuum port)(20)를 통해 챔버를 배기함에 의해 챔버의 내부(30)를 소정 압력(예컨대, 50mTorr 미만, 전형적으로는 1∼20mTorr)으로 유지하기 위한 적절한 진공 펌핑 장치를 포함한다.
안테나(40)와 공정 챔버(10)의 내부 사이에 제공된 균일한 두께의 실질적으로 편평한 절연창(50)은 공정 챔버(10)의 상부에서 진공벽을 형성한다. 가스 분산판(52)은 창(50) 하부에 제공되고, 챔버(10)에 가스 공급부(gas supply)로부터 공정가스를 운반하기 위한 원형 홀과 같은 개구부를 포함한다. 원뿔형 라이너(54)는 가스 분산판으로부터 연장되어 기판 홀더(70)를 둘러싼다.
동작시에, 실리콘 웨이퍼(60)와 같은 반도체 기판은 기판 홀더(70) 상에 위치되고, He 후면냉각이 사용되는 동안 정전기적 클램프(74)에 의해 고정되는 것이 일반적이다. 공정가스는 창(50)과 가스 분산판(52) 사이의 간격(gap)을 통해 공정가스를 통과시켜 진공 공정 챔버(10)에 공급한다. 적절한 가스 분산판 설비(예컨대, 샤워헤드)는 공동소유인 미국 특허 제5,824,605호, 제6,048,798호, 및 제5,863,376호에 개시되어 있고, 그 개시 내용은 여기에 원용되어 통합된다. 예를 들면, 도 1에서 창 및 가스 분산판 설비는 편평하고 균일한 두께인데, 편평하지 않은 모양 및/또는 불균일한 두께 형상이 창 및/또는 가스 분산판에 사용될 수 있다. 고밀도 플라즈마는 안테나(40)에 적절한 RF 파워를 인가함으로써 기판과 창 사이의 공간에서 점화된다.
플라즈마에 노출되고 부식의 징후를 보이는 양극산화되거나 양극산화되지 않은 알루미늄 벽체와 같은 챔버 벽체(28)와, 기판 홀더(70), 조임쇄(56), 라이너(54) 등과 같은 금속, 세라믹 또는 폴리머 부품들은 본 발명에 따른 코팅을 위한 후보들이며, 따라서 플라즈마 챔버를 작동시키는 동안에 그것들을 마스크할 필요가 없다. 코팅될 금속 및/또는 합금의 예는 양극산화되거나 양극산화되지 않은 알루미늄 및 그 합금, 스테인리스 스틸, 텅스텐(W)과 몰리브덴(Mo)과 같은 내화 금속 및 그 합금, 구리 및 그 합금 등이다. 코팅될 세라믹 표면의 예는 알루미나, SiC, AlN, Si3N4, BC 및 TiO2를 포함한다. 코팅될 폴리머 물질로서 상업적으로 입수할 수 있는 것의 예는 Teflon®과 같은 플루오로폴리머, Vespel®과 같은 폴리이미드, 그리고 200℃에 달하는 온도에서 플라즈마 챔버 안에서 유용한 다른 폴리머 물질을 포함한다. 바람직한 실시예에 있어서, 코팅될 부품은 양극산화되거나 양극산화되지 않은 알루미늄 표면(29)을 갖는 챔버 벽체(28)이다. 본 발명에 따른 코팅막은 조성, 그레인(grain) 구조 또는 표면 조건에 관계없이 알루미늄 합금의 사용을 허용한다(따라서, 고순도의 알루미늄뿐만 아니라 좀더 경제적인 알루미늄 합금의 사용을 허용한다). 다음의 논의에서, 코팅될 부품의 예는 도 2에 도시된 바와 같이, 제 1 선택적인 중간 코팅막(80), 제 2 선택적인 중간 코팅막(90) 및 보론 나이트라이드/이트리아 복합체 함유 코팅막(100)을 갖는 알루미늄 챔버 벽체(28)이다.
코팅된 물질이 접착이 잘 되게 하기 위하여, 알루미늄 기판(28)의 표면은 코팅을 하기 전에 산화막 또는 그리스(grease)와 같은 표면 물질을 제거하도록 철저히 세정하는 것이 바람직하다. 뿐만 아니라, 원하는 코팅막을 도포하기 전에, 기판 표면을 거칠게 하고, 기판 표면을 양극산화하고 양극산화된 기판 표면을 다시 거칠게 하는 것이 특히 바람직하다.
본 발명에 따라, 알루미늄 측벽(28) 상에 제 1 중간 코팅막(80)을 통상적인 방법으로 선택적으로 코팅한다. 선택적인 제 1 중간 코팅막(80)은 기판에 접착될 수 있고, 또 다음에 설명하는 것과 같이 선택적인 제 2 중간 코팅막(90) 또는 보론 나이트라이드/이트리아 복합체 함유 코팅막을 형성하기 전에 더 처리될 수 있을 정도로 충분히 두껍다. 제 1 중간 코팅막(80)은 적어도 약 0.001 인치와 같은 두께, 바람직하게는 약 0.001과 약 0.25 인치 사이의 두께, 보다 바람직하게는 0.001과 0.1 인치 사이의 두께, 가장 바람직하게는 0.001 인치와 0.05 인치 사이의 두께 중에 적당한 두께를 가질 수 있다.
제 1 중간 코팅막(80)을 알루미늄 기판(28) 상에 증착한 후, 상기 코팅막을 적절한 기술로 송풍(blast)하거나 거칠게 한 다음, 제 2 선택적인 코팅막(90) 또는 보론 나이트라이드/이트리아 복합체 함유 코팅막(100)을 덧씌운다. 거칠어진 막(80)은 특히 양호한 결합을 제공한다. 바람직하게는, 제 2 중간 코팅막(90)이 코팅막(80)에 높은 기계적 압축 강도를 부여하고 상기 코팅막(90)에 균열(fissure)이 형성되는 것을 최소화한다.
선택적인 제 2 중간 코팅막(90)은 제 1 중간 코팅막(80)에 접착될 수 있고, 또 다음에 설명하는 것과 같이 추가적인 중간 코팅막 또는 외측 보론 나이트라이드/이트리아 복합체 함유 코팅막(100)을 형성하기 전에 더 처리될 수 있을 정도로 충분히 두껍다. 제 2 중간 코팅막(90)은 적어도 약 0.001 인치와 같은 두께, 바람직하게는 약 0.001과 약 0.25 인치 사이의 두께, 보다 바람직하게는 0.001과 0.1 인치 사이의 두께, 가장 바람직하게는 0.001 인치와 0.05 인치 사이의 두께 중에 적당한 두께를 가질 수 있다.
제 1 및 제 2 중간 코팅막은 종래의 플라즈마 공정 챔버에 사용되는 물질들 중에서 하나 이상으로 만들 수 있다. 이러한 물질들의 예는 금속, 세라믹 및 폴리머를 포함한다. 특히 바람직한 금속은 하나 이상의 내화 금속, 이러한 금속을 함유하는 복합체 또는 합금을 포함한다. 특히 바람직한 세라믹은 Al2O3, SiC, Si3N4, BC, AlN, TiO2 등을 포함한다. 특히 바람직한 폴리머는 Teflon®과 같은 플루오로폴리머, Vespel®과 같은 폴리이미드, 및 200℃에 달하는 온도에서 플라즈마 챔버 안에서 유용한 다른 폴리머 물질을 포함한다. 중간막으로 사용할 수 있는 특정 물질은 또한 플러렌(fullerene) 함유 물질; 다이아몬드 및 유사-다이아몬드 물질과 같은 경질 탄소 함유 물질; 예컨대 하프늄, 탄탈륨, 티타늄 및/또는 실리콘의 카바이드, 보라이드(boride), 나이트라이드 및/또는 카보나이트라이드(carbonitride); 보론 카바이드; 보론 나이트라이드; 보론 카보나이트라이드; 지르코니아; 이트리아 또는 여기에 언급한 물질들의 혼합물을 포함한다.
선택적일 수 있는 제 1 및 제 2 중간막(80, 90)은 코팅막이 원하는 특성에 따라 동일하거나 상이하도록 전술한 물질 중의 어떤 것이라도 될 수 있다. 동일하거나 다른 물질로 된 제 3, 제 4 또는 제 5 중간 코팅막과 같은 추가적인 중간 코팅막도 사용될 수 있을 것이다.
보론 나이트라이드/이트리아 복합체 함유 코팅막(100)은 선택적인 제 2 중간 코팅막(90) 또는 선택적인 제 1 중간 코팅막(80) 또는 알루미늄 기판(28) 상에 증착된다. 보론 나이트라이드/이트리아 복합체 함유 코팅막의 두께는 적어도 0.001 인치, 바람직하게는 약 0.001 내지 약 0.25 인치 사이, 보다 바람직하게는 약 0.001 내지 약 0.1 인치 사이, 그리고 가장 바람직하게는 0.001 내지 0.05 인치 사이이다. 보론 나이트라이드/이트리아 복합체 함유 코팅막(100)의 두께는 반응기(예를 들어, 식각, CVD 등) 안에서 접할 플라즈마 환경과 양립할 수 있도록 선택될 수 있다. 이러한 보론 나이트라이드/이트리아 복합체 함유 코팅막은 반응 챔버와 앞에서 논의한 부품들의 전체 표면에 또는 부분적으로 코팅될 수 있다. 가장 바람직하게는, 보론 나이트라이드/이트리아 복합체 코팅막은 부식성 챔버 가스에 대해 장시간의 노출에도 하부의 막들, 특히 기판의 부식 및/또는 침식 보호를 제공하기에 유용한 두께이다.
본 발명의 보론 나이트라이드/이트리아 복합체 함유 코팅막(100)은 보론 나이트라이드와 이트리아를 모두 함유한다. 복합체의 보론 나이트라이드 요소는 육방정(hexagonal), 입방정(cubic) 또는 그 혼합물일 수 있다. 바람직하기로는 보론 나이트라이드 요소가 100% 입방정상이거나, 60 중량%, 바람직하게는 80 중량%, 가장 바람직하게는 90 중량%를 넘는 입방정상의 분율을 함유한다. 보론 나이트라이드의 입방정상은 훨씬 높은 밀도를 가지고, 매우 경질이며, 고온과 고압에서 육방정상으로부터 만들 수 있다. 대신에, 보론 나이트라이드는 100% 육방정상일 수 있다.
복합체의 이트리아 요소는 전체 복합체의 약 1%와 99% 사이, 보다 바람직하게는 약 40과 99% 사이, 그리고 더욱 바람직하게는 약 60과 80% 사이의 양으로 존재할 수 있다. 보론 나이트라이드 요소는 전체 복합체의 약 1%와 99% 사이, 보다 바람직하게는 약 1과 60% 사이, 그리고 더욱 바람직하게는 약 20과 40% 사이의 양으로 존재할 수 있다.
본 발명의 복합체는 전체 복합체 양의 약 50% 혹은 그 이상에 달하는 다른 보호성 물질을 포함할 수 있다. 바람직하게, 보론 나이트라이드, 이트리아 또는 지르코니아가 그러한 복합체 안에서 연속적인 기지상(matrix phase)을 형성한다. 보다 바람직하게는, 본 발명의 복합체는 약 1 내지 40 중량%의 추가적인 물질, 보다 바람직하게는 약 1 내지 20 중량%의 추가적인 물질, 그리고 더욱 바람직하게는 약 1 내지 10 중량%의 추가적인 물질을 복합체를 기반으로 하여 포함한다.
이러한 물질은 종래의 플라즈마 공정 챔버에 사용되는 물질 중 하나 이상을 포함할 수 있다. 이러한 물질의 예는 하나 이상의 금속, 세라믹 그리고 폴리머를 포함한다. 특히 바람직한 금속은 하나 이상의 내화 금속, 이러한 금속을 함유하는 복합체 또는 합금을 포함한다. 특히 바람직한 세라믹은 Al2O3, SiC, Si3N 4, BC, AlN, TiO2 등을 포함한다. 특히 바람직한 폴리머는 Teflon?과 같은 플루오로폴리머, Vespel?과 같은 폴리이미드, 및 200℃에 달하는 온도에서 플라즈마 챔버 안에서 유용한 다른 폴리머 물질을 포함한다. 가장 바람직한 물질은 보론 나이트라이드/이트리아 복합체 단독, 혹은 예컨대 하프늄, 탄탈륨, 티타늄 및/또는 실리콘의 카바이드, 보라이드, 나이트라이드 및/또는 카보나이트라이드와 조합한 것; 보론 카바이드; 보론 나이트라이드; 보론 카보나이트라이드; 지르코니아; 이트리아 또는 여기에 언급한 물질들의 혼합물을 포함한다.
본 발명의 보론 나이트라이드/이트리아 복합체 함유 코팅막(100)은 열 분무, 플라즈마 분무, 화학기상증착, 승화, 레이저 증기화, 스퍼터링, 스퍼터링 증착, 이온 빔 코팅, 분무 코팅, 딥(dip) 코팅, 증발, 롤-온(roll-on) 코팅 또는 브러쉬(brush) 코팅 등과 같이 잘 알려진 코팅 기술을 이용하여 원하는 표면에 증 착할 수 있다. 이러한 공지의 기술을 이용하여 원하는 표면 위에 다른 물질로 된 중간막을 개재시키거나 개재시키지 않고 하나 이상의 보론 나이트라이드/이트리아 복합체 함유 코팅막을 증착할 수 있다.
본 발명의 다른 관점에서, 보론 나이트라이드/이트리아 복합체 함유 물질로 만들어진 반도체 공정 설비 부품이 제공된다. 상기 부품은 이러한 설비 안에 사용되는 하나 이상의 코팅막을 포함할 수 있다.
본 발명의 보론 나이트라이드/이트리아 복합체 함유 코팅막 또는 부품들을 사용하여, 초경, 부식 방지 표면을 얻는 것이 바람직하다. 이러한 코팅막이나 부품은 파티클 오염, 부식, 금속 오염 또는 휘발성 식각 부산물이 거의 또는 전혀 없도록, 공정 챔버 가스와 반응하는 물질을 포함하지 않고 화학적으로 불활성인 것이 바람직하다.
반응 챔버에서 처리되는 반도체 기판의 금속 오염을 방지하기 위하여, 보론 나이트라이드/이트리아 복합체 함유 코팅막 또는 부품은 플라즈마와 직접 접촉하는 부분 또는 라이너 등과 같은 챔버 부품 뒤에 있는 부분처럼 플라즈마 환경에 노출되거나 노출되지 않는 영역 상에 놓이는 것이 바람직하다. 전이 금속 먼지, 즉, 주기율표 안의 21번부터 29번까지의 원소(스칸듐부터 구리), 39번부터 47번까지의 원소(이트륨부터 은), 57번부터 79번까지의 원소(란타늄부터 금) 중의 하나 이상 그리고 89번(악티늄)으로부터 알려진 모든 원소들을 제한하거나 차단하는 것이 특히 바람직하다. 따라서, 본 발명의 장점 중의 하나에 따르면, 침식 또는 부식에 의한 먼지 발생을 억제함으로써 불만족스러운 식각 또는 증착된 막에서의 바람직하 지 않은 핀홀(pinhole)의 형성이 감소된다.
상세한 실시예를 참조하여 본 발명을 상세하게 설명하였으나, 첨부된 청구범위를 벗어남이 없이 다양한 변화 및 변형이 만들어질 수 있고, 균등물이 사용될 수 있음은 본 발명의 기술분야에서 통상의 지식을 가진 자에게 자명하다.
본 발명의 보론 나이트라이드/이트리아 복합체 함유 코팅막 또는 부품들을 사용하여, 초경, 부식 방지 표면을 달성할 수 있다. 따라서, 반도체 공정 설비의 부품들을 위한 고순도 및 부식 저항성에 대한 요구를 충족시킬 수 있다. 챔버 물질과 관련하여 플라즈마 반응 챔버의 서비스 수명을 증가시키고, 따라서 장치의 휴지시간을 감소시킬 수 있어 반도체 웨이퍼에 대한 공정 비용을 저감시키는 데 있어서 이득이 된다. 침식 또는 부식에 의한 먼지 발생을 억제함으로써 불만족스러운 식각 또는 증착된 막에서의 바람직하지 않은 핀홀의 형성이 감소된다.

Claims (35)

  1. 외측 부식 방지 표면을 형성하기 위해 반도체 공정 설비 부품 상에 보론 나이트라이드/이트리아 복합체 함유 코팅막을 증착하는 단계를 포함하는, 반도체 공정 설비 부품의 표면 코팅 방법.
  2. 제 1 항에 있어서,
    상기 부품의 상기 표면은 금속, 세라믹 또는 폴리머 표면을 포함하는, 반도체 공정 설비 부품의 표면 코팅 방법.
  3. 제 2 항에 있어서,
    상기 표면은 강산화된 알루미늄인, 반도체 공정 설비 부품의 표면 코팅 방법.
  4. 제 1 항에 있어서,
    상기 보론 나이트라이드/이트리아 복합체 함유 코팅막을 증착하는 단계 이전에, 상기 부품의 상기 표면 상에 제 1 중간 코팅막을 증착하는 단계를 더 포함하는, 반도체 공정 설비 부품의 표면 코팅 방법.
  5. 제 4 항에 있어서,
    상기 제 1 중간 코팅막은 금속, 세라믹 또는 폴리머 코팅막을 포함하는, 반도체 공정 설비 부품의 표면 코팅 방법.
  6. 제 1 항에 있어서,
    상기 부품은 플라즈마 에칭 챔버의 챔버 벽체를 포함하는, 반도체 공정 설비 부품의 표면 코팅 방법.
  7. 제 1 항에 있어서,
    상기 부품의 표면 상에 거친 표면을 형성하는 단계를 더 포함하며,
    상기 보론 나이트라이드/이트리아 복합체 함유 코팅막은 상기 거친 표면 상에 증착되는, 반도체 공정 설비 부품의 표면 코팅 방법.
  8. 제 1 항에 있어서,
    상기 보론 나이트라이드/이트리아 복합체 함유 코팅막은 입방정상, 육방정상 또는 그 혼합물을 포함하는, 반도체 공정 설비 부품의 표면 코팅 방법.
  9. 제 1 항에 있어서,
    상기 보론 나이트라이드/이트리아 복합물 함유 코팅막은 보론 나이트라이드 또는 이트리아와는 다른 하나 이상의 재료를 포함하는, 반도체 공정 설비 부품의 표면 코팅 방법.
  10. 제 9 항에 있어서,
    상기 다른 재료는 금속, 세라믹 또는 폴리머인, 반도체 공정 설비 부품의 표면 코팅 방법.
  11. 제 10 항에 있어서,
    상기 다른 재료는 지르코니아인, 반도체 공정 설비 부품의 표면 코팅 방법.
  12. 제 10 항에 있어서,
    상기 다른 재료는 티타늄 카바이드, 티타늄 보라이드, 티타늄 나이트라이드, 실리콘 카바이드, 실리콘 보라이드, 실리콘 나이트라이드 또는 그 혼합물을 포함하는, 반도체 공정 설비 부품의 표면 코팅 방법.
  13. 제 9 항에 있어서,
    상기 이트리아는 상기 복합체의 60 내지 80 중량% 로 포함되는, 반도체 공정 설비 부품의 표면 코팅 방법.
  14. 제 13 항에 있어서,
    상기 보론 나이트라이드는 상기 복합체의 20 내지 40 중량% 로 포함되는, 반도체 공정 설비 부품의 표면 코팅 방법.
  15. 제 1 항에 있어서,
    상기 보론 나이트라이드/이트리아 복합체 함유 코팅막은 화학기상증착, 플라즈마 분무 코팅, 승화, 레이저 기화, 스퍼터링, 스퍼터링 증착, 이온 빔 코팅, 분무 코팅, 딥 코팅, 증발 코팅, 롤-온 코팅 또는 브러쉬 코팅에 의해 증착되는, 반도체 공정 설비 부품의 표면 코팅 방법.
  16. (a) 표면; 및
    (b) 외측 부식 방지 표면을 형성하는, 상기 표면 상의 보론 나이트라이드/이트리아 복합체 함유 코팅막을 포함하며,
    상기 보론 나이트라이드/이트리아 복합체 함유 코팅막은 설비 내에서 플라즈마, 부식 가스, 또는 상기 플라즈마와 상기 부식 가스 모두에 노출되는, 반도체 공정 설비의 코팅 부품.
  17. 제 16 항에 있어서,
    상기 표면은 금속, 세라믹 또는 폴리머 표면인, 반도체 공정 설비의 코팅 부품.
  18. 제 17 항에 있어서,
    상기 표면은 강산화된 알루미늄인, 반도체 공정 설비의 코팅 부품.
  19. 제 16 항에 있어서,
    상기 표면 상에 제 1 중간 코팅막을 더 포함하는, 반도체 공정 설비의 코팅 부품.
  20. 제 16 항에 있어서,
    상기 보론 나이트라이드/이트리아 복합체는 입방정상, 육방정상 또는 그 혼합물을 포함하는, 반도체 공정 설비의 코팅 부품.
  21. 제 16 항에 있어서,
    상기 보론 나이트라이드/이트리아 복합체 함유 코팅막은 보론 나이트라이드 및 이트리아와는 다른 하나 이상의 재료를 포함하는, 반도체 공정 설비의 코팅 부품.
  22. 제 21 항에 있어서,
    상기 하나 이상의 재료는 하나 이상의 금속, 세라믹 또는 폴리머인, 반도체 공정 설비의 코팅 부품.
  23. 제 22 항에 있어서,
    상기 하나 이상의 재료는 지르코니아인, 반도체 공정 설비의 코팅 부품.
  24. 제 22 항에 있어서,
    상기 하나 이상의 재료는 티타늄 카바이드, 티타늄 보라이드, 티타늄 나이트라이드, 실리콘 카바이드, 실리콘 보라이드, 실리콘 나이트라이드 또는 그 혼합물을 포함하는, 반도체 공정 설비의 코팅 부품.
  25. 제 16 항에 있어서,
    상기 이트리아는 상기 복합체의 60 내지 80 중량% 로 포함되는, 반도체 공정 설비의 코팅 부품.
  26. 제 16 항에 있어서,
    상기 보론 나이트라이드는 상기 복합체의 20 내지 40 중량% 로 포함되는, 반도체 공정 설비의 코팅 부품.
  27. 제 16 항에 있어서,
    하나 이상의 추가적인 보론 나이트라이드/이트리아 복합체 함유 코팅막 또는 중간 코팅막을 더 포함하는, 반도체 공정 설비의 코팅 부품.
  28. 표면; 및
    외측 부식 방지 표면을 형성하는, 상기 표면 상의 보론 나이트라이드/이트리아 복합체 함유 코팅막을 포함하며,
    상기 보론 나이트라이드 또는 이트리아는 상기 보론 나이트라이드/이트리아 복합체 함유 코팅막에서 연속 매트릭스상을 형성하며, 상기 보론 나이트라이드/이트리아 복합체 함유 코팅막은 설비 내에서 플라즈마, 부식 가스, 또는 상기 플라즈마와 상기 부식가스 모두에 노출되는, 반도체 공정 설비의 코팅 부품.
  29. 삭제
  30. 삭제
  31. 제 16 항에 있어서,
    상기 보론 나이트라이드/이트리아 복합체 함유 코팅막은 (i) 상기 표면 전체 상에 전체적으로 형성되고 (ii) 외측 부식 방지 표면 전체를 형성하는, 반도체 공정 설비의 코팅 부품.
  32. 제 4 항에 있어서,
    상기 제 1 중간 코팅막을 증착하는 단계 이후에, 상기 제 1 중간 코팅막 상에 제 2 중간 코팅막을 증착하는 단계를 더 포함하는, 반도체 공정 설비 부품의 표면 코팅 방법.
  33. 제 19 항에 있어서,
    상기 제 1 중간 코팅막 상에 제 2 중간 코팅막을 더 포함하는, 반도체 공정 설비의 코팅 부품.
  34. 제 28 항에 있어서,
    상기 표면 상에 제 1 중간 코팅막을 더 포함하는, 반도체 공정 설비의 코팅 부품.
  35. 제 34 항에 있어서,
    상기 제 1 중간 코팅막 상에 제 2 중간 코팅막을 더 포함하는, 반도체 공정 설비의 코팅 부품.
KR1020037008515A 2000-12-29 2001-11-23 반도체 공정 설비의 보론 나이트라이드/이트리아 복합체부품 및 그 제조방법 KR100830068B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/749,924 2000-12-29
US09/749,924 US6613442B2 (en) 2000-12-29 2000-12-29 Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
PCT/US2001/043836 WO2002053799A1 (en) 2000-12-29 2001-11-23 Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof

Publications (2)

Publication Number Publication Date
KR20030066756A KR20030066756A (ko) 2003-08-09
KR100830068B1 true KR100830068B1 (ko) 2008-05-16

Family

ID=25015789

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020037008515A KR100830068B1 (ko) 2000-12-29 2001-11-23 반도체 공정 설비의 보론 나이트라이드/이트리아 복합체부품 및 그 제조방법

Country Status (7)

Country Link
US (2) US6613442B2 (ko)
EP (1) EP1364075A1 (ko)
JP (1) JP4634005B2 (ko)
KR (1) KR100830068B1 (ko)
CN (1) CN1484712B (ko)
TW (1) TW533494B (ko)
WO (1) WO2002053799A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170006807A (ko) 2015-07-09 2017-01-18 (주)티티에스 공정 챔버의 부품파트 및 화학기상증착에 의해 이트리아를 부품파트에 증착하는 방법

Families Citing this family (71)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW514996B (en) 1999-12-10 2002-12-21 Tokyo Electron Ltd Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
JP3510993B2 (ja) * 1999-12-10 2004-03-29 トーカロ株式会社 プラズマ処理容器内部材およびその製造方法
JP4790896B2 (ja) * 2000-05-26 2011-10-12 エーユー オプトロニクス コーポレイション トップゲート型tftを含むアクティブマトリックスデバイスの製造方法および製造装置
US6613442B2 (en) * 2000-12-29 2003-09-02 Lam Research Corporation Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
US7670688B2 (en) * 2001-06-25 2010-03-02 Applied Materials, Inc. Erosion-resistant components for plasma process chambers
KR100443772B1 (ko) * 2002-01-16 2004-08-09 삼성전자주식회사 코팅 처리된 기재
US20080213496A1 (en) * 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US20080264564A1 (en) 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
US6780787B2 (en) * 2002-03-21 2004-08-24 Lam Research Corporation Low contamination components for semiconductor processing apparatus and methods for making components
US7026009B2 (en) * 2002-03-27 2006-04-11 Applied Materials, Inc. Evaluation of chamber components having textured coatings
US6784017B2 (en) * 2002-08-12 2004-08-31 Precision Dynamics Corporation Method of creating a high performance organic semiconductor device
US7137353B2 (en) 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US6837966B2 (en) 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US7166200B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US7147749B2 (en) 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US6798519B2 (en) 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7166166B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US7204912B2 (en) 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
TW200423195A (en) 2002-11-28 2004-11-01 Tokyo Electron Ltd Internal member of a plasma processing vessel
US6907841B2 (en) * 2002-12-27 2005-06-21 Korea Institute Of Science And Technology Apparatus and method for synthesizing spherical diamond powder by using chemical vapor deposition method
US20040134427A1 (en) * 2003-01-09 2004-07-15 Derderian Garo J. Deposition chamber surface enhancement and resulting deposition chambers
WO2004095532A2 (en) 2003-03-31 2004-11-04 Tokyo Electron Limited A barrier layer for a processing element and a method of forming the same
EP1589567B1 (en) * 2003-09-16 2007-04-04 Shin-Etsu Quartz Products Co., Ltd. Member for plasma etching device and method for manufacture thereof
JP2005167227A (ja) * 2003-11-11 2005-06-23 Ibiden Co Ltd ガス噴出ヘッド、その製法、半導体製造装置及び耐食性材料
US7220497B2 (en) * 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
US20070158188A1 (en) * 2004-06-15 2007-07-12 Ivanov Eugene Y Metal foam shield for sputter reactor
US7119032B2 (en) 2004-08-23 2006-10-10 Air Products And Chemicals, Inc. Method to protect internal components of semiconductor processing equipment using layered superlattice materials
US20060043067A1 (en) * 2004-08-26 2006-03-02 Lam Research Corporation Yttria insulator ring for use inside a plasma chamber
JP2006186306A (ja) * 2004-09-30 2006-07-13 Toshiba Ceramics Co Ltd ガス拡散プレートおよびその製造方法
TWI282597B (en) 2004-12-28 2007-06-11 Toshiba Ceramics Co Yttrium-containing ceramic coated material and method of manufacturing the same
JP2006207012A (ja) * 2004-12-28 2006-08-10 Toshiba Ceramics Co Ltd イットリウム系セラミックス被覆材およびその製造方法
JP2006199998A (ja) * 2005-01-20 2006-08-03 Seiko Epson Corp 成膜装置、成膜方法
JP4813115B2 (ja) * 2005-07-14 2011-11-09 国立大学法人東北大学 半導体製造装置用部材及びその洗浄方法
US20070079936A1 (en) * 2005-09-29 2007-04-12 Applied Materials, Inc. Bonded multi-layer RF window
US8608851B2 (en) * 2005-10-14 2013-12-17 Advanced Micro-Fabrication Equipment, Inc. Asia Plasma confinement apparatus, and method for confining a plasma
US7942970B2 (en) * 2005-12-20 2011-05-17 Momentive Performance Materials Inc. Apparatus for making crystalline composition
US7743731B2 (en) * 2006-03-30 2010-06-29 Tokyo Electron Limited Reduced contaminant gas injection system and method of using
US7514125B2 (en) * 2006-06-23 2009-04-07 Applied Materials, Inc. Methods to improve the in-film defectivity of PECVD amorphous carbon films
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US10242888B2 (en) 2007-04-27 2019-03-26 Applied Materials, Inc. Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
US8367227B2 (en) 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
KR101486553B1 (ko) * 2008-03-20 2015-01-26 주식회사 원익아이피에스 진공처리장치
US20100055298A1 (en) * 2008-08-28 2010-03-04 Applied Materials, Inc. Process kit shields and methods of use thereof
US20100140222A1 (en) * 2008-12-10 2010-06-10 Sun Jennifer Y Filled polymer composition for etch chamber component
US20130115418A1 (en) * 2011-11-03 2013-05-09 Coorstek, Inc. Multilayer rare-earth oxide coatings and methods of making
RU2515600C2 (ru) * 2011-11-29 2014-05-20 Федеральное государственное бюджетное образовательное учреждение высшего профессионального образования "Воронежский государственный технический университет" Способ получения наноструктурного покрытия
CN102522306A (zh) * 2011-12-29 2012-06-27 中微半导体设备(上海)有限公司 喷淋头
US20130277203A1 (en) * 2012-04-24 2013-10-24 Applied Materials, Inc. Process kit shield and physical vapor deposition chamber having same
CN102629541B (zh) * 2012-04-25 2016-02-17 中微半导体设备(上海)有限公司 喷淋头及其形成方法
US20140097752A1 (en) * 2012-10-09 2014-04-10 Varian Semiconductor Equipment Associates, Inc. Inductively Coupled Plasma ION Source Chamber with Dopant Material Shield
CN103794460B (zh) * 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于半导体装置性能改善的涂层
KR101486057B1 (ko) * 2013-05-31 2015-01-23 주)에코텍코리아 산화이트륨과 질화붕소를 이용한 반도체 cvd 공정용 제품의 제조방법
CN104347389B (zh) * 2013-07-23 2017-07-21 中微半导体设备(上海)有限公司 等离子体刻蚀方法
US11015244B2 (en) 2013-12-30 2021-05-25 Advanced Material Solutions, Llc Radiation shielding for a CVD reactor
CN110189988B (zh) * 2014-06-11 2023-10-03 高美科株式会社 用于薄膜沉积设备的内部材料及其制造方法
KR101790394B1 (ko) 2014-06-11 2017-10-26 (주)코미코 박막 증착 장치용 내부재 및 이의 제조 방법
CN105304519A (zh) * 2014-07-11 2016-02-03 北京北方微电子基地设备工艺研究中心有限责任公司 内衬、内衬的制备方法及反应腔室
WO2017120241A1 (en) * 2016-01-07 2017-07-13 Applied Materials, Inc. Atomic layer etching system with remote plasma source and dc electrode
KR102210971B1 (ko) * 2016-03-11 2021-02-01 어플라이드 머티어리얼스, 인코포레이티드 반도체 프로세싱 장비 상에 이트륨 산화물을 형성하기 위한 방법
CN108885979B (zh) 2016-03-11 2024-04-09 应用材料公司 作为铝半导体处理设备的阻挡层的铝电镀和氧化物形成
US20180005867A1 (en) * 2016-07-01 2018-01-04 Lam Research Corporation Esc ceramic sidewall modification for particle and metals performance enhancements
US20180016678A1 (en) 2016-07-15 2018-01-18 Applied Materials, Inc. Multi-layer coating with diffusion barrier layer and erosion resistant layer
US10407789B2 (en) 2016-12-08 2019-09-10 Applied Materials, Inc. Uniform crack-free aluminum deposition by two step aluminum electroplating process
US11261533B2 (en) 2017-02-10 2022-03-01 Applied Materials, Inc. Aluminum plating at low temperature with high efficiency
JP7065599B2 (ja) * 2017-12-28 2022-05-12 株式会社トクヤマ パイロリティック窒化ホウ素及びパイロリティック窒化ホウ素の製造方法、並びにパイロリティック窒化ホウ素を用いた結晶成長装置
CN108374160B (zh) * 2018-03-08 2019-10-22 南京元汀环境科技有限公司 一种钛基掺硼金刚石涂层的制备方法
CN110453195B (zh) * 2018-05-07 2021-09-28 中国科学院宁波材料技术与工程研究所 用于金属表面腐蚀防护的氮化硼复合薄膜、其制法与应用
US10923327B2 (en) * 2018-08-01 2021-02-16 Applied Materials, Inc. Chamber liner
CN111632205B (zh) * 2020-06-24 2023-04-14 北京华钽生物科技开发有限公司 一种应用于植入医疗器械的医用涂层及其制备方法
US20230317424A1 (en) * 2020-08-21 2023-10-05 Lam Research Corporation Erosion resistant plasma processing chamber components
US20230097687A1 (en) * 2021-09-30 2023-03-30 Entegris, Inc. Additive manufactured articles having coated surfaces and related methods

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1999021806A1 (fr) * 1997-10-23 1999-05-06 Nippon Tungsten Co., Ltd. Agglomere ceramique a base d'alumine et procede de production dudit agglomere
US6129808A (en) * 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same

Family Cites Families (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
US4397724A (en) 1981-08-24 1983-08-09 Bell Telephone Laboratories, Incorporated Apparatus and method for plasma-assisted etching of wafers
FR2538987A1 (fr) 1983-01-05 1984-07-06 Commissariat Energie Atomique Enceinte pour le traitement et notamment la gravure de substrats par la methode du plasma reactif
US4534816A (en) 1984-06-22 1985-08-13 International Business Machines Corporation Single wafer plasma etch reactor
US4612077A (en) 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
JPS62103379A (ja) 1985-10-29 1987-05-13 Showa Alum Corp Cvd装置およびドライ・エツチング装置における真空チヤンバの製造方法
JPS6362858A (ja) * 1986-09-01 1988-03-19 Toyota Motor Corp セラミツク溶射層の形成方法
JPH0741153Y2 (ja) 1987-10-26 1995-09-20 東京応化工業株式会社 試料処理用電極
US5024716A (en) 1988-01-20 1991-06-18 Canon Kabushiki Kaisha Plasma processing apparatus for etching, ashing and film-formation
JPH01275779A (ja) 1988-04-28 1989-11-06 Tanaka Kikinzoku Kogyo Kk クラッド容器
US5262029A (en) 1988-05-23 1993-11-16 Lam Research Method and system for clamping semiconductor wafers
FR2662704B2 (fr) 1989-06-08 1992-08-14 Inst Francais Du Petrole Utilisation d'alliages a base de nickel dans un procede de craquage thermique d'une charge petroliere et reacteur pour la mise en óoeuvre du procede.
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
FR2662701B1 (fr) 1990-05-31 1997-07-18 Oreal Composition tinctoriale a base de 5,6-dihydroxyindolines et procede de teinture des fibres keratiniques.
US5192849A (en) 1990-08-10 1993-03-09 Texas Instruments Incorporated Multipurpose low-thermal-mass chuck for semiconductor processing equipment
US5074456A (en) 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
US5268217A (en) 1990-09-27 1993-12-07 Diamonex, Incorporated Abrasion wear resistant coated substrate product
US5200232A (en) 1990-12-11 1993-04-06 Lam Research Corporation Reaction chamber design and method to minimize particle generation in chemical vapor deposition reactors
EP1120817B8 (en) 1991-03-26 2007-10-10 Ngk Insulators, Ltd. Use of a corrosion-resistant member
US5273588A (en) 1992-06-15 1993-12-28 Materials Research Corporation Semiconductor wafer processing CVD reactor apparatus comprising contoured electrode gas directing means
US5366585A (en) 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5364522A (en) * 1993-03-22 1994-11-15 Liang Wang Boride, carbide, nitride, oxynitride, and silicide infiltrated electrochemical ceramic films and coatings and the method of forming such
US5891253A (en) 1993-05-14 1999-04-06 Applied Materials, Inc. Corrosion resistant apparatus
US5522932A (en) 1993-05-14 1996-06-04 Applied Materials, Inc. Corrosion-resistant apparatus
JPH07153370A (ja) * 1993-11-30 1995-06-16 Kyocera Corp 放電管
US5822171A (en) 1994-02-22 1998-10-13 Applied Materials, Inc. Electrostatic chuck with improved erosion resistance
JP3308091B2 (ja) 1994-02-03 2002-07-29 東京エレクトロン株式会社 表面処理方法およびプラズマ処理装置
US5798016A (en) 1994-03-08 1998-08-25 International Business Machines Corporation Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
US5680013A (en) 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
TW321821B (ko) 1994-05-17 1997-12-01 Hitachi Ltd
US5641375A (en) 1994-08-15 1997-06-24 Applied Materials, Inc. Plasma etching reactor with surface protection means against erosion of walls
JPH0892747A (ja) * 1994-09-22 1996-04-09 Sekisui Chem Co Ltd 基板の表面処理方法
US5885356A (en) 1994-11-30 1999-03-23 Applied Materials, Inc. Method of reducing residue accumulation in CVD chamber using ceramic lining
US5569356A (en) 1995-05-19 1996-10-29 Lam Research Corporation Electrode clamping assembly and method for assembly and use thereof
JP3164200B2 (ja) * 1995-06-15 2001-05-08 住友金属工業株式会社 マイクロ波プラズマ処理装置
US5824605A (en) 1995-07-31 1998-10-20 Lam Research Corporation Gas dispersion window for plasma apparatus and method of use thereof
US5686796A (en) 1995-12-20 1997-11-11 International Business Machines Corporation Ion implantation helicon plasma source with magnetic dipoles
US5838529A (en) 1995-12-22 1998-11-17 Lam Research Corporation Low voltage electrostatic clamp for substrates such as dielectric substrates
JPH09272965A (ja) * 1996-04-09 1997-10-21 Toshiba Corp 真空成膜装置用部品とそれを用いた真空成膜装置、およびターゲット、バッキングプレート
JP3360265B2 (ja) 1996-04-26 2002-12-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US6048798A (en) 1996-06-05 2000-04-11 Lam Research Corporation Apparatus for reducing process drift in inductive coupled plasma etching such as oxide layer
US5863376A (en) 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
US5904778A (en) 1996-07-26 1999-05-18 Applied Materials, Inc. Silicon carbide composite article particularly useful for plasma reactors
US6120640A (en) * 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
US5879523A (en) 1997-09-29 1999-03-09 Applied Materials, Inc. Ceramic coated metallic insulator particularly useful in a plasma sputter reactor
US6379575B1 (en) 1997-10-21 2002-04-30 Applied Materials, Inc. Treatment of etching chambers using activated cleaning gas
JPH11340144A (ja) * 1998-05-22 1999-12-10 Hitachi Ltd 半導体装置の製造方法
US6123791A (en) * 1998-07-29 2000-09-26 Applied Materials, Inc. Ceramic composition for an apparatus and method for processing a substrate
JP2000096240A (ja) * 1998-09-25 2000-04-04 Neos Co Ltd プラズマ化学蒸着用有孔電極板の処理方法
JP3046288B1 (ja) * 1998-12-28 2000-05-29 京セラ株式会社 半導体・液晶製造装置用部材
JP2002249864A (ja) * 2000-04-18 2002-09-06 Ngk Insulators Ltd 耐ハロゲンガスプラズマ用部材およびその製造方法
US6533910B2 (en) * 2000-12-29 2003-03-18 Lam Research Corporation Carbonitride coated component of semiconductor processing equipment and method of manufacturing thereof
US6613442B2 (en) * 2000-12-29 2003-09-02 Lam Research Corporation Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
US6537429B2 (en) * 2000-12-29 2003-03-25 Lam Research Corporation Diamond coatings on reactor wall and method of manufacturing thereof
US6620520B2 (en) * 2000-12-29 2003-09-16 Lam Research Corporation Zirconia toughened ceramic components and coatings in semiconductor processing equipment and method of manufacture thereof

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1999021806A1 (fr) * 1997-10-23 1999-05-06 Nippon Tungsten Co., Ltd. Agglomere ceramique a base d'alumine et procede de production dudit agglomere
US6129808A (en) * 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170006807A (ko) 2015-07-09 2017-01-18 (주)티티에스 공정 챔버의 부품파트 및 화학기상증착에 의해 이트리아를 부품파트에 증착하는 방법

Also Published As

Publication number Publication date
EP1364075A1 (en) 2003-11-26
US6773751B2 (en) 2004-08-10
US20020086554A1 (en) 2002-07-04
JP2004523649A (ja) 2004-08-05
CN1484712B (zh) 2010-04-21
CN1484712A (zh) 2004-03-24
US6613442B2 (en) 2003-09-02
JP4634005B2 (ja) 2011-02-16
TW533494B (en) 2003-05-21
US20040137147A1 (en) 2004-07-15
KR20030066756A (ko) 2003-08-09
WO2002053799A1 (en) 2002-07-11

Similar Documents

Publication Publication Date Title
KR100830068B1 (ko) 반도체 공정 설비의 보론 나이트라이드/이트리아 복합체부품 및 그 제조방법
KR101076244B1 (ko) 반도체 공정 설비의 탄질화물 코팅 부품 및 그 제조방법
KR100853972B1 (ko) 반응기 벽체 상의 다이아몬드 코팅막 및 그 제조방법
KR100916952B1 (ko) 반도체 처리 장비의 풀러린 코팅 컴포넌트
KR100636076B1 (ko) 반도체 제조 장비의 침식 방지 부품 및 그 제조방법
US6830622B2 (en) Cerium oxide containing ceramic components and coatings in semiconductor processing equipment and methods of manufacture thereof
KR100898531B1 (ko) 반도체 공정 설비내의 질코니아 강화된 세라믹 부품 및 코팅과, 그 제조방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130424

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20140424

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20150427

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20160426

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20170426

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20180426

Year of fee payment: 11

LAPS Lapse due to unpaid annual fee