KR100799735B1 - 금속 산화물 형성 방법 및 이를 수행하기 위한 장치 - Google Patents

금속 산화물 형성 방법 및 이를 수행하기 위한 장치 Download PDF

Info

Publication number
KR100799735B1
KR100799735B1 KR1020060064250A KR20060064250A KR100799735B1 KR 100799735 B1 KR100799735 B1 KR 100799735B1 KR 1020060064250 A KR1020060064250 A KR 1020060064250A KR 20060064250 A KR20060064250 A KR 20060064250A KR 100799735 B1 KR100799735 B1 KR 100799735B1
Authority
KR
South Korea
Prior art keywords
gas
substrate
metal precursor
oxidizing gas
precursor film
Prior art date
Application number
KR1020060064250A
Other languages
English (en)
Other versions
KR20080005656A (ko
Inventor
원석준
유용민
송민우
김대연
김영훈
김원홍
박정민
송선미
Original Assignee
삼성전자주식회사
에이에스엠지니텍코리아 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사, 에이에스엠지니텍코리아 주식회사 filed Critical 삼성전자주식회사
Priority to KR1020060064250A priority Critical patent/KR100799735B1/ko
Priority to US11/775,111 priority patent/US7708969B2/en
Publication of KR20080005656A publication Critical patent/KR20080005656A/ko
Application granted granted Critical
Publication of KR100799735B1 publication Critical patent/KR100799735B1/ko
Priority to US12/729,973 priority patent/US20100170441A1/en

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01GCOMPOUNDS CONTAINING METALS NOT COVERED BY SUBCLASSES C01D OR C01F
    • C01G25/00Compounds of zirconium
    • C01G25/02Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01GCOMPOUNDS CONTAINING METALS NOT COVERED BY SUBCLASSES C01D OR C01F
    • C01G23/00Compounds of titanium
    • C01G23/04Oxides; Hydroxides
    • C01G23/047Titanium dioxide
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01GCOMPOUNDS CONTAINING METALS NOT COVERED BY SUBCLASSES C01D OR C01F
    • C01G27/00Compounds of hafnium
    • C01G27/02Oxides
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01GCOMPOUNDS CONTAINING METALS NOT COVERED BY SUBCLASSES C01D OR C01F
    • C01G35/00Compounds of tantalum
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01PINDEXING SCHEME RELATING TO STRUCTURAL AND PHYSICAL ASPECTS OF SOLID INORGANIC COMPOUNDS
    • C01P2006/00Physical properties of inorganic compounds
    • C01P2006/40Electric properties

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Environmental & Geological Engineering (AREA)
  • General Life Sciences & Earth Sciences (AREA)
  • Geology (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

기판 상에 금속 산화물을 형성하기 위한 방법과 장치에서, 금속 전구체 가스는 상기 기판 상에 금속 전구체 막을 형성하기 위하여 상기 기판의 표면을 따라 흐르도록 공급된다. 오존을 포함하는 산화 가스는 상기 금속 전구체 막을 산화시키기 위하여 상기 금속 전구체 막의 표면을 따라 흐르도록 공급된다. 상기 금속 전구체 막과 상기 산화 가스 사이의 반응을 촉진시키기 위하여 상기 금속 전구체 막의 표면을 따라 흐르는 산화 가스에는 RF 파워가 인가된다. 상기와 같이 RF 파워의 인가에 의해 상기 금속 전구체 막의 산화 반응이 촉진되므로, 상기 기판 상에는 개선된 전기적 특성과 균일도를 갖는 금속 산화물이 형성될 수 있다.

Description

금속 산화물 형성 방법 및 이를 수행하기 위한 장치 {Method of forming metal oxide and apparatus for performing the same}
도 1은 본 발명의 일 실시예에 따른 금속 산화물 형성 장치를 설명하기 위한 개략적인 구성도이다.
도 2는 가스 도입구를 설명하기 위한 확대 단면도이다.
도 3은 배기구를 설명하기 위한 확대 단면도이다.
도 4는 도 1에 도시된 가스 공급부를 설명하기 위한 개략적인 구성도이다.
도 5는 도 1에 도시된 RF 전극을 설명하기 위한 확대 단면도이다.
도 6은 도 1에 도시된 RF 전극을 설명하기 위한 평면도이다.
도 7은 도 1에 도시된 금속 산화물 형성 장치를 이용하여 반도체 기판 상에 금속 산화물 막을 형성하는 방법을 설명하기 위한 순서도이다.
도 8 및 도 9는 종래의 금속 산화물 형성 방법에 따라 형성된 하프늄 산화물 막들의 누설 전류 특성들을 나타내는 그래프들이다.
도 10은 본 발명의 일 실시예에 따른 금속 산화물 형성 방법에 따라 형성된 하프늄 산화물 막의 누설 전류 특성을 나타내는 그래프이다.
도 11은 종래의 금속 산화물 형성 방법에 따라 형성된 하프늄 산화물 막들과 본 발명의 일 실시예에 따른 금속 산화물 형성 방법에 따라 형성된 하프늄 산화물 막의 누설 전류 특성들을 나타내는 그래프이다.
도 12는 본 발명의 실시예들에 따른 금속 산화물 형성 방법에 따라 형성된 하프늄 산화물 막들의 누설 전류 특성들을 나타내는 그래프이다.
도 13은 본 발명의 일 실시예에 따른 금속 산화물 형성 방법에 따라 형성된 지르코늄 산화물 막의 누설 전류 특성을 나타내는 그래프이다.
* 도면의 주요부분에 대한 부호의 설명 *
10 : 반도체 기판 100 : 금속 산화물 형성 장치
200 : 스테이지 210 : 지지영역
220 : 주변영역 230 : 히터
300 : 공정 챔버 302 : 가스 도입구
304 : 배기구 310 : 커버
320 : 천장부 330 : 돌출부
350 : RF(radio frequency) 전극 360, 362, 366 : 제1, 제2 및 제3 유로
400 : 가스 공급부
410, 420, 430, 440 : 제1, 제2, 제3 및 제4 가스 공급부
500 : 배기부 600 : 외측 챔버
700, 800 : 제1 및 제2 구동부 900 : RF 파워 소스
본 발명은 금속 산화물 형성 방법 및 이를 수행하기 위한 장치에 관한 것이다. 보다 상세하게는, 실리콘웨이퍼와 같은 반도체 기판 상에 플라즈마 강화 원자층 증착(plasma enhanced atomic layer deposition; PEALD)을 이용하여 금속 산화물을 형성하는 방법 및 이를 수행하기 위한 장치에 관한 것이다.
최근, 반도체 장치의 고집적화 및 고속화가 요구됨에 따라, 메모리 셀 영역이 매우 축소되고 있다. 상기 메모리 셀 영역의 축소로 인해 반도체 장치를 구성하는 각각의 메모리 셀에 포함되는 트랜지스터 및 커패시터가 형성되는 영역의 면적이 감소되고 있다.
상기 메모리 셀 영역의 감소에 따라 트랜지스터의 게이트 전극의 길이가 감소되며, 이에 따라 게이트 절연막의 두께도 함께 감소되고 있다. 상기 게이트 절연막으로 사용되는 실리콘 산화막(SiO2)의 두께가 20Å 이하로 감소될 경우, 전자 터널링(tunneling)에 의한 누설 전류 증가, 게이트 전극에 포함된 불순물의 침투, 문턱 전압의 저하 등과 같은 문제점이 발생된다.
또한, 상기 메모리 셀 영역의 감소에 의한 커패시터의 커패시턴스 감소는 메모리 셀의 데이터 독출 능력(readability)을 열화시키고 소프트 에러율(soft error rate)을 증가시키며, 반도체 메모리 장치가 저전압에서 동작하는 것을 어렵게 한다.
상기 셀 커패시턴스를 증가시키기 위한 노력의 예로써, 한정된 셀 영역 내에 서 커패시턴스를 증가시키기 위하여 커패시터의 유전막을 박막화하는 방법, 또는 실린더나 핀과 같은 구조를 갖는 커패시터 하부 전극을 형성하여 커패시터의 유효 면적을 증가시키는 방법 등이 제안되었다. 그러나, 1 기가비트 이상의 다이내믹 랜덤 억세스 메모리(Dynamic Random Access Memory: DRAM)에서는 이러한 방법들로 메모리 장치를 작동시키기에 충분히 높은 커패시턴스를 얻기가 어렵다.
이러한 문제점을 해결하기 위하여 일반적인 질화물보다 상대적으로 높은 유전 상수를 갖는 금속 산화물을 이용하여 유전막을 형성하는 방법이 활발하게 연구되고 있다. 상기 금속 산화물은 원자층 증착, 플라즈마 강화 원자층 증착 등과 같은 기상 증착 방법을 이용하여 형성될 수 있다.
특히, 측방 흐름 타입(Later Flow Type)의 플라즈마 강화 원자층 증착을 통해 금속 산화물을 반도체 기판 상에 형성할 수 있다. 상기 측방 흐름 타입의 플라즈마 강화 원자층 증착을 이용하여 반도체 기판 상에 형성된 금속 산화물 막은 향상된 전기적 특성을 가질 수 있다.
그러나, 고종횡비(High Aspect Ratio)의 실린더 타입 하부 전극들을 갖는 반도체 기판 상에 상기 측방 흐름 타입의 플라즈마 강화 원자층 증착을 이용하여 형성된 금속 산화물 막은 일반적인 원자층 증착을 이용하여 형성된 금속 산화물 막보다 열악한 전기적 특성을 가질 수 있다.
상기와 같은 문제점을 해결하기 위한 본 발명의 제1 목적은 향상된 전기적 특성을 갖는 금속 산화물을 형성할 수 있는 방법을 제공하는데 있다.
본 발명의 제2 목적은 향상된 전기적 특성을 갖는 금속 산화물을 형성할 수 있는 장치를 제공하는데 있다.
상기 제1 목적을 달성하기 위한 본 발명의 일 실시예에 따른 금속 산화물 형성 방법에 따르면, 금속 전구체 가스는 기판 상에 금속 전구체 막을 형성하기 위하여 상기 기판의 표면을 따라 흐르도록 공급된다. 오존을 포함하는 산화 가스는 상기 금속 전구체 막을 산화시키기 위하여 상기 금속 전구체 막의 표면을 따라 흐르도록 공급된다. RF(Radio Frequency) 파워는 상기 금속 전구체 막과 상기 산화 가스 사이의 반응을 촉진시키기 위하여 상기 금속 전구체 막의 표면을 따라 흐르는 산화 가스에 인가된다.
본 발명의 일 실시예에 따르면, 상기 금속 전구체 가스는 Zr, Hf, Al, Ta, Ti, La, Sr, Ba, Pr, Pb 등과 같은 금속을 포함할 수 있다.
본 발명의 일 실시예에 따르면, 상기 산화 가스의 오존 농도는 약 100 내지 1000g/m3 정도의 범위 내에 있을 수 있다. 특히, 상기 산화 가스의 오존 농도는 약 100 내지 500g/m3 정도의 범위 내에 있을 수 있다. 예를 들면, 상기 산화 가스의 오존 농도는 약 200g/m3 정도일 수 있다.
본 발명의 일 실시예에 따르면, 상기 산화 가스를 공급하는 단계와 상기 RF 파워를 인가하는 단계는 실질적으로 동시에 수행될 수 있다.
본 발명의 일 실시예에 따르면, 상기 산화 가스를 공급하기 이전에 상기 기 판 상으로 산소 가스가 공급될 수 있으며, 상기 산소 가스는 0.1 내지 2초 동안 공급될 수 있다.
본 발명의 일 실시예에 따르면, 상기 금속 전구체 막을 형성한 후 상기 기판이 위치된 공정 챔버 내부는 퍼지 가스에 의해 일차 퍼지될 수 있으며, 상기 금속 산화물을 형성한 후 상기 공정 챔버 내부는 퍼지 가스에 의해 이차 퍼지될 수 있다.
본 발명의 일 실시예에 따르면, 상기 금속 전구체 가스 및 상기 산화 가스는 상기 기판의 제1 가장자리 부위로부터 상기 제1 가장자리 부위에 대향하는 제2 가장자리 부위를 향하여 흐르도록 공급된다.
본 발명의 일 실시예에 따르면, 상기 기판이 위치되는 공정 챔버의 내부는 0.1 내지 10Torr의 압력으로 유지될 수 있으며, 상기 기판은 상온 내지 450℃의 온도로 유지될 수 있다.
본 발명의 일 실시예에 따르면, 상기 금속 산화물을 형성한 후, 상기 기판은 기 설정된 각도만큼 회전될 수 있으며, 이어서 상기 금속 산화물 가스의 공급 단계, 상기 산화 가스의 공급 단계 및 상기 RF 파워의 인가 단계가 반복적으로 수행될 수 있다.
본 발명의 일 실시예에 따르면, 상기 금속 산화물 가스의 공급 단계, 상기 산화 가스의 공급 단계 및 상기 RF 파워의 인가 단계는 반복적으로 수행될 수 있으며, 상기 단계들이 반복적으로 수행되는 동안 상기 기판은 연속적으로 회전될 수 있다.
상기 제2 목적을 달성하기 위한 본 발명의 일 실시예에 따른 금속 산화물 형성 장치는, 기판을 지지하기 위한 지지영역과 상기 지지영역을 둘러싸는 주변영역을 갖는 기판 스테이지와, 상기 기판 스테이지의 주변 영역 상에 배치되어 상기 기판이 위치되는 공간을 한정하고, 상기 기판 상에 금속 전구체 막을 형성하기 위하여 상기 기판의 표면을 따라 금속 전구체 가스를 공급하며 상기 금속 전구체 막을 산화시키기 위하여 상기 금속 전구체 막의 표면을 따라 오존을 포함하는 산화 가스를 공급하기 위한 가스 도입구를 갖는 챔버와, 상기 챔버와 연결되며 상기 금속 전구체 막과 상기 산화 가스 사이의 반응을 촉진시키기 위하여 상기 금속 전구체 막의 표면을 따라 흐르는 산화 가스에 RF 파워를 인가하기 위한 RF 파워 소스를 포함할 수 있다.
본 발명의 일 실시예에 따르면, 상기 금속 산화물 형성 장치는 상기 기판 상으로 상기 금속 전구체 가스를 공급하기 위한 제1 가스 공급부 및 상기 산화 가스를 상기 기판 상으로 공급하기 위한 제2 가스 공급부를 더 포함할 수 있다. 상기 제2 가스 공급부는 상기 오존을 형성하기 위한 오존 발생기를 포함할 수 있다.
또한, 상기 금속 산화물 형성 장치는 상기 금속 전구체 막 상으로 일차 퍼지 가스를 공급하고 상기 금속 산화물 상으로 이차 퍼지 가스를 공급하기 위한 제3 가스 공급부와, 상기 산화 가스를 공급하기 이전에 상기 금속 전구체 막 상으로 산소 가스를 공급하기 위한 제4 가스 공급부를 더 포함할 수 있다.
본 발명의 일 실시예에 따르면, 상기 챔버는 상기 스테이지의 주변영역 상에 배치된 커버와 상기 스테이지 상에 지지된 기판과 마주하도록 상기 커버에 결합된 RF 전극을 포함할 수 있다.
상기 커버는 상기 스테이지의 상부에 배치된 천장부와 상기 천정부로부터 하방으로 연장하며 상기 스테이지의 주변영역 상에 배치된 링 형태의 돌출부를 포함할 수 있으며, 상기 RF 전극은 상기 천장부의 하부면 상에 배치되며 디스크 형상을 가질 수 있다.
본 발명의 일 실시예에 따르면, 상기 가스 도입구는 상기 돌출부의 내측면과 상기 RF 전극의 외측면에 의해 한정되며, 상기 RF 전극은 상기 가스 도입구와 연통하며 상기 금속 전구체 가스 및 상기 산화 가스를 각각 공급하기 위하여 유로들을 가질 수 있다. 각각의 유로들은 상기 RF 전극의 외측면을 향하여 확장될 수 있다.
본 발명의 일 실시예에 따르면, 상기 챔버는 상기 가스 도입구와 대향하여 배치되는 배기구를 가질 수 있으며, 상기 금속 전구체 가스, 상기 산화 가스 및 상기 반응에 의해 발생된 반응 부산물들을 배출시키기 위한 배기부는 상기 배기구와 연결될 수 있다.
본 발명의 일 실시예에 따르면, 상기 금속 산화물 형성 장치는 상기 반도체 기판을 회전시키기 위하여 상기 스테이지를 회전시키는 구동부를 더 포함할 수 있다.
상술한 바와 같은 본 발명의 실시예들에 따르면, 기판 상에 형성된 금속 전구체 막과 오존을 포함하는 산화 가스 사이에서의 산화 반응은 상기 RF 파워의 인가에 의해 촉진될 수 있으며, 상기 산화 반응의 촉진에 의해 상기 반도체 기판 상에는 개선된 전기적 특성과 균일도를 갖는 금속 산화물이 형성될 수 있다.
이하, 본 발명에 따른 실시예들을 첨부된 도면을 참조하여 상세하게 설명하면 다음과 같다. 그러나, 본 발명은 하기의 실시예들에 한정되지 않고 다른 형태로 구현될 수도 있다. 여기서 소개되는 실시예들은 개시된 내용이 보다 완전해질 수 있도록 그리고 당업자에게 본 발명의 사상과 특징이 충분히 전달될 수 있도록 하기 위해 제공된다. 도면들에 있어서, 각 장치 또는 막(층) 및 영역들의 두께는 본 발명의 명확성을 기하기 위하여 과장되게 도시되었으며, 또한 각 장치는 본 명세서에서 설명되지 아니한 다양한 부가 장치들을 구비할 수 있으며, 막(층)이 다른 막(층) 또는 기판 상에 위치하는 것으로 언급되는 경우, 다른 막(층) 또는 기판 상에 직접 형성되거나 그들 사이에 추가적인 막(층)이 개재될 수 있다.
도 1은 본 발명의 일 실시예에 따른 금속 산화물 형성 장치를 설명하기 위한 개략적인 구성도이다.
도 1을 참조하면, 금속 산화물 형성 장치(100)는 실리콘웨이퍼와 같은 반도체 기판(10) 상에 고유전율을 갖는 금속 산화물을 형성하기 위하여 사용될 수 있다. 특히, 하프늄 산화물(HfO), 지르코늄 산화물(ZrO), 알루미늄 산화물(AlO), 탄탈륨 산화물(TaO), 티타늄 산화물(TiO), 란탄 산화물(LaO), 스트론튬 산화물(SrO), 바륨 산화물(BaO), 프라세오디뮴 산화물(PrO), 납 산화물(PbO) 등과 같은 금속 산화물을 형성하기 위하여 사용될 수 있다. 상기 금속 산화물로 이루어진 막은 트랜지스터의 게이트 절연막 또는 커패시터의 유전막 등으로 사용될 수 있다.
상기 반도체 기판(10)은 스테이지(200) 상에 지지될 수 있으며, 상기 스테이지(200)는 상기 반도체 기판(10)을 지지하기 위한 지지영역(210)과 상기 지지영 역(210)을 둘러싸는 주변영역(220)을 가질 수 있다. 상기 주변영역(220)의 상부면은 상기 지지영역(210)의 상부면보다 높게 위치될 수 있다. 예를 들면, 상기 주변영역(220)의 상부면은 상기 지지영역(210) 상에 위치된 반도체 기판(10)의 상부면과 실질적으로 동일한 높이를 가질 수 있도록 배치될 수 있다.
상기 스테이지(200)의 내부에는 상기 반도체 기판(10)을 공정 온도로 가열하기 위한 히터(230)가 배치될 수 있다. 그러나, 이와는 다르게, 상기 스테이지(200)의 하부에 상기 반도체 기판(10)을 가열하기 위한 히팅 블록이 결합될 수도 있다. 예를 들면, 상기 금속 산화물은 상온 내지 약 450℃의 온도에서 형성될 수 있다.
상기 스테이지(200)의 주변영역(220) 상에는 상기 반도체 기판(10)이 위치되는 공간을 한정하기 위한 공정 챔버(300)가 배치될 수 있다. 상기 공정 챔버(300)는 커버(310)와 RF 전극(350)을 포함할 수 있다.
상기 커버(310)는 상기 스테이지(200)의 상부에 배치되는 천장부(320)와, 상기 천장부(320)로부터 하방으로 연장하며 상기 스테이지(200)의 주변영역(220) 상에 배치되는 링 형태의 돌출부(330)를 포함할 수 있으며, 상기 RF 전극(350)은 상기 스테이지(200)의 지지영역(210) 상에 위치된 반도체 기판(10)과 마주하도록 상기 천장부(320)의 하부면 상에 배치된다. 도시되지는 않았으나, 상기 RF 전극(350)은 다수의 체결 부재들에 의해 상기 천장부(320)의 하부면 상에 결합될 수 있다.
도 2는 가스 도입구를 설명하기 위한 확대 단면도이며, 도 3은 배기구를 설명하기 위한 확대 단면도이다.
도 2 및 도 3을 참조하면, 상기 공정 챔버(300)는 상기 반도체 기판(10) 상 으로 금속 전구체 가스와 오존을 포함하는 산화 가스를 공급하기 위한 가스 도입구(302) 및 상기 가스들을 배출하기 위한 배기구(304)를 갖는다.
상기 가스 도입구(302)는 상기 반도체 기판(10)의 제1 가장자리 부위와 인접하여 배치되며, 상기 배기구(304)는 상기 반도체 기판(10)의 제1 가장자리 부위에 대향하는 제2 가장자리 부위와 인접하여 배치된다.
상기 가스 도입구(302)는 상기 돌출부(330)의 제1 내측면(332)과 상기 RF 전극(350)의 제1 외측면(352)에 의해 한정될 수 있으며, 상기 배기구(304)는 상기 돌출부(330)의 제2 내측면(334)과 상기 RF 전극(350)의 제2 외측면(354)에 의해 한정될 수 있다. 상기 돌출부(330)의 제1 내측면(332)과 제2 내측면(334)은 서로 마주하여 배치되며 상기 RF 전극(350)의 제1 외측면(352)과 제2 외측면(354)은 서로 반대 방향으로 배치된다.
상기 금속 전구체 가스는 상기 가스 도입구(302)로부터 상기 반도체 기판(10)의 표면을 따라 상기 배기구(304)를 향하여 흐르며, 이에 따라 상기 반도체 기판(10) 상에는 금속 전구체 막이 형성될 수 있다. 상기 산화 가스는 상기 반도체 기판(10) 상에 형성된 금속 전구체 막을 따라 상기 가스 도입구(302)로부터 상기 배기구(304)를 향하여 흐르며, 이에 따라 상기 금속 전구체 막과 상기 산화 가스 사이의 반응에 의해 상기 기판(10) 상에는 금속 산화물 막이 형성된다. 즉, 상기 금속 전구체 가스 및 상기 산화 가스는 상기 반도체 기판(10)의 제1 가장자리 부위로부터 제2 가장자리 부위를 향하여 흐르도록 공급된다.
다시 도 1을 참조하면, 상기 금속 전구체 가스 및 산화 가스를 공급하기 위 한 가스 공급부(400)는 가스 공급 배관들을 통해 공정 챔버(300)의 천장부(320)와 연결되며, 상기 가스들과 상기 금속 산화물을 형성하는 동안 발생된 반응 부산물들은 상기 공정 챔버(300)의 천장부(320)와 배기 배관을 통해 연결된 배기부(500)에 의해 공정 챔버(300)로부터 제거될 수 있다.
도 4는 가스 공급부를 설명하기 위한 개략적인 구성도이다.
도 4를 참조하면, 가스 공급부(400)는 상기 금속 전구체 가스를 공급하기 위한 제1 가스 공급부(410)와 상기 산화 가스를 공급하기 위한 제2 가스 공급부(420)를 포함할 수 있다.
예를 들면, 상기 제1 가스 공급부(410)로는 분무기 및 기화기를 포함하는 액체 전달 시스템(Liquid Delivery System; LDS) 또는 버블링 용기를 포함하는 버블러(bubbler)가 사용될 수 있다.
상기 금속 전구체 가스는 Zr, Hf, Al, Ta, Ti, La, Sr, Ba, Pr, Pb 등과 같은 금속을 포함할 수 있으며, 캐리어 가스와 함께 상기 공정 챔버(300)로 공급될 수 있다. 상기 캐리어 가스로는 아르곤(Ar) 가스와 같은 불활성 가스가 사용될 수 있다.
상기 제2 가스 공급부(420)는 오존 발생기를 포함할 수 있다. 상기 오존 발생기는 산소 가스를 이용하여 오존을 발생시킬 수 있다. 즉, 상기 오존 발생기로부터 공급되는 산화 가스는 산소와 오존의 혼합 가스이며, 상기 산화 가스의 오존 농도는 약 100 내지 1000g/m3 정도의 범위 내에 있을 수 있다. 특히, 상기 산화 가스 의 오존 농도는 약 100 내지 500g/m3 정도의 범위 내에 있을 수 있다. 예를 들면, 약 200 정도의 오존 농도를 갖는 산화 가스가 사용될 수 있다.
상기 가스 공급부(400)는 퍼지 가스와 압력 조절용 가스로서 사용되는 불활성 가스를 공급하기 위한 제3 가스 공급부(430)를 더 포함할 수 있다. 구체적으로, 상기 공정 챔버(300)의 내부는 상기 금속 전구체 막을 형성한 후 퍼지 가스에 의해 일차 퍼지되며, 상기 금속 산화물을 형성한 후 퍼지 가스에 의해 이차 퍼지될 수 있다. 또한, 상기 금속 산화물 막을 형성하는 동안 상기 공정 챔버(300) 내부의 압력은 약 0.1 내지 10Torr 정도의 압력으로 유지될 수 있으며, 상기 압력 조절용 가스는 상기 공정 챔버(300) 내부의 압력 조절을 위하여 상기 금속 전구체 가스 및 상기 산화 가스와 함께 상기 공정 챔버(300) 내부로 공급된다.
또한, 상기 가스 공급부(400)는 상기 일차 퍼지 단계를 수행한 후 상기 공정 챔버 내부에 산소 가스를 공급하기 위한 제4 가스 공급부(440)를 더 포함할 수 있다. 상기 제4 가스 공급부(440)는 상기 산화 가스를 이용한 상기 금속 전구체 막의 산화 단계가 수행되기 전에 공정 챔버(300) 내부를 산소 분위기로 형성하기 위하여 제공될 수 있다. 도시된 바에 의하면, 상기 공정 챔버 내부에 산소 가스를 공급하기 위한 제4 가스 공급부(440)가 제공되고 있으나, 상기 산소 가스는 제2 공급부(420)에 의해 제공될 수도 있다.
상기 제1, 제2, 제3 및 제4 가스 공급부들(410, 420, 430, 440)은 다수의 배관들에 의해 상기 공정 챔버(300)와 연결될 수 있다. 상기 공정 챔버(300)에는 제1 주 배관(450) 및 제2 주 배관(452)이 연결되어 있으며, 상기 제1 가스 공급부(410)는 상기 제1 주 배관(450)으로부터 분기된 제1 분기 배관(460)에 연결되어 있고, 제2 가스 공급부(420)는 상기 제2 주 배관(452)으로부터 분기된 제2 분기 배관(462)에 연결되어 있다. 상기 제3 가스 공급부(430)는 상기 제1 및 제2 메인 배관들(450, 452)로부터 각각 분기된 제3 및 제4 분기 배관들(470, 472)과 연결되어 있으며, 상기 제4 가스 공급부(440)는 연결 배관(480)을 통해 상기 제2 주 배관(452)과 연결되어 있다.
상기 제1, 제2, 제3 및 제4 분기 배관들(460, 462, 470, 472) 및 상기 연결 배관(480)에는 상기 금속 전구체 가스, 산화 가스, 퍼지 가스, 압력 조절용 가스 및 산소 가스의 공급 유량을 제어하기 위한 다수의 유량 제어기들과 밸브들이 설치될 수 있다.
그러나, 상기 배관들, 유량 제어기들 및 밸브들의 구성은 다양하게 변경될 수 있으며, 상기에서 설명된 상기 배관들, 유량 제어기들 및 밸브들의 연결 관계가 본 발명의 범위를 한정하지는 않는다.
다시 도 1을 참조하면, 상기 공정 챔버(300)와 스테이지(200)는 외측 챔버(600)에 내에 수용될 수 있으며, 외측 챔버(600)의 하부에는 상기 스테이지(200)를 회전시키기 위한 제1 구동부(700)와 상기 스테이지(200)를 수직 방향으로 이동시키기 위한 제2 구동부(800)가 배치될 수 있다.
상기 제1 구동부(700)는 상기 금속 산화물 막을 형성하는 동안 상기 금속 산화물 막의 두께 균일도를 향상시키기 위하여 상기 스테이지(200)를 기 설정된 각도 만큼 단계적으로 회전시킬 수 있다. 예를 들면, 상기 금속 전구체 막의 형성 단계, 상기 일차 퍼지 단계, 상기 금속 전구체 막의 산화 단계 및 상기 이차 퍼지 단계를 수행한 후, 상기 스테이지(200)를 기 설정된 각도, 예를 들면, 약 90° 또는 약 180° 회전시킬 수 있다. 이어서, 상기 단계들을 반복적으로 수행함으로써 결과적으로 형성되는 금속 산화물 막의 두께 균일도를 향상시킬 수 있다.
이와는 다르게, 상기 제1 구동부(700)는 상기 단계들을 반복적으로 수행하는 동안 상기 반도체 기판(10)을 연속적으로 회전시킬 수도 있다.
상기 제1 구동부(700)는 상기 스테이지(200)를 이동시키지 않으면서 상기 단계들을 반복적으로 수행하기 위하여 상기 반도체 기판(10)이 위치되는 상기 스테이지(200)의 지지영역(210)만 선택적으로 회전시킬 수 있다.
상기 제2 구동부(800)는 상기 반도체 기판(10)의 로딩 및 언로딩을 위하여 상기 스테이지(200)를 수직 방향으로 이동시킬 수 있다.
도시되지는 않았으나, 상기 반도체 기판(10)의 로딩 및 언로딩을 위하여 상기 스테이지(200)를 통해 수직 방향으로 이동 가능하게 배치되는 다수의 리프트 핀들이 상기 외측 챔버(600) 내에 배치될 수 있으며, 상기 외측 챔버(600)의 측벽에는 반도체 기판(10)의 이송을 위한 게이트 밸브가 설치될 수 있다.
한편, 상기 배기부(500)는 상기 금속 산화물 막을 형성하는 동안 발생되는 반응 부산물들과 상기 가스들을 배출하기 위하여 상기 공정 챔버(300)와 연결된다.
상기 배기부(500)는 고진공 펌프와 러핑 펌프를 포함할 수 있으며, 상기 금속 산화물 막을 형성하는 동안 상기 공정 챔버(300) 내부는 상기 배기부(500)에 의 해 약 0.1 내지 10 Torr 정도의 압력으로 유지될 수 있다.
도 5는 도 1에 도시된 RF 전극을 설명하기 위한 확대 단면도이고, 도 6은 도 1에 도시된 RF 전극을 설명하기 위한 평면도이다.
도 2, 도 3, 도 5 및 도 6을 참조하면, 상기 커버(310)의 천장부(320)는 상기 금속 전구체 가스의 공급을 위한 제1 연결구(322)와, 상기 산화 가스의 공급을 위한 제2 연결구(324) 및 상기 배기부(500)와의 연결을 위한 제3 연결구(326)를 갖는다.
상기 RF 전극(350)의 상부면에는 상기 제1 연결구(322)와 연통하며 상기 제1 외측면(352)을 향하여 확장된 제1 유로(360)가 형성되어 있다. 상기 RF 전극(350)의 내부에는 상기 제1 외측면(352)을 향하여 확장된 제2 유로(362)가 형성되어 있으며, 상기 확장된 제2 유로(362)는 제4 연결구(364)를 통해 상기 제2 연결구(324)와 연통된다. 또한, 상기 RF 전극(350)의 상부면에는 상기 제3 연결구(326)와 연통하며 상기 제2 외측면(354)을 향하여 확장된 제3 유로(366)가 형성되어 있다. 상기 제1, 제2 및 제3 유로들(360, 362, 366)은 도시된 바와 같이 각각 부채꼴 형태로 확장될 수 있다.
도시된 바에 의하면, 상기 제1 유로(360)와 제3 유로(366)는 상기 RF 전극(350)의 상부면 부위들에 형성되어 있으나, 상기 제2 유로(362)와 같이 상기 RF 전극(350)의 내부에 형성될 수도 있다.
상기와 같이 제1 및 제2 유로들(360, 362)이 상기 제1 외측면(352)을 향하여 확장되므로, 상기 금속 전구체 가스 및 상기 산화 가스는 상기 반도체 기판(10)의 표면 및 상기 금속 전구체 막의 표면을 따라 균일하게 공급될 수 있다.
다시 도 1을 참조하면, 상기 RF 전극(350)은 상기 금속 전구체 막의 표면을 따라 흐르는 산화 가스에 RF 파워를 인가하기 위하여 RF 파워 소스(900)와 연결되어 있다. 상기 RF 파워는 상기 금속 전구체 막과 상기 산화 가스 사이의 산화 반응을 촉진시키기 위하여 인가된다. 즉, 상기 오존을 포함하는 상기 산화 가스에 RF 파워를 인가함으로써 상기 산화 가스의 오존 농도를 증가시킬 수 있으며, 이에 따라 상기 금속 전구체 막과 직접적으로 반응하는 산소 라디칼의 농도가 증가될 수 있다. 결과적으로, 상기 금속 전구체 막과 상기 산화 가스 사이의 반응이 촉진될 수 있다.
도 7은 도 1에 도시된 금속 산화물 형성 장치를 이용하여 반도체 기판 상에 금속 산화물 막을 형성하는 방법을 설명하기 위한 순서도이다.
도 7을 참조하면, 단계 S100에서, 실리콘웨이퍼와 같은 반도체 기판(10)을 스테이지(200) 상에 위치시킨다. 구체적으로, 상기 반도체 기판(10)은 외측 챔버(600)의 게이트 밸브를 통해 상기 외측 챔버(600) 내부로 이송될 수 있으며, 다수의 리프트 핀들에 의해 상기 스테이지(200) 상에 놓여질 수 있다. 이어서, 상기 제2 구동부(800)는 상기 반도체 기판(10)을 상기 공정 챔버(300) 내에 위치시키기 위하여 상기 스테이지(200)를 상방으로 이동시킨다.
상기 반도체 기판(10) 상에는 전기적 특성을 갖는 패턴들이 형성되어 있을 수 있다. 예를 들면, 상기 반도체 기판(10)의 표면 부위에는 필드 절연막에 의해 전기적으로 절연된 액티브 패턴들이 형성되어 있을 수 있다. 또한, 상기 반도체 기 판(10) 상에는 커패시터들의 하부 전극들로서 기능하는 실린더 형태의 도전성 구조물들이 형성되어 있을 수 있다.
단계 S200에서, 상기 반도체 기판(10)의 표면을 따라 금속 전구체 가스를 공급하여 반도체 기판(10) 상에 금속 전구체 막을 형성한다. 상기 금속 전구체 가스는 제1 가스 공급부(410)에 의해 상기 제1 유로(360) 및 가스 도입구(302)를 통해 상기 반도체 기판(10) 상으로 공급될 수 있다. 상기 금속 전구체 가스는 Zr, Hf, Al, Ta, Ti, La, Sr, Ba, Pr, Pb 등과 같은 금속을 포함할 수 있다. 예를 들면, 지르코늄을 포함하는 금속 전구체 가스로서 TEMAZ(tetrakis ethyl methyl amino zirconium, Zr[N(CH3)(C2H5)]4), 지르코늄 부틸옥사이드(Zr(O-tBu)4) 또는 이들의 혼합물이 사용될 수 있으며, 하프늄을 포함하는 금속 전구체 가스로서 TDMAH(tetrakis dimethyl amino hafnium, Hf[N(CH3)2]4), TEMAH(tetrakis ethyl methyl amino hafnium, Hf[N(C2H5)CH3]4), TDEAH(tetrakis diethyl amino hafnium, Hf[N(C2H5)2]4), Hf[OC(CH3)2CH2OCH3]4, Hf[OC(CH3)3]4 등이 사용될 수 있으며, 이들의 혼합물이 사용될 수도 있다.
상기 금속 전구체 가스는 분무기를 이용하여 액상의 금속 전구체를 에어로졸 미스트로 형성하고, 기화기를 이용하여 상기 에어로졸 미스트를 기화시킴으로써 형성될 수 있다. 이와 다르게, 상기 금속 전구체 가스는 캐리어 가스를 액상의 금속 전구체 내에서 버블링시킴으로써 형성될 수도 있다.
상기 금속 전구체 가스가 상기 반도체 기판(10)의 표면을 따라 흐르는 동안, 상기 반도체 기판(10)의 표면 상에는 금속 전구체 막이 형성된다. 상기 금속 전구체 막은 상기 반도체 기판(10)의 표면 상에 화학적으로 흡착된 원자막일 수 있으며, 또한 상기 금속 전구체 원자막 상에 물리적으로 흡착된 제2 막이 형성될 수 있다.
단계 S300에서, 상기 공정 챔버(300) 내부로 퍼지 가스를 공급한다. 상기 퍼지 가스는 제3 가스 공급부(430)로부터 상기 제1 유로(360), 제2 유로(362) 및 가스 도입구(302)를 통해 상기 공정 챔버(300) 내부로 공급될 수 있다. 상기 제2 막은 상기 퍼지 가스의 공급 및 상기 배기부(500)에 의한 진공 배기에 의해 상기 금속 전구체 원자막으로부터 제거될 수 있으며, 상기 공정 챔버(300) 내부에 잔류하는 금속 전구체 가스 역시 상기 퍼지 가스와 함께 상기 공정 챔버(300)로부터 제거될 수 있다.
단계 S400에서, 상기 금속 전구체 막의 표면을 따라 오존을 포함하는 산화 가스를 공급하여 상기 금속 전구체 막을 산화시킨다. 상기 산화 가스는 제2 가스 공급부(420)로부터 제2 유로(362) 및 가스 도입구(302)를 통해 공급될 수 있다.
단계 S500에서, 상기 금속 전구체 막과 상기 산화 가스 사이의 반응을 촉진시키기 위하여 RF 파워를 인가한다. 상기 RF 파워는 RF 파워 소스(900)와 연결된 RF 전극(350)에 의해 상기 금속 전구체 막의 표면을 따라 흐르는 산화 가스에 인가될 수 있다. 상기 RF 파워를 인가함으로써 상기 산화 가스의 산소 라디칼 농도가 증가될 수 있으며, 이에 따라 상기 금속 전구체 막과 상기 산화 가스 사이의 반응이 촉진될 수 있다.
결과적으로, 상기 반도체 기판(10) 상에는 향상된 전기적 특성을 갖는 금속 산화물 막이 형성될 수 있다. 특히, 상기한 바와 같은 본 발명의 실시예에 따른 금속 산화물 형성 방법은 반도체 기판(10) 상에 고종횡비를 갖는 실린더 형태의 하부 전극들이 형성되어 있는 경우에 유용하게 사용될 수 있다.
도시된 바에 의하면, 상기 단계들 S400 및 S500은 순차적으로 수행되고 있으나, 상기 단계들 S400 및 S500은 동시에 수행될 수도 있다.
또한, 상기 단계 S400을 수행하기 전에 단계 S350을 더 수행할 수도 있다. 상기의 단계 S350에서, 상기 금속 전구체 막 상으로 산소 가스가 공급될 수 있다. 상기 산소 가스는 공정 챔버(300)로부터 퍼지 가스를 제거하기 위하여 그리고 상기 공정 챔버(300) 내부를 산소 분위기로 형성하기 위하여 제공될 수 있다. 예를 들면, 상기 산소 가스는 제4 가스 공급부(440)로부터 제2 유로(362) 및 가스 도입구(302)를 통해 약 0.1 내지 3초 동안 공급될 수 있다.
단계 S600에서, 상기 공정 챔버(300) 내부로 퍼지 가스를 공급한다. 상기 퍼지 가스는 제3 가스 공급부(430)로부터 상기 제1 유로(360), 제2 유로(362) 및 가스 도입구(302)를 통해 상기 공정 챔버(300) 내부로 공급될 수 있다. 상기 공정 챔버 내부(300)에 잔류하는 산화 가스 및 반응 부산물들은 상기 퍼지 가스와 함께 상기 배기구(304) 및 상기 제3 유로(366)를 통해 상기 공정 챔버로부터 제거될 수 있다.
상기 단계들을 수행하는 동안 상기 반도체 기판(10)은 기 설정된 공정 온도로 가열될 수 있다. 예를 들면, 상기 반도체 기판(10)은 상기 히터(230)에 의해 약 450℃ 이하의 온도로 가열될 수 있다. 또한, 상기 단계들을 수행하는 동안 상기 공정 챔버(300) 내부의 압력은 약 0.1 내지 10Torr 정도로 유지될 수 있다. 예를 들면, 상기 공정 챔버(300) 내부의 압력은 상기 제3 가스 제공부(430)로부터 공급되는 압력 조절용 가스와 배기부(500)에 의해 약 3Torr 정도에서 유지될 수 있다.
단계 S700에서, 상기 반도체 기판(10)을 기 설정된 각도만큼 회전시킨다. 예를 들면, 상기 반도체 기판(10)은 제1 구동부(700)에 의해 약 90° 또는 약 180°의 각도만큼 회전될 수 있다.
단계 S800에서, 상기 단계들 S200 내지 S600을 반복적으로 수행한다. 상기 단계들 S700 및 S800은 상기 반도체 기판(10) 상에 목적하는 두께를 갖는 금속 산화물 막이 형성될 때까지 반복적으로 수행될 수 있다. 결과적으로, 상기 반도체 기판(10) 상에는 균일한 두께와 향상된 전기적 특성을 갖는 금속 산화물 막이 형성될 수 있다.
그러나, 상기 반도체 기판(10)은 상기 단계들 S200 내지 S600을 반복적으로 수행하는 동안 기 설정된 회전 속도로 연속적으로 회전할 수도 있다.
상기와 같은 본 발명의 실시예에 따라 형성된 금속 산화물 막의 전기적 특성을 검사하기 위하여 다음과 같은 실험들을 수행하였다.
비교예 1
산소 플라즈마를 이용하는 일반적인 플라즈마 강화 원자층 증착을 이용하여 실린더 형태의 하부 전극들이 형성된 반도체 기판 상에 제1 하프늄 산화물 막을 형성하였다. 상기 제1 하프늄 산화물 막을 형성하는 동안 공정 온도는 300℃에서 유 지되었으며, 공정 챔버의 내부 압력은 3Torr에서 유지되었다. 상기 제1 하프늄 산화물 막을 통한 누설 전류는 상기 반도체 기판의 중앙 부위, 왼쪽 부위 및 오른쪽 부위에서 각각 측정되었으며, 그 결과는 도 8에 도시되었다.
비교예 2
오존을 포함하는 산화 가스를 이용하는 플라즈마 강화 원자층 증착을 이용하여 실린더 형태의 하부 전극들이 형성된 반도체 기판 상에 제2 하프늄 산화물 막을 형성하였다. 상기 제2 하프늄 산화물 막을 형성하는 동안 공정 온도는 300℃에서 유지되었으며, 공정 챔버 내부의 압력은 3Torr 정도로 유지되었다. 상기 제2 하프늄 산화물 막을 통한 누설 전류는 상기 반도체 기판의 중앙 부위, 왼쪽 부위 및 오른쪽 부위에서 각각 측정되었으며, 그 결과는 도 9에 도시되었다.
도 8 및 도 9를 참조하면, 상기 제1 하프늄 산화물 막의 중앙 부위에서의 등가 산화막 두께는 약 20.1Å으로 측정되었고, 왼쪽 부위 및 오른쪽 부위에서는 각각 약 19.1Å 및 19.6Å 정도의 등가 산화막 두께들이 측정되었다.
상기 제2 하프늄 산화물 막의 중앙 부위에서의 등가 산화막 두께는 약 29.8Å으로 측정되었고, 왼쪽 부위 및 오른쪽 부위에서는 각각 약 28.7Å 및 28.6Å 정도의 등가 산화막 두께들이 측정되었다.
상기 제1 하프늄 산화물 막을 통한 누설 전류 특성은 상기 제2 하프늄 산화물 막에 비하여 열악하며, 상기 제2 하프늄 산화물 막은 상기 제1 하프늄 산화물 막에 비하여 우수한 누설 전류 특성을 갖고 있지만, 누설 전류 분포가 매우 열악함을 알 수 있다.
실시예 1
본 발명의 실시예에 따른 방법을 이용하여 실린더 형태의 하부 전극들이 형성된 반도체 기판 상에 제3 하프늄 산화물 막을 형성하였다.
상기 제3 하프늄 산화물 막을 형성하기 위하여 약 200g/m3 정도의 오존 농도를 갖는 산화 가스가 사용되었으며, 약 250W 정도의 RF 파워가 RF 전극을 통해 인가되었다. 또한, 공정 온도는 약 300℃ 정도로 유지되었으며, 공정 챔버의 내부 압력은 약 3Torr 정도로 유지되었다.
상기 제3 하프늄 산화물 막을 통한 누설 전류는 상기 반도체 기판의 중앙 부위, 왼쪽 부위 및 오른쪽 부위에서 각각 측정되었으며, 그 결과는 도 10에 도시되었다.
도 10을 참조하면, 상기 제3 하프늄 산화물 막의 중앙 부위에서의 등가 산화막 두께는 약 19.5Å으로 측정되었고, 왼쪽 부위 및 오른쪽 부위에서는 각각 약 20.1Å 및 19.5Å 정도의 등가 산화막 두께들이 측정되었다.
도시된 바와 같이 상기 제3 하프늄 산화물 막은 제1 하프늄 산화물 막과 유사한 등가 산화막 두께들을 갖고 있으며, 제1 하프늄 산화물 막과 비교하여 개선된 누설 전류 특성을 갖고 있음을 알 수 있다.
한편, 제2 하프늄 산화물 막의 등가 산화막 두께들이 제3 하프늄 산화물 막에 비하여 두껍기 때문에 상기 제3 하프늄 산화물 막을 제2 하프늄 산화막과 직접적으로 비교하기는 어렵지만, 도시된 바에 의하면, 제3 하프늄 산화물 막이 제2 하 프늄 산화물 막에 비하여 누설 전류 분포가 개선되었음을 충분히 알 수 있다.
상기 제1, 제2 및 제3 하프늄 산화물 막들의 직접적인 비교를 위하여 전계(인가된 전압/등가 산화막 두께) 변화에 따른 누설 전류의 변화를 비교하였으며, 그 결과를 도 11에 도시하였다.
도 11을 참조하면, 상기 제3 하프늄 산화물 막의 누설 전류 특성이 상기 제1 및 제2 하프늄 산화물 막들에 비하여 크게 개선되었음을 알 수 있다.
실시예 2
본 발명의 실시예에 따른 방법을 이용하여 실린더 형태의 하부 전극들이 형성된 반도체 기판 상에 제4 하프늄 산화물 막을 형성하였다. 상기 제4 하프늄 산화막을 형성하는 동안 약 100W의 RF 파워가 RF 전극을 통해 인가되었으며 약 100sccm 정도의 유량으로 오존을 포함하는 산화 가스가 공급되었다. 또한, 공정 온도는 약 300℃ 정도로 유지되었으며, 공정 챔버 내부의 압력은 약 3Torr 정도로 유지되었다.
실시예 3
본 발명의 실시예에 따른 방법을 이용하여 실린더 형태의 하부 전극들이 형성된 반도체 기판 상에 제5 하프늄 산화물 막을 형성하였다. 상기 제5 하프늄 산화막을 형성하는 동안 약 100W의 RF 파워가 RF 전극을 통해 인가되었으며 약 500sccm 정도의 유량으로 오존을 포함하는 산화 가스가 공급되었다. 또한, 공정 온도는 약 300℃ 정도로 유지되었으며, 공정 챔버 내부의 압력은 약 3Torr 정도로 유지되었다.
실시예 4
본 발명의 실시예에 따른 방법을 이용하여 실린더 형태의 하부 전극들이 형성된 반도체 기판 상에 제6 하프늄 산화물 막을 형성하였다. 상기 제6 하프늄 산화막을 형성하는 동안 약 250W의 RF 파워가 RF 전극을 통해 인가되었으며 약 100sccm 정도의 유량으로 오존을 포함하는 산화 가스가 공급되었다. 또한, 공정 온도는 약 300℃ 정도로 유지되었으며, 공정 챔버 내부의 압력은 약 3Torr 정도로 유지되었다.
실시예 5
본 발명의 실시예에 따른 방법을 이용하여 실린더 형태의 하부 전극들이 형성된 반도체 기판 상에 제7 하프늄 산화물 막을 형성하였다. 상기 제7 하프늄 산화막을 형성하는 동안 약 250W의 RF 파워가 RF 전극을 통해 인가되었으며 약 500sccm 정도의 유량으로 오존을 포함하는 산화 가스가 공급되었다. 또한, 공정 온도는 약 300℃ 정도로 유지되었으며, 공정 챔버 내부의 압력은 약 3Torr 정도로 유지되었다.
상기 제4, 제5, 제6 및 제7 하프늄 산화물 막들을 통한 누설 전류 특성들을 측정하였으며, 그 결과는 도 12에 도시하였다.
도 12를 참조하면, 상기 제4, 제5, 제6 및 제7 하프늄 산화물 막들의 등가 산화막 두께들은 각각 약 17.5Å, 16.0Å, 15.2Å 및 15.9Å 정도인 것으로 측정되었다. 도시된 바에 의하면, 누설 전류 특성은 인가된 RF 파워 및 산화 가스의 유량이 증가함에 따라 개선됨을 알 수 있다.
결과적으로, RF 파워를 약 100 내지 300W 정도의 범위에서 적절하게 조절하고, 산화 가스의 유량을 약 100 내지 1000sccm 정도의 범위에서 적절하게 조절함으로써 목적하는 누설 전류 특성을 갖는 금속 산화물 막을 형성할 수 있음을 알 수 있다.
실시예 6
70nm의 디자인 룰이 적용된 실린더 형태의 하부 전극들이 형성된 반도체 기판 상에 지르코늄 산화물 막을 형성하였다. 상기 지르코늄 산화물 막을 형성하는 동안 약 250W의 RF 파워가 RF 전극을 통해 인가되었으며 약 500sccm 정도의 유량으로 오존을 포함하는 산화 가스가 공급되었다. 또한, 공정 온도는 약 300℃ 정도로 유지되었으며, 공정 챔버 내부의 압력은 약 3Torr 정도로 유지되었다.
상기 지르코늄 산화물 막을 통한 누설 전류는 상기 반도체 기판의 중앙 부위, 왼쪽 부위 및 오른쪽 부위에서 각각 측정되었으며, 그 결과는 도 13에 도시하였다.
도 13을 참조하면, 상기 지르코늄 산화물 막의 등가 산화막 두께는 중앙 부위, 왼쪽 부위 및 오른쪽 부위에서 각각 약 8.4Å, 8.4Å 및 7.9Å 정도로 측정되었다. 도시된 바에 따르면, 약 ±1V의 범위에서 개선된 누설 전류 특성들이 측정되었으며 누설 전류 분포가 크게 개선되었음을 알 수 있다.
상기와 같은 본 발명의 실시예들에 따르면, 반도체 기판 상에 형성된 금속 전구체 막과 오존을 포함하는 산화 가스 사이의 산화 반응은 상기 산화 가스에 RF 파워를 인가함으로써 촉진될 수 있다. 결과적으로, 상기 촉진된 산화 반응에 의해 형성된 금속 산화물 막은 개선된 전기적 특성과 균일도를 가질 수 있다.
상기에서는 본 발명의 바람직한 실시예를 참조하여 설명하였지만, 해당 기술 분야의 숙련된 당업자는 하기의 특허 청구의 범위에 기재된 본 발명의 사상 및 영역으로부터 벗어나지 않는 범위 내에서 본 발명을 다양하게 수정 및 변경시킬 수 있음을 이해할 수 있을 것이다.

Claims (25)

  1. ⅰ) 기판 상에 금속 전구체 막을 형성하기 위하여 상기 기판의 표면을 따라 흐르도록 금속 전구체 가스를 공급하는 단계;
    ⅱ) 상기 기판이 위치된 공간을 산소 분위기로 형성하기 위하여 상기 기판 상으로 산소 가스를 공급하는 단계;
    ⅲ) 상기 금속 전구체 막을 산화시키기 위하여 상기 금속 전구체 막의 표면을 따라 흐르도록 오존을 포함하는 산화 가스를 공급하는 단계;
    ⅳ) 상기 금속 전구체 막과 상기 산화 가스 사이의 반응을 촉진시키기 위하여 상기 금속 전구체 막의 표면을 따라 흐르는 산화 가스에 RF(Radio Frequency) 파워를 인가하는 단계;
    ⅴ) 상기 기판을 기 설정된 각도만큼 회전시키는 단계; 및
    ⅵ) 상기 ⅰ) 내지 ⅳ) 단계들을 반복적으로 수행하는 단계를 포함하는 금속 산화물 형성 방법.
  2. 제1항에 있어서, 상기 금속 전구체 가스는 Zr, Hf, Al, Ta, Ti, La, Sr, Ba, Pr 및 Pb로 이루어진 군으로부터 선택된 어느 하나를 포함하는 것을 특징으로 하는 금속 산화물 형성 방법.
  3. 제1항에 있어서, 상기 산화 가스의 오존 농도는 100 내지 1000g/m3 인 것을 특징으로 하는 금속 산화물 형성 방법.
  4. 제1항에 있어서, 상기 산화 가스를 공급하는 단계와 상기 RF 파워를 인가하는 단계는 실질적으로 동시에 수행되는 것을 특징으로 하는 금속 산화물 형성 방 법.
  5. 삭제
  6. 청구항 6은(는) 설정등록료 납부시 포기되었습니다.
    제1항에 있어서, 상기 산소 가스는 0.1 내지 3초 동안 공급되는 것을 특징으로 하는 금속 산화물 형성 방법.
  7. 청구항 7은(는) 설정등록료 납부시 포기되었습니다.
    제1항에 있어서, 상기 금속 전구체 막을 형성한 후 상기 기판이 위치된 공정 챔버 내부를 일차 퍼지하는 단계와, 상기 금속 산화물을 형성한 후 상기 공정 챔버 내부를 이차 퍼지하는 단계를 더 포함하는 것을 특징으로 하는 금속 산화물 형성 방법.
  8. 청구항 8은(는) 설정등록료 납부시 포기되었습니다.
    제1항에 있어서, 상기 금속 전구체 가스 및 상기 산화 가스는 상기 기판의 제1 가장자리 부위로부터 상기 제1 가장자리 부위에 대향하는 제2 가장자리 부위를 향하여 흐르는 것을 특징으로 하는 금속 산화물 형성 방법.
  9. 제1항에 있어서, 상기 기판이 위치된 공정 챔버의 내부는 0.1 내지 10Torr의 압력으로 유지되며, 상기 기판은 상온 내지 450℃의 온도로 유지되는 것을 특징으로 하는 금속 산화물 형성 방법.
  10. 삭제
  11. 삭제
  12. 기판을 지지하기 위한 지지영역과 상기 지지영역을 둘러싸는 주변영역을 갖는 기판 스테이지;
    상기 기판 스테이지와 연결되어 상기 기판을 회전시키기 위하여 상기 기판 스테이지를 회전시키는 구동부;
    상기 기판 스테이지의 주변 영역상에 배치되어 상기 기판이 위치되는 공간을 한정하고, 상기 기판 상에 금속 전구체 막을 형성하기 위하여 상기 기판의 표면을 따라 금속 전구체 가스를 공급하며 상기 금속 전구체 막을 산화시키기 위하여 상기 금속 전구체 막의 표면을 따라 오존을 포함하는 산화 가스를 공급하기 위한 가스 도입구를 갖는 챔버;
    상기 챔버와 연결되며, 상기 기판 상으로 상기 금속 전구체 가스를 공급하기 위한 제1 가스 공급부;
    상기 챔버와 연결되며, 상기 기판 상으로 상기 산화 가스를 공급하기 위한 제2 가스 공급부;
    상기 챔버와 연결되며, 상기 산화 가스를 공급하기 전에 상기 기판이 위치된 공간을 산소 분위기로 형성하기 위하여 상기 기판 상으로 산소 가스를 공급하기 위한 제3 가스 공급부; 및
    상기 챔버와 연결되며 상기 금속 전구체 막과 상기 산화 가스 사이의 반응을 촉진시키기 위하여 상기 금속 전구체 막의 표면을 따라 흐르는 산화 가스에 RF 파워를 인가하기 위한 RF 파워 소스를 포함하는 금속 산화물 형성 장치.
  13. 삭제
  14. 제12항에 있어서, 상기 제2 가스 공급부는 상기 오존을 형성하기 위한 오존 발생기를 포함하는 것을 특징으로 하는 금속 산화물 형성 장치.
  15. 청구항 15은(는) 설정등록료 납부시 포기되었습니다.
    제14항에 있어서, 상기 산화 가스의 오존 농도는 100 내지 1000g/m3 인 것을 특징으로 하는 금속 산화물 형성 장치.
  16. 삭제
  17. 청구항 17은(는) 설정등록료 납부시 포기되었습니다.
    제12항에 있어서, 상기 금속 전구체 막 상으로 일차 퍼지 가스를 공급하고 상기 금속 산화물 상으로 이차 퍼지 가스를 공급하기 위한 제4 가스 공급부를 더 포함하는 것을 특징으로 하는 금속 산화물 형성 장치.
  18. 제12항에 있어서, 상기 챔버는 상기 스테이지의 주변영역 상에 배치된 커버와 상기 스테이지 상에 지지된 기판과 마주하도록 상기 커버에 결합된 RF 전극을 포함하는 것을 특징으로 하는 금속 산화물 형성 장치.
  19. 제18항에 있어서, 상기 커버는 상기 스테이지의 상부에 배치된 천장부와 상기 천정부로부터 하방으로 연장하며 상기 스테이지의 주변영역 상에 배치된 링 형태의 돌출부를 포함하는 것을 특징으로 하는 금속 산화물 형성 장치.
  20. 제19항에 있어서, 상기 RF 전극은 상기 천장부의 하부면 상에 배치되며 디스크 형상을 갖는 것을 특징으로 하는 금속 산화물 형성 장치.
  21. 제20항에 있어서, 상기 가스 도입구는 상기 돌출부의 내측면과 상기 RF 전극의 외측면에 의해 한정되며, 상기 RF 전극은 상기 가스 도입구와 연통하며 상기 금속 전구체 가스 및 상기 산화 가스를 각각 공급하기 위하여 유로들을 갖는 것을 특징으로 하는 금속 산화물 형성 장치.
  22. 청구항 22은(는) 설정등록료 납부시 포기되었습니다.
    제21항에 있어서, 각각의 유로들은 상기 RF 전극의 외측면을 향하여 확장되는 것을 특징으로 하는 금속 산화물 형성 장치.
  23. 청구항 23은(는) 설정등록료 납부시 포기되었습니다.
  24. 청구항 24은(는) 설정등록료 납부시 포기되었습니다.
    제12항에 있어서, 상기 챔버와 연결되며, 상기 금속 전구체 가스, 상기 산화 가스 및 상기 반응에 의해 발생된 반응 부산물들을 배출시키기 위한 배기부를 더 포함하는 것을 특징으로 하는 금속 산화물 형성 장치.
  25. 삭제
KR1020060064250A 2006-07-10 2006-07-10 금속 산화물 형성 방법 및 이를 수행하기 위한 장치 KR100799735B1 (ko)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020060064250A KR100799735B1 (ko) 2006-07-10 2006-07-10 금속 산화물 형성 방법 및 이를 수행하기 위한 장치
US11/775,111 US7708969B2 (en) 2006-07-10 2007-07-09 Method of forming metal oxide
US12/729,973 US20100170441A1 (en) 2006-07-10 2010-03-23 Method of Forming Metal Oxide and Apparatus for Performing the Same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020060064250A KR100799735B1 (ko) 2006-07-10 2006-07-10 금속 산화물 형성 방법 및 이를 수행하기 위한 장치

Publications (2)

Publication Number Publication Date
KR20080005656A KR20080005656A (ko) 2008-01-15
KR100799735B1 true KR100799735B1 (ko) 2008-02-01

Family

ID=39151831

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020060064250A KR100799735B1 (ko) 2006-07-10 2006-07-10 금속 산화물 형성 방법 및 이를 수행하기 위한 장치

Country Status (2)

Country Link
US (2) US7708969B2 (ko)
KR (1) KR100799735B1 (ko)

Families Citing this family (364)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8608035B2 (en) * 2010-04-22 2013-12-17 Novellus Systems, Inc. Purge ring with split baffles for photonic thermal processing systems
US20120108745A1 (en) * 2010-11-01 2012-05-03 Canon Kabushiki Kaisha Method for producing tantalum oxide particles
KR101685629B1 (ko) * 2011-04-29 2016-12-12 한국에이에스엠지니텍 주식회사 수평 흐름 원자층 증착 장치
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) * 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9318319B2 (en) 2014-08-27 2016-04-19 Ultratech, Inc. Radical-enhanced atomic layer deposition using CF4 to enhance oxygen radical generation
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10358721B2 (en) * 2015-10-22 2019-07-23 Asm Ip Holding B.V. Semiconductor manufacturing system including deposition apparatus
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP6677356B1 (ja) * 2019-02-19 2020-04-08 株式会社明電舎 原子層堆積方法および原子層堆積装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002371359A (ja) 2001-06-14 2002-12-26 Samsung Electronics Co Ltd β−ジケトンの配位子を有する有機金属錯体を利用した原子層蒸着方法
KR20030003320A (ko) * 2001-06-30 2003-01-10 주식회사 하이닉스반도체 오존플라즈마처리를 이용한 탄탈륨산화막의 형성 방법
KR20060086241A (ko) * 2005-01-26 2006-07-31 주식회사 아이피에스 플라즈마 ald 박막증착방법
KR20070038348A (ko) * 2005-10-05 2007-04-10 주식회사 하이닉스반도체 배치 타입의 원자층 증착장비 및 이를 이용한 반도체소자의 원자층 증착방법

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5198034A (en) * 1987-03-31 1993-03-30 Epsilon Technology, Inc. Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
KR100343134B1 (ko) * 1998-07-09 2002-10-25 삼성전자 주식회사 유전막형성방법
US6565661B1 (en) * 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
US6255222B1 (en) * 1999-08-24 2001-07-03 Applied Materials, Inc. Method for removing residue from substrate processing chamber exhaust line for silicon-oxygen-carbon deposition process
KR100458982B1 (ko) * 2000-08-09 2004-12-03 주성엔지니어링(주) 회전형 가스분사기를 가지는 반도체소자 제조장치 및 이를이용한 박막증착방법
EP1256638B1 (en) * 2001-05-07 2008-03-26 Samsung Electronics Co., Ltd. Method of forming a multi-components thin film
US6820570B2 (en) * 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
AU2003238853A1 (en) * 2002-01-25 2003-09-02 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
KR20050088729A (ko) 2004-03-03 2005-09-07 삼성전자주식회사 원자막 증착 장치
JP4718795B2 (ja) 2004-06-02 2011-07-06 ルネサスエレクトロニクス株式会社 気相成長装置内の処理方法
US7081421B2 (en) * 2004-08-26 2006-07-25 Micron Technology, Inc. Lanthanide oxide dielectric layer
US20060162658A1 (en) * 2005-01-27 2006-07-27 Applied Materials, Inc. Ruthenium layer deposition apparatus and method
US8097300B2 (en) * 2006-03-31 2012-01-17 Tokyo Electron Limited Method of forming mixed rare earth oxynitride and aluminum oxynitride films by atomic layer deposition

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002371359A (ja) 2001-06-14 2002-12-26 Samsung Electronics Co Ltd β−ジケトンの配位子を有する有機金属錯体を利用した原子層蒸着方法
KR20020095342A (ko) * 2001-06-14 2002-12-26 삼성전자 주식회사 β-디케톤 리간드를 갖는 유기 금속 착물을 이용한 원자층증착방법
KR20030003320A (ko) * 2001-06-30 2003-01-10 주식회사 하이닉스반도체 오존플라즈마처리를 이용한 탄탈륨산화막의 형성 방법
KR20060086241A (ko) * 2005-01-26 2006-07-31 주식회사 아이피에스 플라즈마 ald 박막증착방법
KR20070038348A (ko) * 2005-10-05 2007-04-10 주식회사 하이닉스반도체 배치 타입의 원자층 증착장비 및 이를 이용한 반도체소자의 원자층 증착방법

Also Published As

Publication number Publication date
US20100170441A1 (en) 2010-07-08
KR20080005656A (ko) 2008-01-15
US7708969B2 (en) 2010-05-04
US20080056975A1 (en) 2008-03-06

Similar Documents

Publication Publication Date Title
KR100799735B1 (ko) 금속 산화물 형성 방법 및 이를 수행하기 위한 장치
US6649218B2 (en) Single substrate processing film forming method
US7968437B2 (en) Semiconductor device manufacturing method and substrate processing apparatus
KR100652420B1 (ko) 유전막 제조방법, 그 유전막을 포함하는 mim 캐패시터의제조방법 및 그 유전막을 제조하기 위한 배치 타입 ald장치
KR100584996B1 (ko) 산화하프늄과 산화알루미늄이 혼합된 유전막을 갖는캐패시터 및 그 제조 방법
US8741731B2 (en) Method of manufacturing a semiconductor device
KR101609182B1 (ko) 커패시터의 제조 방법, 커패시터 및, 그에 이용되는 유전체막의 형성 방법
KR100640654B1 (ko) ZrO2 박막 형성 방법 및 이를 포함하는 반도체 메모리소자의 커패시터 제조 방법
KR101537946B1 (ko) 반도체 장치의 제조 방법, 기판 처리 방법, 기억 매체 및 기판 처리 장치
JP2010245449A (ja) 基板処理装置、基板処理方法及び記憶媒体
KR20040093255A (ko) Ald에 의한 금속 박막 형성 방법, 란탄 산화막 형성방법 및 반도체 소자의 고유전막 형성 방법
US9659767B2 (en) Substrate processing apparatus and method of manufacturing semiconductor device
JP2002231656A (ja) 半導体集積回路装置の製造方法
KR20180038977A (ko) 성막 방법
US20060240679A1 (en) Method of manufacturing semiconductor device having reaction barrier layer
JP5447632B2 (ja) 基板処理装置
JP7485403B2 (ja) 表面保護物質を用いた薄膜形成方法
US20070264770A1 (en) Capacitor forming method
US20110233723A1 (en) Dielectric film and semiconductor device
TW202212623A (zh) 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
US20080081409A1 (en) Method of Manufacturing Memory Device
KR100532960B1 (ko) 반도체소자의 캐패시터 형성방법
WO2023243406A1 (ja) 成膜方法及び成膜装置
KR20080019334A (ko) 박막 형성 방법 및 이를 이용하는 커패시터 제조 방법
KR101026477B1 (ko) 반도체 소자의 캐패시터 형성방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
G170 Publication of correction
FPAY Annual fee payment

Payment date: 20130102

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20140103

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20141231

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20160104

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20170102

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20191226

Year of fee payment: 13