KR100792409B1 - Method for fabrication of semiconductor device using tungsten layer to sacrificial hard mask - Google Patents

Method for fabrication of semiconductor device using tungsten layer to sacrificial hard mask Download PDF

Info

Publication number
KR100792409B1
KR100792409B1 KR1020040081383A KR20040081383A KR100792409B1 KR 100792409 B1 KR100792409 B1 KR 100792409B1 KR 1020040081383 A KR1020040081383 A KR 1020040081383A KR 20040081383 A KR20040081383 A KR 20040081383A KR 100792409 B1 KR100792409 B1 KR 100792409B1
Authority
KR
South Korea
Prior art keywords
film
hard mask
etching
pattern
tungsten
Prior art date
Application number
KR1020040081383A
Other languages
Korean (ko)
Other versions
KR20060032436A (en
Inventor
김광옥
선준협
Original Assignee
주식회사 하이닉스반도체
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 하이닉스반도체 filed Critical 주식회사 하이닉스반도체
Priority to KR1020040081383A priority Critical patent/KR100792409B1/en
Priority to TW094115738A priority patent/TWI319204B/en
Priority to CNB2005100730452A priority patent/CN100547729C/en
Priority to US11/149,325 priority patent/US7442648B2/en
Publication of KR20060032436A publication Critical patent/KR20060032436A/en
Application granted granted Critical
Publication of KR100792409B1 publication Critical patent/KR100792409B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 발명은 텅스텐을 포함하는 막을 희생 하드마스크로 사용하는 경우 포토레지스트 패턴과의 식각선택비를 증가시켜 패턴 변형을 최소화할 수 있는 반도체소자 제조 방법을 제공하기 위한 것으로, 이를 위해 본 발명은, 피식각층 상에 희생 하드마스크용 텅스텐을 포함하는 막을 형성하는 단계; 상기 희생 하드마스크용 텅스텐을 포함하는 막 상에 포토레지스트 패턴을 형성하는 단계; 상기 포토레지스트 패턴을 식각마스크로 불소함유가스와 CHF3 가스를 포함하는 플라즈마를 이용하여 상기 희생 하드마스크용 텅스텐을 포함하는 막을 식각하여 희생 하드마스크를 형성하는 단계; 및 적어도 상기 희생 하드마스크를 식각마스크로 상기 피식각층을 식각하여 소정의 패턴을 형성하는 단계를 포함하는 반도체소자 제조 방법을 제공한다.The present invention is to provide a method for manufacturing a semiconductor device that can minimize the pattern deformation by increasing the etch selectivity with the photoresist pattern when using a film containing tungsten as a sacrificial hard mask, for this purpose, Forming a film comprising tungsten for sacrificial hardmask on each layer; Forming a photoresist pattern on the film comprising tungsten for sacrificial hardmask; Etching the film including the sacrificial hard mask tungsten using a plasma containing a fluorine-containing gas and a CHF 3 gas as an etching mask to form a sacrificial hard mask; And etching the etched layer using at least the sacrificial hard mask as an etch mask to form a predetermined pattern.

ArF, F2, 텅스텐막, CHF3, 희생 하드마스크, 포토레지스트.ArF, F2, tungsten film, CHF3, sacrificial hard mask, photoresist.

Description

텅스텐막을 희생 하드마스크로 이용하는 반도체소자 제조 방법{METHOD FOR FABRICATION OF SEMICONDUCTOR DEVICE USING TUNGSTEN LAYER TO SACRIFICIAL HARD MASK} Method for manufacturing semiconductor device using tungsten film as a sacrificial hard mask {METHOD FOR FABRICATION OF SEMICONDUCTOR DEVICE USING TUNGSTEN LAYER TO SACRIFICIAL HARD MASK}             

도 1은 텅스텐 희생 하드마스크를 사용하는 게이트전극 패턴 형성을 위한 포토레지스트 패턴이 형성된 단면을 도시한 도면.1 is a cross-sectional view of a photoresist pattern for forming a gate electrode pattern using a tungsten sacrificial hard mask.

도 2a 내지 도 2e는 본 발명의 제1실시예에 따른 F2 또는 ArF 노광원을 이용한 반도체소자 패턴 형성 공정을 도시한 단면도.2A to 2E are cross-sectional views illustrating a semiconductor device pattern forming process using an F 2 or ArF exposure source according to a first embodiment of the present invention.

도 3은 희생 하드마스크 형성 후의 본 발명과 종래기술의 차이점을 비교 도시한 SEM 사진.Figure 3 is a SEM photograph showing the difference between the present invention and the prior art after the formation of a sacrificial hard mask.

도 4는 희생 하드마스크 형성 후의 공정 단면을 도시한 SEM 사진.4 is a SEM photograph showing a cross section of the process after the sacrificial hard mask is formed.

도 5는 비트라인이 형성된 반도체 소자의 단면을 도시한 TEM 사진.5 is a TEM photograph showing a cross section of a semiconductor device in which a bit line is formed.

도 6은 도 5의 비트라인을 확대 도시한 TEM 사진.6 is an enlarged TEM photograph of the bit line of FIG. 5;

도 7a 내지 도 7c는 본 발명의 제2실시예에 따른 F2 또는 ArF 노광원을 이용한 반도체소자의 패턴 형성 공정을 도시한 단면도.7A to 7C are cross-sectional views illustrating a pattern forming process of a semiconductor device using an F 2 or ArF exposure source according to a second embodiment of the present invention.

도 8은 금속배선 형성을 위한 마스크 패턴이 형성된 반도체소자를 도시한 평 면도.FIG. 8 is a flat view illustrating a semiconductor device in which a mask pattern for forming metal wiring is formed. FIG.

도 9는 도 8을 a-a' 방향으로 절취한 단면도.9 is a cross-sectional view taken along the line a-a 'of FIG. 8;

도 10은 스토리지노드 콘택 형성을 위한 마스크 패턴이 형성된 반도체소자를 도시한 평면도.FIG. 10 is a plan view illustrating a semiconductor device having a mask pattern for forming a storage node contact; FIG.

도 11은 도 10을 b-b' 방향으로 절취한 단면도.
FIG. 11 is a cross-sectional view of FIG. 10 taken along the bb ′ direction.

* 도면의 주요 부분에 대한 부호의 설명* Explanation of symbols for the main parts of the drawings

200 : 기판 201a : 전도층200 substrate 201a conductive layer

202a : 하드마스크용 절연막 203b : 희생 하드마스크202a: insulating film for hard mask 203b: sacrificial hard mask

204b : 반사방지막 205b : 포토레지스트 패턴
204b antireflection film 205b photoresist pattern

본 발명은 반도체소자 제조 방법에 관한 것으로, 특히 반도체소자의 패턴 형성방법에 관한 것으로, 더욱 상세하게는 F2 또는 불화아르곤(ArF)등의 보다 발전된(Advanced) 노광원을 이용한 반도체소자의 패턴 형성방법에 관한 것이다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method of manufacturing a semiconductor device, and more particularly, to a pattern formation method of a semiconductor device. More particularly, the pattern formation of a semiconductor device using a more advanced exposure source such as F 2 or argon fluoride (ArF), etc. It is about a method.

반도체소자의 진전을 지지해 온 미세 가공 기술은 사진식각(Photo lithography) 기술인 바, 이 기술의 해상력 향상이 반도체소자의 고집적화의 장래 와 직결된다고 해도 과언은 아니다.The microfabrication technology that has supported the progress of semiconductor devices is a photolithography technology, and it is no exaggeration to say that the improvement in resolution of the technology is directly connected to the future of high integration of semiconductor devices.

이러한 사진식각 공정은 주지된 바와 같이, 포토레지스트 패턴을 형성하는 공정과 상기 포토레지스트 패턴을 식각 마스크로 하는 식각 공정을 통해 피식각층을 식각해서 원하는 형태의 패턴 예컨대, 콘택홀 또는 게이트전극 등의 라인 패턴 등을 형성하는 공정을 포함하는 바, 여기서 포토레지스트 패턴은 피식각층 상에 포토레지스트를 도포하는 공정과 준비된 노광 마스크를 이용해 포토레지스트를 선택적으로 노광하는 공정 및 소정의 화학용액으로 노광되거나, 또는 노광되지 않은 포토레지스트 부분을 제거하는 현상 공정을 통해 이루어진다.The photolithography process is, as is well known, by etching a layer to be etched through a process of forming a photoresist pattern and an etching process using the photoresist pattern as an etch mask. A process of forming a pattern or the like, wherein the photoresist pattern is a process of applying the photoresist on the etched layer, a process of selectively exposing the photoresist using a prepared exposure mask and a predetermined chemical solution, or Through a developing process to remove unexposed portions of the photoresist.

한편, 사진식각 공정으로 구현할 수 있는 패턴의 임계치수(Critical Dimension; 이하 CD라 함)는 상기한 노광 공정에서 어떤 파장의 광원을 사용하느냐에 따라 좌우된다. 이것은 노광 공정을 통해 구현할 수 있는 포토레지스트 패턴의 폭에 따라 실제 패턴의 CD가 결정되기 때문이다.On the other hand, the critical dimension of the pattern that can be implemented by the photolithography process (hereinafter referred to as CD) depends on the wavelength of the light source used in the above exposure process. This is because the CD of the actual pattern is determined by the width of the photoresist pattern that can be realized through the exposure process.

636㎚ (g-line)의 파장의 광원과 365㎚(i-line)의 광원을 사용하던 초기의 스테퍼(Stepper)를 거쳐 현재는 248㎚(KrF Excimer Laser) 파장의 DUV(Deep Ultra-violet)를 이용하는 스테퍼나 스캐너 타입의 노광장비를 주로 사용하고 있는 바, 248㎚의 DUV 사진식각 기술은 초기에 시간 지연 효과, 기질 의존성 등과 같은 많은 문제들이 발생하였으며, 0.18㎛ 디자인의 제품 개발에 사용되었다. 그러나 0.15㎛ 이하의 디자인을 갖는 제품을 개발하기 위해서는 새로운 193㎚(ArF Excimer Laser) 또는 157nm(F2 Laser)의 파장을 갖는 새로운 DUV 사진식각 기술로의 기술개발이 필 수적이다. 그러나, 이러한 DUV 사진식각 기술에서 해상력을 높이기 위한 여러 기술을 조합한다 하여도 0.1㎛ 이하의 패턴은 불가능하므로 새로운 광원을 갖는 사진식각 기술의 개발이 활발히 진행되고 있다. Deep Ultra-violet (DUV) at 248nm (KrF Excimer Laser) through the early stepper that used 636nm (g-line) light source and 365nm (i-line) light source The 248nm DUV photolithography technology has been used for the development of products with 0.18µm design. However, development of new DUV photolithography technology with a wavelength of 193nm (ArF Excimer Laser) or 157nm (F 2 Laser) is essential to develop products with designs of 0.15㎛ or less. However, even if a combination of various techniques for enhancing the resolution in the DUV photolithography technique is impossible to pattern less than 0.1㎛, the development of a photolithography technique having a new light source is actively progressing.

ArF 노광원을 이용한 포토리소그라피 공정과 F2 노광원을 이용하는 포토리소그라피 공정 기술의 핵심 과제 중의 하나는 F2 또는 ArF용 포토레지스트의 개발이다. F2 또는 ArF는 KrF와 같은 화학 증폭형이지만 재료를 근본적으로 개량해야 하는 필요가 있기 때문인데, 특히 ArF 포토레지스트 재료 개발이 어려운 것은 벤젠고리를 사용할 수 없기 때문이다. 벤젠고리는 건식 식각(Dry etching) 내성을 확보하기 위해 i-선 및 KrF용 포토레지스트에 사용되어 왔다. 그러나 예컨대, ArF용 포토레지스트에 벤젠고리가 사용될 경우 ArF 레이저의 파장영역인 193nm에서 흡광도가 크기 때문에 투명성이 떨어져 포토레지스트 하부까지 노광이 불가능한 문제가 발생한다. 이 때문에, 벤젠고리를 가지지 않고 건식 식각 내성을 확보할 수 있으며, 접착력이 좋고 2.38% TMAH(Tetra Methyl Ammonium Hydroxide)로 현상되어질 수 있는 재료의 연구가 진행 되고 있다. 현재까지 세계적으로 많은 회사 및 연구소에서 연구성과를 발표하고 있는 상태이며, 아직까지 상용화 되어 있는 것으로는 COMA(CycloOlefin-Maleic Anhydride) 또는 아크릴레이드(Acrylate) 계통의 폴리머 형태, 또는 이들의 혼합 형태이다. 하지만, 상기한 포토레지스트는 벤젠 구조를 가지고 있다.One of the key challenges of the photolithography process using an ArF exposure source and the photolithography process technology using an F 2 exposure source is the development of a photoresist for F 2 or ArF. Although F 2 or ArF is a chemically amplified type such as KrF, it is necessary to fundamentally improve the material. Particularly, development of ArF photoresist material is difficult because benzene rings cannot be used. Benzene rings have been used in photoresists for i-rays and KrF to ensure dry etching resistance. However, for example, when the benzene ring is used in the ArF photoresist, since the absorbance is large at 193 nm, which is the wavelength region of the ArF laser, the transparency is poor and the exposure to the lower portion of the photoresist is impossible. For this reason, research has been conducted on materials that can secure dry etching resistance without having a benzene ring, have good adhesion, and can be developed with 2.38% TMAH (Tetra Methyl Ammonium Hydroxide). To date, many companies and research institutes around the world have been publishing their research results, and the commercialized products are still in the form of polymers of COMA (CycloOlefin-Maleic Anhydride) or Acrylate series, or a mixture thereof. However, the photoresist has a benzene structure.

따라서, KrF 포토리소그라피 공정을 적용할 경우 마스크 패턴의 변형이 거의 발생하지 않은 반면, ArF 포토리소그라피 공정을 적용할 경우에는 스트라이에이션(Striation)과 같은 마스크 패턴의 변형이 목격된다.Accordingly, when the KrF photolithography process is applied, the deformation of the mask pattern is hardly generated, whereas when the ArF photolithography process is applied, the deformation of the mask pattern, such as striation, is observed.

즉, KrF 포토리소그라피 공정을 적용할 경우에는 패턴의 변형이 거의 발생하지 않고 마스크 패턴의 형상이 그대로 하부로 전사되는 반면, ArF 포토리소그라피 공정을 적용할 경우에는 패턴을 형성하기 위해 식각공정을 진행할 때 포토레지스트가 뭉치거나(Cluster) 성형 변형(Plastic deformation)되는 현상과 식각 도중 포토레지스트의 내성이 약하여 한쪽으로 몰리는 현상으로 패턴에 심한 변형이 발생한다. 이러한 패턴 불량은 라인 패턴의 경우 LER(Line Edge Roughness)의 형태로 나타난다.In other words, when the KrF photolithography process is applied, almost no deformation of the pattern occurs, and the shape of the mask pattern is transferred to the lower side, whereas when the ArF photolithography process is applied, the etching process is performed to form the pattern. Severe deformation in the pattern occurs due to clustering of photoresist or plastic deformation, and the resistance of the photoresist to the side due to weak resistance of the photoresist during etching. Such pattern defects appear in the form of line edge roughness (LER) in the case of line patterns.

포토레지스트 패턴의 두께는 80nm 이하의 디자인룰에서 게이트전극의 패터닝시 1500Å 이하이다. 포토레지스트 패턴의 두께는 소자의 미세화에 따라 점점 더 낮아진다. 이로 인해 식각시 포토레지스트 패턴의 식각선택비의 한계에 다다르고 있다.The thickness of the photoresist pattern is 1500 Å or less at the time of patterning the gate electrode in a design rule of 80 nm or less. The thickness of the photoresist pattern becomes lower and lower as the device becomes smaller. As a result, the etching selectivity of the photoresist pattern is approached.

예컨대, 80nm의 디자인룰이 적용되는 소자의 경우 약 2000Å의 포토레지스트를 도포하나, 실제 패턴 형성 후의 포토레지스트 패턴의 두께는 1600Å 정도이다. 이와 유사하게 70nm의 디자인룰이 적용되는 소자의 경우 약 1700Å의 포토레지스트를 도포하나, 실제 패턴 형성 후의 포토레지스트 패턴의 두께는 1200Å 정도이다.For example, in the case of a device to which an 80 nm design rule is applied, a photoresist of about 2000 mW is applied, but the thickness of the photoresist pattern after the actual pattern formation is about 1600 mW. Similarly, in the case of a device to which a 70 nm design rule is applied, a photoresist of about 1700 mW is applied, but the thickness of the photoresist pattern after actual pattern formation is about 1200 mW.

물론, 하부의 식각 구조에서 식각해야 할 피식각층 즉, 적층 구조의 두께는 기존에 비해 변함이 없다. Of course, the thickness of the layer to be etched, that is, the laminated structure, to be etched in the lower etching structure does not change as compared with the conventional.

ArF 포토리소그라피 공정을 이용한 패턴 형성시 상술한 바와 같은 포토레지 스트 패턴의 식각선택비의 한계를 극복하고, 패턴 변형을 최소화하기 위해 텅스텐을 희생 하드마스크를 사용하는 공정 기술이 개발되었다.In order to overcome the limitations of the etching selectivity of the photoresist pattern as described above and minimize the pattern deformation, a process technology using a tungsten sacrificial hard mask has been developed in the pattern formation using the ArF photolithography process.

포토레지스트 패턴은 희생 하드마스크로 사용되는 텅스텐막을 식각할 수 있는 정도의 두께만을 확보하면 된다. 텅스텐막 식각 시에는 SF6, CF4 또는 NF3 와 같은 불화가스로 화학 반응으로 식각하고, 여기에 N2를 첨가하여 N2의 물리적인 식각을 통해 텅스텐막의 적층 구조를 파괴하여 식각한다.The photoresist pattern only needs to have a thickness enough to etch the tungsten film used as the sacrificial hard mask. In the case of tungsten film etching, etching is performed by chemical reaction with fluorinated gas such as SF 6 , CF 4, or NF 3, and N 2 is added thereto to destroy the tungsten film laminated structure through physical etching of N 2 .

피식각층을 식각하기 위해서는 희생 하드마스크로 사용되는 텅스텐막의 두께도 어느 정도는 확보하여야 하므로, 그 두께를 무한정 줄일 수 없으며, 패턴의 미세화에 따라 포토레지스트 패턴의 두께는 점점 감소하는 추세이므로 포토레지스트 패턴을 이용한 텅스텐막의 식각시에 기존의 식각 레시피를 적용할 경우에도 포토레지스트 패턴의 식각선택비에 한계가 나타나게 된다.
In order to etch the layer to be etched, the thickness of the tungsten film, which is used as a sacrificial hard mask, must be secured to some extent, and thus the thickness cannot be reduced indefinitely. As the pattern becomes finer, the thickness of the photoresist pattern gradually decreases. Even when the conventional etching recipe is applied when the tungsten film is etched, the etching selectivity of the photoresist pattern appears to be limited.

상기와 같은 종래기술의 문제점을 해결하기 위하여 제안된 본 발명은, 텅스텐을 포함하는 막을 희생 하드마스크로 사용하는 경우 포토레지스트 패턴과의 식각선택비를 증가시켜 패턴 변형을 최소화할 수 있는 반도체소자 제조 방법을 제공하는데 그 목적이 있다.
The present invention proposed to solve the problems of the prior art as described above, when using a film containing tungsten as a sacrificial hard mask to increase the etch selectivity with the photoresist pattern manufacturing a semiconductor device that can minimize the pattern deformation The purpose is to provide a method.

상기와 같은 문제점을 해결하기 위해 본 발명은, 피식각층 상에 희생 하드마스크용 텅스텐을 포함하는 막을 형성하는 단계; 상기 희생 하드마스크용 텅스텐을 포함하는 막 상에 포토레지스트 패턴을 형성하는 단계; 상기 포토레지스트 패턴을 식각마스크로 불소함유가스와 CHF3 가스를 포함하는 플라즈마를 이용하여 상기 희생 하드마스크용 텅스텐을 포함하는 막을 식각하여 희생 하드마스크를 형성하는 단계; 및 적어도 상기 희생 하드마스크를 식각마스크로 상기 피식각층을 식각하여 소정의 패턴을 형성하는 단계를 포함하는 반도체소자 제조 방법을 제공한다.In order to solve the above problems, the present invention comprises the steps of forming a film comprising a tungsten for sacrificial hard mask on the etching layer; Forming a photoresist pattern on the film comprising tungsten for sacrificial hardmask; Etching the film including the sacrificial hard mask tungsten using a plasma containing a fluorine-containing gas and a CHF 3 gas as an etching mask to form a sacrificial hard mask; And etching the etched layer using at least the sacrificial hard mask as an etch mask to form a predetermined pattern.

또한, 상기와 같은 문제점을 해결하기 위해 본 발명은, 피식각층 상에 희생 하드마스크용 텅스텐을 포함하는 막을 형성하는 단계;In addition, the present invention to solve the above problems, forming a film comprising a tungsten for sacrificial hard mask on the etching layer;

상기 희생 하드마스크용 텅스텐을 포함하는 막 상에 반사방지막을 형성하는 단계; 상기 반사방지막 상에 포토레지스트 패턴을 형성하는 단계; 상기 포토레지스트 패턴을 식각마스크로 상기 반사방지막을 식각하는 단계; 상기 포토레지스트 패턴을 식각마스크로 불소함유가스와 CHF3 가스를 포함하는 플라즈마를 이용하여 상기 희생 하드마스크용 텅스텐을 포함하는 막을 식각하여 희생 하드마스크를 형성하는 단계; 및 적어도 상기 희생 하드마스크를 식각마스크로 상기 피식각층을 식각하여 소정의 패턴을 형성하는 단계를 포함하는 반도체소자 제조 방법을 제공한다.Forming an anti-reflection film on the film containing tungsten for the sacrificial hard mask; Forming a photoresist pattern on the anti-reflection film; Etching the anti-reflection film using the photoresist pattern as an etching mask; Etching the film including the sacrificial hard mask tungsten using a plasma containing a fluorine-containing gas and a CHF 3 gas as an etching mask to form a sacrificial hard mask; And etching the etched layer using at least the sacrificial hard mask as an etch mask to form a predetermined pattern.

바람직하게, 본 발명의 상기 소정의 패턴은 음각 또는 양각의 패턴을 포함한다. 또한, 상기 피식각층은 절연막이며, 상기 소정의 패턴은 콘택홀 패턴을 포함하 거나, 상기 피식각층은 전도층이며, 상기 소정의 패턴은 비트라인, 워드라인 또는 금속배선 중 어느 하나를 포함한다.
Preferably, the predetermined pattern of the present invention includes an intaglio or an embossed pattern. The etched layer may be an insulating film, the predetermined pattern may include a contact hole pattern, the etched layer may be a conductive layer, and the predetermined pattern may include any one of a bit line, a word line, and a metal line.

본 발명은, 희생 하드마스크 물질로 텅스텐을 포함하는 막을 사용하며, 텅스텐을 포함하는 막을 식각하는 과정에서 기존의 N2 대신 화학적인 작용을 하는 CHF3를 사용한다.In the present invention, a film including tungsten is used as a sacrificial hard mask material, and CHF 3 is used instead of the conventional N 2 in etching a film including tungsten.

N2 대신에 CHF3를 사용하면, 물리적인 반응을 약화시키며 약간의 폴리머가 생성된다. CHF3를 사용할 경우 N2에 비해 포토레지스트와 월등한 식각선택비를 얻을 수 있어 텅스텐 희생 하드마스크 형성시 포토레지스트 패턴의 변형을 최소화할 수 있다.Using CHF 3 instead of N 2 weakens the physical reaction and produces some polymer. When CHF 3 is used, the etching selectivity superior to that of N 2 can be obtained compared to N 2 , thereby minimizing the deformation of the photoresist pattern when forming a tungsten sacrificial hard mask.

따라서, 포토레지스트의 낮은 식각선택비로 인한 ArF 또는 F2 등의 노광원을 이용한 포토리소그라피 공정에 의한 패턴 형성시 패턴 변형을 방지한다.
Therefore, pattern deformation is prevented during pattern formation by a photolithography process using an exposure source such as ArF or F 2 due to the low etching selectivity of the photoresist.

이하, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자가 본 발명을 보다 용이하게 실시할 수 있도록 하기 위하여 본 발명의 바람직한 실시예를 첨부한 도면을 참조하여 상세하게 설명한다.DETAILED DESCRIPTION Hereinafter, exemplary embodiments of the present invention will be described in detail with reference to the accompanying drawings so that those skilled in the art can more easily implement the present invention.

도 1은 텅스텐 희생 하드마스크를 사용하는 게이트전극 패턴 형성을 위한 포토레지스트 패턴이 형성된 단면을 도시한다.1 illustrates a cross section in which a photoresist pattern for forming a gate electrode pattern using a tungsten sacrificial hard mask is formed.

도 1을 참조하면, 기판(100) 상에 게이트 절연막(101)과 게이트 전도막(102) 과 하드마스크용 절연막(103)이 적층되어 있으며, 하드마스크용 절연막(103) 상에 희생 하드마스크용 텅스텐막(104)과 반사방지막(105) 및 포토레지스트 패턴(106)이 차례로 형성되어 있다. Referring to FIG. 1, a gate insulating film 101, a gate conductive film 102, and a hard mask insulating film 103 are stacked on a substrate 100, and a sacrificial hard mask insulating film 103 is formed on a hard mask insulating film 103. The tungsten film 104, the antireflection film 105, and the photoresist pattern 106 are sequentially formed.

게이트전극 패턴 형성 공정은, 포토레지스트 패턴(106)을 식각마스크로 한 희생 하드마스크용 텅스텐막(104)의 식각과 형성된 희생 하드마스크를 이용한 하부 피식각층의 식각 과정을 통해 이루어진다. 도 1의 우측은 이러한 공정 단면을 나타내는 SEM(Scanning Electron Microscopy) 사진이다.The gate electrode pattern formation process is performed by etching the sacrificial hard mask tungsten film 104 using the photoresist pattern 106 as an etching mask and etching the lower etching layer using the formed sacrificial hard mask. The right side of Figure 1 is a SEM (Scanning Electron Microscopy) photograph showing this process cross section.

도 2a 내지 도 2e는 본 발명의 제1실시예에 따른 F2 또는 ArF 노광원을 이용한 반도체소자 패턴 형성 공정을 도시한 단면도로서, 이를 참조하여 상세히 설명한다.2A to 2E are cross-sectional views illustrating a semiconductor device pattern forming process using an F 2 or ArF exposure source according to a first embodiment of the present invention, which will be described in detail with reference to the drawings.

후술하는 본 발명의 제1실시예에서는 반도체소자의 라인 패턴 구체적으로, 도전패턴 중 비트라인 형성 공정을 그 일예로 하여 설명하는 바, 본 발명의 적용 대상이 되는 라인 패턴(도전패턴)은 제1실시예에서 제시한 비트라인 만으로 한정되는 것이 아니라 게이트전극, 스토리지노드 콘택 또는 금속배선 등의 다양한 형태의 패턴 형성 공정에도 적용이 가능하다.In the first embodiment of the present invention to be described below, the line pattern of the semiconductor device will be described in detail with reference to the bit line forming process as an example. The line pattern (conductive pattern) to which the present invention is applied is the first pattern. The present invention is not limited to the bit lines shown in the embodiment, but may be applied to various types of pattern forming processes such as gate electrodes, storage node contacts, or metal wirings.

또한, 전술한 라인 형태의 패턴 이외에 고립된 형태인 섬형(Island type), 스토리지노드 콘택과 같은 도넛츠형 등에도 적용이 가능하며, 전도층이 아닌 절연막 패턴에도 적용이 가능하다. 즉, 본 발명의 제1실시예에서는 양각 패턴을 형성하는 공정으로의 적용을 나타낸다고 할 수 있다. In addition, in addition to the above-described line-shaped pattern, it is also applicable to an isolated type of island type, a donut type such as a storage node contact, and the like, and also to an insulating film pattern instead of a conductive layer. That is, in the first embodiment of the present invention it can be said that the application to the process of forming an embossed pattern.                     

도 2a에 도시된 바와 같이, 반도체 소자를 이루기 위한 여러 요소가 형성된 기판(200) 상에 피식각층으로 전도층(201a)을 형성한 다음, 전도층(201a) 상에 절연성 물질이면서도 피식각층인 전도층(201a)과 선택비를 갖어 하드마스크 재료로 사용되는 Si3N4 또는 SiON 등의 질화막 계열의 박막 또는 SiO2 등의 산화막 계열의 박막을 사용하여 하드마스크용 절연막(202a)을 형성한다. As shown in FIG. 2A, a conductive layer 201a is formed as an etched layer on a substrate 200 on which various elements for forming a semiconductor device are formed, and then an electrically conductive material and an etched layer are formed on the conductive layer 201a. A hard mask insulating film 202a is formed using a nitride film-based thin film such as Si 3 N 4 or SiON or an oxide film-based thin film such as SiO 2 , which has a selectivity with the layer 201a and is used as a hard mask material.

이어서, 식각 공정시 하드마스크용 절연막(202a)의 손실에 따른 패턴 변형을 방지하기 위해 하드마스크용 절연막(202a) 상에 희생 하드마스크용 텅스텐막(203a)을 형성한다.Subsequently, a sacrificial hard mask tungsten film 203a is formed on the hard mask insulating film 202a to prevent a pattern deformation caused by the loss of the hard mask insulating film 202a during the etching process.

이어서, 희생 하드마스크용 텅스텐막(203a)은 후속 포토레지스트와의 식각선택비를 고려하여 1000Å 이하의 두께 예컨대, 100Å ∼ 1000Å의 두께를 갖도록 하는 것이 바람직하다.Subsequently, the sacrificial hard mask tungsten film 203a preferably has a thickness of 1000 GPa or less, for example, 100 GPa to 1000 GPa, in consideration of an etching selectivity with a subsequent photoresist.

여기서, 기판(200)은 그 내부에 절연구조와 도전구조를 모두 포함하는 것으로, 전술한 본 발명의 제1실시예와 같이 전도층(201a)이 비트라인 또는 금속배선 형성용이라면 전도층(201a)과 기판(200)과의 사이에 Ti막/TiN막 등의 확산배리어막, 소스/드레인 등의 불순물 접합층, 층간절연막 또는 폴리실리콘막 또는 텅스텐(W)막 등의 박막으로 이루어진 플러그가 형성되어 있을 것이다.Here, the substrate 200 includes both an insulating structure and a conductive structure therein. If the conductive layer 201a is for forming bit lines or metal wirings as in the first embodiment of the present invention, the conductive layer 201a is formed. ) Is formed between the substrate 200 and a diffusion barrier film such as a Ti film / TiN film, an impurity bonding layer such as a source / drain, an interlayer insulating film, or a thin film such as a polysilicon film or a tungsten (W) film. Will be.

또한, 전도층(201a)이 게이트전극 형성용이라면, 전도층(201a)과 기판(200) 사이의 계면에 게이트절연막(도시하지 않음)을 포함하고 있을 것이다.In addition, when the conductive layer 201a is used for forming a gate electrode, a gate insulating film (not shown) may be included at an interface between the conductive layer 201a and the substrate 200.

이어서, 희생 하드마스크용 텅스텐막(203a) 상에 패턴 형성을 위한 노광시 하부 즉, 이어서, 희생 하드마스크용 텅스텐막(203a)의 광반사도가 높음으로 인해 난반사가 이루어져 원하지 않는 패턴이 형성되는 것을 방지하며, 이어서, 희생 하드마스크용 텅스텐막(203a)과 후속 포토레지스트의 접착력을 향상시킬 목적으로 반사방지막(204a, ARC)을 형성한다.Subsequently, due to the high light reflectivity of the lower portion during exposure for forming a pattern on the sacrificial hard mask tungsten film 203a, that is, the sacrificial hard mask tungsten film 203a may be diffused to form an unwanted pattern. Then, antireflection films 204a and ARC are formed for the purpose of improving the adhesion between the sacrificial hard mask tungsten film 203a and the subsequent photoresist.

여기서, 반사방지막(204a)은 포토레지스트와 그 식각 특성이 유사한 유기기(Organic)의 물질을 이용하거나, SiON 등의 무기기(In-organic)를 이용할 수 있다.Here, the anti-reflection film 204a may use an organic material similar to the photoresist and its etching characteristics, or may use an inorganic group such as SiON.

이어서, 반사방지막(204a) 상에 F2 노광원용 또는 ArF 노광원용의 포토레지스트 예를 들어, COMA 또는 아크릴레이드를 사용하며, 이들을 스핀 코팅 등의 방법을 통해 적절한 두께로 도포한 다음, F2 노광원 또는 ArF 노광원과 게이트전극 폭을 정의하기 위한 소정의 레티클(도시하지 않음)을 이용하여 포토레지스트의 소정 부분을 선택적으로 노광하고, 현상 공정을 통해 노광 공정에 의해 노광되거나 혹은 노광되지 않은 부분을 잔류시킨 다음, 후세정 공정 등을 통해 식각 잔유물 등을 제거함으로써 포토레지스트 패턴(66a)을 형성한다.Subsequently, a photoresist for an F 2 exposure source or an ArF exposure source, for example, COMA or acrylate, is used on the antireflection film 204a, and these are applied to a suitable thickness by a method such as spin coating, and then F 2 exposure. A portion of the photoresist is selectively exposed using a circle or ArF exposure source and a predetermined reticle (not shown) for defining the gate electrode width, and the portion exposed or not exposed by the exposure process through a developing process. Next, the photoresist pattern 66a is formed by removing the etching residues and the like through a post-cleaning process or the like.

도 2b에 도시된 바와 같이, 포토레지스트 패턴(205b)을 식각마스크로 하는 선택적 식각 공정을 통해 반사방지막(204b)을 선택적으로 식각한다.As shown in FIG. 2B, the anti-reflection film 204b is selectively etched through a selective etching process using the photoresist pattern 205b as an etching mask.

이 때, 포토레지스트 패턴(205b)의 손실을 최소화하기 위해 Cl2, BCl3, CCl4 또는 HCl 등의 클로린계 가스를 사용한 플라즈마를 이용하여 식각 공정을 실시하거나, CF 계열의 가스를 사용할 경우 C/F의 비율이 낮은 가스 예컨대, CF4, C2F2 , CHF3 및 CH2F2로 이루어진 그룹으로부터 선택된 어느 하나의 가스를 사용한 플라즈마를 이용하여 식각 공정을 실시하는 것이 바람직하다.At this time, in order to minimize the loss of the photoresist pattern 205b, an etching process is performed using a plasma using a chlorine-based gas such as Cl 2 , BCl 3 , CCl 4, or HCl, or C-based gas is used. It is preferable to perform the etching process using a plasma using any one gas selected from the group consisting of a gas having a low / F ratio, such as CF 4 , C 2 F 2 , CHF 3 and CH 2 F 2 .

이는 반사방지막(204b) 식각 시에는 CD의 조절이 용이해야 하므로 폴리머를 거의 발생시키지 않는 조건으로 식각을 진행하기 위한 것이다.This is for etching the anti-reflection film 204b so that the CD should be easily controlled under conditions that hardly generate polymer.

이어서, 포토레지스트 패턴(205b)을 식각마스크로 희생 하드마스크용 텅스텐막(203a)을 식각하여 희생 하드마스크(203b)를 형성한다. Next, the sacrificial hard mask tungsten film 203a is etched using the photoresist pattern 205b as an etch mask to form the sacrificial hard mask 203b.

이하, 희생 하드마스크용 텅스텐막(203a) 식각 공정을 구체적으로 살펴본다.Hereinafter, the etching process of the tungsten film 203a for the sacrificial hard mask will be described in detail.

희생 하드마스크용 텅스텐막(203a)이 W막, WSix막 또는 WN막과 같이 텅스텐(W)을 포함하는 박막이므로, SF6, CF4 또는 NF3를 사용한다. 아울러, 종래의 경우 여기에 N2 가스를 추가로 사용함으로써 불소 함유 가스인 SF6, CF4 또는 NF3에 의한 화학적인 반응에 의한 식각과 N2에 의한 물리적인 식각을 이용하였다.Since the tungsten film 203a for the sacrificial hard mask is a thin film containing tungsten (W) like the W film, the WSix film or the WN film, SF 6 , CF 4 or NF 3 is used. In addition, in the conventional case, by additionally using N 2 gas, etching by chemical reaction with fluorine-containing gas SF 6 , CF 4 or NF 3 and physical etching with N 2 were used.

하지만, N2 가스의 경우 희생 하드마스크용 텅스텐막(203a)의 결합을 파괴하는 물리적인 식각 방식을 사용함으로 인해, 80nm 및 70nm 이하의 디자인룰에 적용되는 포토레지스트의 두께에 의한 텅스텐막과의 식각선택비의 확보에 한계를 드러내게 된다.However, in the case of N 2 gas, due to the physical etching method that breaks the bond of the sacrificial hard mask tungsten film 203a, the thickness of the photoresist applied to the design rule of 80 nm and 70 nm or less is increased. Limitations in securing etch selectivity will be revealed.

따라서, 본 발명에서는 N2 가스 대신에 약간의 폴리머를 발생시키면서 화학적인 반응으로 식각 과정이 진행되는 CHF3 가스를 사용한다.Therefore, in the present invention, instead of N 2 gas, CHF 3 gas, which is etched by a chemical reaction while generating some polymer, is used.

이 때, 챔버의 온도와 압력 및 사용하는 파워는 희생 하드마스크용 텅스텐막 (203a) 및 포토레지스트 패턴(205b)의 두께에 따라 달라진다.At this time, the temperature and pressure of the chamber and the power used depend on the thicknesses of the tungsten film 203a for the sacrificial hard mask and the photoresist pattern 205b.

도 3은 희생 하드마스크 형성 후의 본 발명과 종래기술의 차이점을 비교 도시한 SEM 사진이다.Figure 3 is a SEM photograph showing the difference between the present invention and the prior art after the formation of a sacrificial hard mask.

좌측은 종래의 N2 가스를 사용한 경우이고, 우측은 본 발명의 CHF3 가스를 사용한 경우이다. 본 발명의 경우 하드마스크 형성 후 남아 있는 포토레지스트 패턴의 두께가 'a'인 반면, 종래기술의 경우 하드마스크 형성 후 남아 있는 포토레지스트 패턴의 두께가 'c'와 같았다. 따라서, 본 발명의 경우가 종래기술에 비해 하드마스크 식각 후 남아 있는 포토레지스트 패턴의 두께가 'c'만큼 큼을 알 수 있다.Left is the case with a conventional N 2 gas, and when the right side with a CHF 3 gas of the present invention. In the present invention, the thickness of the photoresist pattern remaining after the hard mask is 'a', whereas in the prior art, the thickness of the photoresist pattern remaining after the hard mask is equal to 'c'. Therefore, in the case of the present invention, it can be seen that the thickness of the photoresist pattern remaining after the hard mask etching is larger than that of the prior art by 'c'.

도 4는 희생 하드마스크 형성 후의 공정 단면을 도시한 SEM 사진이다.4 is a SEM photograph showing a cross section of a process after formation of a sacrificial hard mask.

도 4를 참조하면, 좌측은 희생 하드마스크(203b) 형성 직후를 나타내고, 우측은 희생 하드마스크(203b) 형성 후 약간의 과도 식각을 진행한 경우를 나타낸다.Referring to FIG. 4, the left side shows immediately after the sacrificial hard mask 203b is formed, and the right side shows a case in which some excessive etching is performed after the sacrificial hard mask 203b is formed.

두 경우 모두를 통해 희생 하드마스크(203b) 형성을 위한 식각 공정시 포토레지스트 패턴(205b)의 변형이 거의 발생하지 않음을 알 수 있다.In both cases, it can be seen that deformation of the photoresist pattern 205b hardly occurs during the etching process for forming the sacrificial hard mask 203b.

도 2c에 도시된 바와 같이, 적어도(포토레지스트 패턴(205b)과 반사방지막(204b)은 대부분 식각 과정에서 제거가 되나 그 일부가 잔류될 수 있는 바, 포토레지스트 패턴(205b)과 반사방지막(204b)의 제거를 위한 별도의 포토레지스트 스트립 공정을 실시하지 않는 경우 잔류하는 포토레지스트 패턴(205b)과 반사방지막(204b)이 식각마스크 역할을 할 수 있으므로 '적어도'라고 표현함) 희생 하드마스크 (203b)를 식각마스크로 하드마스크용 절연막(202a)을 식각하여 비트라인 하드마스크(202b)를 형성한다.As shown in FIG. 2C, at least the photoresist pattern 205b and the anti-reflection film 204b are mostly removed during the etching process, but a part thereof may remain. Sacrificial hard mask 203b when the remaining photoresist pattern 205b and the anti-reflection film 204b may serve as an etch mask when a separate photoresist strip process is not performed. Using the etching mask to etch the hard mask insulating film 202a to form a bit line hard mask 202b.

이 때, 통상의 질화막 계열 또는 산화막 계열의 물질을 식각할 때 사용되는 CF 계열의 가스를 주식각 가스로 사용한다.At this time, a CF-based gas used for etching a conventional nitride film-based or oxide-based material is used as the stock angle gas.

희생 하드마스크(203c)의 두께를 충분히 두껍게 유지할 수 있으므로, 비트라인 하드마스크(202b) 형성을 위한 식각 공정에서 패턴 변형이 발생하지 않는다.Since the thickness of the sacrificial hard mask 203c can be sufficiently thick, pattern deformation does not occur in an etching process for forming the bit line hard mask 202b.

이어서. 도 2d에 도시된 바와 같이, 희생 하드마스크(203d)를 식각마스크로 전도층(201a)를 선택적으로 식각하여 도전패턴(201b) 즉, 비트라인을 형성한다.next. As illustrated in FIG. 2D, the conductive layer 201a is selectively etched using the sacrificial hard mask 203d as an etch mask to form a conductive pattern 201b, that is, a bit line.

전도층(201a) 식각 시 전도층(201a)의 물질 종류에 따라 그 가스 종류와 유량 및 파워 등을 조절한다.When etching the conductive layer 201a, the gas type, flow rate, power, etc. are adjusted according to the material type of the conductive layer 201a.

도 2e에 도시된 바와 같이, 잔류하는 희생 하드마스크(203d)를 제거한다. 희생 하드마스크(203d)와 전도층(201a)이 동일한 텅스텐막을 포함하는 경우, 그 두께를 조절함으로써 도전패턴(201b) 형성시 동시에 제거할 수 있다.As shown in FIG. 2E, the remaining sacrificial hardmask 203d is removed. When the sacrificial hard mask 203d and the conductive layer 201a include the same tungsten film, the thickness of the sacrificial hard mask 203d and the conductive layer 201a can be simultaneously removed when the conductive pattern 201b is formed.

별도의 제거 공정을 통해 제거할 수 있으며, 불소 함유 가스인 SF6, CF4 또는 NF3를 사용하여 제거한다.It can be removed by a separate removal process, using fluorine-containing gases SF 6 , CF 4 or NF 3 .

도 5는 비트라인이 형성된 반도체 소자의 단면을 도시한 TEM(Transmission Electron Microscope) 사진이며, 도 6은 도 5의 비트라인을 확대 도시한 TEM 사진이다.FIG. 5 is a transmission electron microscope (TEM) photograph showing a cross section of a semiconductor device in which a bit line is formed, and FIG. 6 is an enlarged TEM photograph of the bit line of FIG. 5.

도 5 및 도 6을 참조하면, 비트라인 하드마스크(202b)와 도전패턴(201b)에 어택이 없이 양호한 형태의 패터닝이 이루어진 것을 확인할 수 있다.5 and 6, it can be seen that good patterning is performed on the bit line hard mask 202b and the conductive pattern 201b without attack.

한편, 상기한 예에서는 희생 하드마스크가 텅스텐을 포함하는 단일막인 것 만을 그 예로 하였으나, 이외에도 텅스텐을 포함하는 막과 다른 막이 적층된 구조를 포함할 수 있다.Meanwhile, in the above example, the sacrificial hard mask is only a single film including tungsten. However, the sacrificial hard mask may include a structure in which a film including tungsten and another film are stacked.

다른 막의 종류로는 비결정성 탄소막, 폴리실리콘막, 질화막 등이 있다.
Other types of films include amorphous carbon films, polysilicon films, nitride films, and the like.

도 7a 내지 도 7c는 본 발명의 제2실시예에 따른 F2 또는 ArF 노광원을 이용한 반도체소자의 패턴 형성 공정을 도시한 단면도로서, 이를 참조하여 상세히 설명한다.7A to 7C are cross-sectional views illustrating a pattern forming process of a semiconductor device using an F 2 or ArF exposure source according to a second embodiment of the present invention, which will be described in detail with reference to the drawings.

후술하는 본 발명의 제2실시예에서는 반도체 소자의 스페이스 패턴(Space pattern) 예컨대, 콘택홀 패턴 형성 공정을 그 일예로 하여 설명하는 바, 본 발명의 적용 대상이 되는 콘택홀 패턴은 금속배선 콘택과 비트라인 또는 캐패시터의 스토리지 노드 콘택을 위한 소스/드레인 접합 등의 기판 내의 불순물 접합층과의 콘택 및 콘택 패드 형성을 위한 공정 등에 적용이 가능하다.In the second embodiment of the present invention to be described below, a process for forming a space pattern, for example, a contact hole pattern of a semiconductor device, will be described as an example. The present invention can be applied to a process for forming a contact pad and contact with an impurity junction layer in a substrate such as a source / drain junction for a storage node contact of a bit line or a capacitor.

또한, 이하의 실시예는 피식각층을 절연막으로 하는 공정인 소자분리(Isolation)를 위한 트렌치(Trench) 형성 공정이나, 피식각층을 전도층으로 하는 공정 예컨대, 박막 트랜지스터(Thin film transistor)의 채널 형성 부분을 오픈시키기 위한 폴리실리콘을 식각하는 공정 등에도 적용이 가능하다. 즉, 본 발명의 이하의 실시예에서는 음각 패턴을 형성하는 공정으로의 적용을 나타낸다고 할 수 있 다.In addition, the following embodiment is a trench formation process for isolation of a device in which an etched layer is an insulating film, or a process of forming a channel of a thin film transistor, for example, a process in which the etched layer is a conductive layer. It is also applicable to a process of etching polysilicon to open a part. That is, in the following embodiments of the present invention it can be said that the application to the process of forming the intaglio pattern.

도 7a에 도시된 바와 같이, 반도체 소자를 형성하기 위한 여러 요소가 형성된 기판(700) 상에 피식각층으로 절연막(701)을 형성한다.As shown in FIG. 7A, an insulating layer 701 is formed as an etched layer on a substrate 700 on which various elements for forming a semiconductor device are formed.

절연막(701)은 불순물이 도핑되거나(Doped) 또는 불순물이 도핑되지 않은(Undoped) 산화막 또는 질화막 등의 절연성 막을 포함한다.The insulating film 701 includes an insulating film such as an oxide film or a nitride film doped with impurities or undoped with impurities.

이어서, 식각 공정시 절연막(701)의 이상 손실에 따른 패턴 변형을 방지하기 위해 절연막(701) 상에 희생 하드마스크용 텅스텐막(702a)을 형성한다.Subsequently, a sacrificial hard mask tungsten film 702a is formed on the insulating film 701 in order to prevent pattern deformation due to abnormal loss of the insulating film 701 during the etching process.

이어서, 희생 하드마스크용 텅스텐막(702a) 상에 패턴 형성을 위한 노광시 하부 즉, 희생 하드마스크용 텅스텐막(702a)의 광반사도가 높음으로 인해 난반사가 이루어져 원하지 않는 패턴이 형성되는 것을 방지하며, 희생 하드마스크용 텅스텐막(702a)과 후속 포토레지스트의 접착력을 향상시킬 목적으로 반사방지막(703a)을 형성한다.Subsequently, due to the high light reflectivity of the lower portion, that is, the sacrificial hard mask tungsten film 702a during exposure for forming a pattern on the sacrificial hard mask tungsten film 702a, the reflection is prevented from being formed by unwanted reflection. The antireflection film 703a is formed for the purpose of improving the adhesion between the sacrificial hard mask tungsten film 702a and the subsequent photoresist.

여기서, 반사방지막(703a)은 포토레지스트와 그 식각 특성이 유사한 유기기의 물질을 이용하거나, SiON 등의 무기기를 이용할 수 있다.Here, the anti-reflection film 703a may use a material of an organic group similar to the photoresist and its etching characteristics, or may use an inorganic group such as SiON.

이어서, 반사방지막(703a) 상에 F2 노광원용 또는 ArF 노광원용의 포토레지스트 예를 들어, COMA 또는 아크릴레이드를 사용하며, 이들을 스핀 코팅 등의 방법을 통해 적절한 두께로 도포한 다음, F2 노광원 또는 ArF 노광원과 게이트전극 폭을 정의하기 위한 소정의 레티클(도시하지 않음)을 이용하여 포토레지스트의 소정 부분을 선택적으로 노광하고, 현상 공정을 통해 노광 공정에 의해 노광되거나 혹은 노광되지 않은 부분을 잔류시킨 다음, 후세정 공정 등을 통해 식각 잔유물 등을 제거함으로써 포토레지스트 패턴(704a)을 형성한다.Subsequently, a photoresist for an F 2 exposure source or an ArF exposure source, for example, COMA or acrylate, is used on the antireflection film 703a, and these are applied to a suitable thickness by a method such as spin coating, and then F 2 exposure. A portion of the photoresist is selectively exposed using a circle or ArF exposure source and a predetermined reticle (not shown) for defining the gate electrode width, and the portion exposed or not exposed by the exposure process through a developing process. After that, the photoresist pattern 704a is formed by removing the etching residue and the like through a post-cleaning process or the like.

도 7b에 도시된 바와 같이, 포토레지스트 패턴(704b)을 식각마스크로 하는 선택적 식각 공정을 통해 반사방지막(703b)을 선택적으로 식각한다.As shown in FIG. 7B, the anti-reflection film 703b is selectively etched through a selective etching process using the photoresist pattern 704b as an etching mask.

이 때, 포토레지스트 패턴(704b)의 손실을 최소화하기 위해 Cl2, BCl3, CCl4 또는 HCl 등의 클로린계 가스를 사용한 플라즈마를 이용하여 식각 공정을 실시하거나, CF 계열의 가스를 사용할 경우 C/F의 비율이 낮은 가스 예컨대, CF4, C2F2 , CHF3 및 CH2F2로 이루어진 그룹으로부터 선택된 어느 하나의 가스를 사용한 플라즈마를 이용하여 식각 공정을 실시하는 것이 바람직하다.In this case, in order to minimize the loss of the photoresist pattern 704b, an etching process is performed by using a plasma using a chlorine-based gas such as Cl 2 , BCl 3 , CCl 4, or HCl, or when using a CF-based gas. It is preferable to perform the etching process using a plasma using any one gas selected from the group consisting of a gas having a low / F ratio, such as CF 4 , C 2 F 2 , CHF 3 and CH 2 F 2 .

이는 반사방지막(703b) 식각 시에는 CD의 조절이 용이해야 하므로 폴리머를 거의 발생시키지 않는 조건으로 식각을 진행하기 위한 것이다.This is because the CD should be easily controlled during the anti-reflection film 703b, so that the etching may be performed under conditions that hardly generate polymer.

이어서, 포토레지스트 패턴(704b)을 식각마스크로 희생 하드마스크용 텅스텐막(702a)을 식각하여 희생 하드마스크(702b)를 형성한다. Next, the sacrificial hard mask tungsten film 702a is etched using the photoresist pattern 704b as an etch mask to form a sacrificial hard mask 702b.

이하, 희생 하드마스크용 텅스텐막(702a) 식각 공정을 구체적으로 살펴본다.Hereinafter, an etching process of the tungsten film 702a for the sacrificial hard mask will be described in detail.

희생 하드마스크용 텅스텐막(702a)이 W막, WSix막 또는 WN막과 같이 텅스텐(W)을 포함하는 박막이므로, SF6, CF4 또는 NF3를 사용한다. 아울러, 종래의 경우 여기에 N2 가스를 추가로 사용함으로써 불소 함유 가스인 SF6, CF4 또는 NF3에 의한 화학적인 반응에 의한 식각과 N2에 의한 물리적인 식각을 이용하였다.Since the tungsten film 702a for sacrificial hard mask is a thin film containing tungsten (W) such as a W film, a WSix film or a WN film, SF 6 , CF 4, or NF 3 is used. In addition, in the conventional case, by additionally using N 2 gas, etching by chemical reaction with fluorine-containing gas SF 6 , CF 4 or NF 3 and physical etching with N 2 were used.

하지만, N2 가스의 경우 희생 하드마스크용 텅스텐막(702a)의 결합을 파괴하는 물리적인 식각 방식을 사용함으로 인해, 80nm 및 70nm 이하의 디자인룰에 적용되는 포토레지스트의 두께에 의한 텅스텐막과의 식각선택비의 확보에 한계를 드러내게 된다.However, in the case of N 2 gas, due to the physical etching method that destroys the bonding of the sacrificial hard mask tungsten film 702a, the thickness of the photoresist applied to the design rule of 80 nm and 70 nm or less is increased. Limitations in securing etch selectivity will be revealed.

따라서, 본 발명에서는 N2 가스 대신에 약간의 폴리머를 발생시키면서 화학적인 반응으로 식각 과정이 진행되는 CHF3 가스를 사용한다.Therefore, in the present invention, instead of N 2 gas, CHF 3 gas, which is etched by a chemical reaction while generating some polymer, is used.

이 때, 챔버의 온도와 압력 및 사용하는 파워는 희생 하드마스크용 텅스텐막(702a) 및 포토레지스트 패턴(704b)의 두께에 따라 달라진다.At this time, the temperature and pressure of the chamber and the power used depend on the thicknesses of the tungsten film 702a for sacrificial hard mask and the photoresist pattern 704b.

도 7c에 도시된 바와 같이, 적어도(포토레지스트 패턴(704b)과 반사방지막(703b)은 대부분 식각 과정에서 제거가 되나 그 일부가 잔류될 수 있는 바, 포토레지스트 패턴(704b)과 반사방지막(703b)의 제거를 위한 별도의 포토레지스트 스트립 공정을 실시하지 않는 경우 잔류하는 포토레지스트 패턴(704b)과 반사방지막(703b)이 식각마스크 역할을 할 수 있으므로 '적어도'라고 표현함) 희생 하드마스크(702c)를 식각마스크로 절연막(701)를 선택적으로 식각하여 기판(700) 구체적으로는 도전구조의 표면 또는 그 상부를 노출시키는 콘택홀(705)을 형성한다.As shown in FIG. 7C, at least (the photoresist pattern 704b and the anti-reflection film 703b are mostly removed during the etching process, but a part thereof may remain. As a result, the photoresist pattern 704b and the anti-reflection film 703b may remain. Sacrificial hard mask 702c if the remaining photoresist pattern 704b and the anti-reflective film 703b may serve as an etch mask when a separate photoresist strip process is not performed. The insulating film 701 is selectively etched with an etch mask to form a contact hole 705 exposing the surface of the conductive structure or the upper portion of the conductive structure.

이어서, 잔류하는 희생 하드마스크(702c)를 제거한다. Subsequently, the remaining sacrificial hard mask 702c is removed.

희생 하드마스크(702c)와 의 두께를 조절하여 절연막(701) 식각시 동시에 제거되도록 할 수 있다.The thickness of the sacrificial hard mask 702c may be adjusted to be simultaneously removed during etching of the insulating layer 701.

한편, 별도의 제거 공정을 통해 제거할 수 있으며, 이 경우에는 불소 함유 가스인 SF6, CF4 또는 NF3를 사용하여 제거한다.On the other hand, it can be removed through a separate removal process, in this case, using a fluorine-containing gas SF 6 , CF 4 or NF 3 to remove.

전술한 절연막(701)으로 주로 산화막 계열을 이용하는 바, 산화막에 대한 식각 조건을 보다 구체적으로 살펴 본다.Since the oxide film is mainly used as the insulating film 701, the etching conditions for the oxide film will be described in more detail.

산화막 식각시 통상적으로 사용되는 CF4, CHF3, CH2F2, C4 F6, C4F8, C3F8 또는 C5F8 등을 CF계열(Fluorocarbon)의 가스를 사용하며, 이 때 MERIE(Magnetic Enhancement Reactive Ion Etching) 방식의 에쳐(Etcher)에서 CF가스의 플로우율을 20SCCM ∼ 100SCCM으로 하고 1000W ∼ 2500W 정도의 파워를 사용한다.CF 4 , CHF 3 , CH 2 F 2 , C 4 F 6 , C 4 F 8 , C 3 F 8, or C 5 F 8, etc., which are commonly used in etching an oxide layer, use a CF-based gas. In this case, the flow rate of CF gas is set at 20 SCCM to 100 SCCM in the etchant of MERIE (Magnetic Enhancement Reactive Ion Etching), and power of about 1000 W to 2500 W is used.

이 때, 에쳐 내의 압력을 25mTorr ∼ 70mTorr로 유지하고, 캐소드(Cathode) 온도를 -20℃ ∼ +60℃ 정도로 유지하며, 식각 프로파일을 제어할 수 있도록 상기 CF 가스의 65% ∼ 80%의 플로우율로 산소 가스를 더 첨가할 수 있다.At this time, the pressure in the archer is maintained at 25 mTorr to 70 mTorr, the cathode temperature is maintained at about -20 ° C to + 60 ° C, and the flow rate of 65% to 80% of the CF gas is controlled to control the etching profile. Oxygen gas can be added further.

한편, 상기한 예에서는 희생 하드마스크가 텅스텐을 포함하는 단일막인 것 만을 그 예로 하였으나, 이외에도 텅스텐을 포함하는 막과 다른 막이 적층된 구조를 포함할 수 있다. 다른 막의 종류로는 비결정성 탄소막, 폴리실리콘막, 질화막 등이 있다.Meanwhile, in the above example, the sacrificial hard mask is only a single film including tungsten. However, the sacrificial hard mask may include a structure in which a film including tungsten and another film are stacked. Other types of films include amorphous carbon films, polysilicon films, nitride films, and the like.

이하에서는 실제 DRAM(Dynamic Random Access Memory) 공정의 예를 통해 홀 패턴 형성을 위한 구조를 살펴 본다.Hereinafter, a structure for forming a hole pattern will be described through an example of an actual DRAM (Dynamic Random Access Memory) process.

도 8은 금속배선 형성을 위한 마스크 패턴이 형성된 반도체소자를 도시한 평면도이다.8 is a plan view illustrating a semiconductor device in which a mask pattern for forming metal wiring is formed.

도 8을 참조하면, 게이트전극(G)이 형성되어 있고, 게이트전극(G) 상부에서 게이트전극(G)과 교차하는 방향으로 비트라인(B/L)이 형성되어 있다. 게이트전극(G)의 측면에 얼라인되어 형성된 셀콘택 플러그(도시하지 않음)와, 셀콘택 플러그에 콘택된 스토리지노드용 콘택 플러그(도시하지 않음)와, 스토리지노드용 콘택 플러그 접속된 스토리지노드(도시하지 않음)와, 스토리지노드 상부의 유전체막(도시하지 않음)과, 유전체막 상의 플레이트전극(P)이 일련의 공정을 통해 형성되어 있다.Referring to FIG. 8, a gate electrode G is formed, and a bit line B / L is formed in a direction crossing the gate electrode G on the gate electrode G. Referring to FIG. A cell contact plug (not shown) formed on the side surface of the gate electrode G, a storage node contact plug (not shown) contacted to the cell contact plug, a storage node contact plug connected to the storage node ( Not shown), a dielectric film (not shown) on the storage node, and a plate electrode P on the dielectric film are formed through a series of processes.

플레이트전극(P) 상부에는 금속배선 콘택 형성을 위한 마스크 패턴(M)이 형성되어 있다.A mask pattern M is formed on the plate electrode P to form a metal wiring contact.

한편, 게이트전극(G)을 포함한 비트라인(B/L)과 플레이트전극(P) 등은 모두 신호 또는 전원 라인과의 접속을 위해 금속배선과 접속되어야 한다.On the other hand, both the bit line (B / L) and the plate electrode (P) including the gate electrode (G) should be connected to the metal wiring for the connection to the signal or power line.

마스크 패턴(M)은 플레이트 전극(P)의 금속배선을 위한 콘택(A)과 비트라인(B/L)의 금속배선을 위한 콘택(B) 형성을 위한 것이다.The mask pattern M is for forming a contact A for metal wiring of the plate electrode P and a contact B for metal wiring of the bit line B / L.

도 9는 도 8을 a-a' 방향으로 절취한 단면도이다.FIG. 9 is a cross-sectional view taken along the line a-a 'of FIG. 8.

도 9를 참조하면, 기판(800) 상에 게이트전극(G)이 형성되어 있다. 게이트전극(G)은 게이트 산화막(801)과 게이트 전도막(802)과 게이트 하드마스크(803)의 적층 구조와 그 측면의 스페이서(804)를 구비한다. 게이트전극(G)은 제1층간절연막(805)에 의해 둘러 쌓여 있으며, 제1층간절연막(805)을 관통하여 기판(800)의 불순물 확산영역과 콘택되며 게이트 하드마스크(803)와 평탄화되어 아이솔레이션(Isolation)이 이루어진 셀콘택 플러그(806)가 형성되어 있다.9, a gate electrode G is formed on a substrate 800. The gate electrode G includes a stacked structure of a gate oxide film 801, a gate conductive film 802, and a gate hard mask 803, and a spacer 804 on the side thereof. The gate electrode G is surrounded by the first interlayer insulating film 805, penetrates through the first interlayer insulating film 805, contacts with the impurity diffusion region of the substrate 800, and is planarized with the gate hard mask 803 to be isolated. A cell contact plug 806 formed with isolation is formed.

셀콘택 플러그(806) 상에는 제2층간절연막(807)이 형성되어 있으며, 제2층간 절연막(807) 상에는 제2층간절연막(807)을 관통하여 도면에서 도시되지 않은 셀콘택 플러그와 전기적으로 접속된 비트라인(B/L)이 형성되어 있다.A second interlayer insulating film 807 is formed on the cell contact plug 806. A second interlayer insulating film 807 is formed on the cell contact plug 806, and is electrically connected to a cell contact plug not shown in the drawing through the second interlayer insulating film 807. Bit lines B / L are formed.

비트라인(B/L)은 비트라인 전도막(808)과 비트라인 하드마스크(809)의 적층 구조와 그 측면의 스페이서(도시하지 않음)를 구비한다.The bit line B / L includes a stacked structure of the bit line conductive film 808 and the bit line hard mask 809 and a spacer (not shown) on the side thereof.

비트라인(B/L) 상에는 제3층간절연막(810)이 형성되어 있다. 제3층간절연막(810) 상에는 제3층간절연막(810)과 제2층간절연막(807)을 관통하여 도면에서 도시되지 않은 셀콘택 플러그와 전기적으로 접속된 스토리지노드용 콘택 플러그가 형성되어 있다. A third interlayer insulating film 810 is formed on the bit line B / L. On the third interlayer insulating film 810, a storage node contact plug is formed through the third interlayer insulating film 810 and the second interlayer insulating film 807 and electrically connected to a cell contact plug (not shown).

스토리지노드용 콘택 플러그 상에는 스토리지노드(811)와 유전체막(812) 및 플레이트전극(813)이 차례로 형성되어 셀 캐패시터를 이룬다. 한편, 셀 캐패시터가 형성되지 않는 부분에서는 제4층간절연막(814)이 형성되어 있다.The storage node 811, the dielectric layer 812, and the plate electrode 813 are sequentially formed on the storage node contact plug to form a cell capacitor. On the other hand, in the portion where the cell capacitor is not formed, the fourth interlayer insulating film 814 is formed.

캐패시터 및 제4층간절연막(814) 상에는 제5층간절연막(815)이 형성되어 있으며, 제5층간절연막(815) 상에는 희생 하드마스크용 텅스텐막(816)이 형성되어 있고, 희생 하드마스크용 텅스텐막(816) 상에는 반사방지막(817)이 적층되어 있으며, 반사방지막(817) 상에는 포토레지스트 패턴(818)이 형성되어 있다.A fifth interlayer insulating film 815 is formed on the capacitor and the fourth interlayer insulating film 814, and a tungsten film for sacrificial hard mask 816 is formed on the fifth interlayer insulating film 815, and a tungsten film for sacrificial hard mask is formed. An antireflection film 817 is stacked on the 816, and a photoresist pattern 818 is formed on the antireflection film 817.

플레이트전극(813)과 접속되는 금속배선 형성을 위한 식각 부위는 도면부호 '819'과 같이 제5층간절연막(815) 만을 포함하므로 별 다른 상관이 없으나, 비트라인(B/L) 금속배선을 형성을 위한 식각 부위는 도면부호 '820'과 같이 제5층간절연막(815)과 제4층간절연막(814)과 제3층간절연막(810) 및 비트라인 하드마스크(809)를 포함하므로 식각 타겟이 상당히 큼을 알 수 있다. Since the etching part for forming the metal wiring connected to the plate electrode 813 includes only the fifth interlayer insulating film 815 as indicated by '819', it does not matter much, but forms the bit line (B / L) metal wiring. The etching portion for the etch target includes a fifth interlayer insulating film 815, a fourth interlayer insulating film 814, a third interlayer insulating film 810, and a bit line hard mask 809, as shown by reference numeral 820. You can see the big picture.                     

특히, 제4층간절연막(814)의 경우 캐패시터의 수직 높이에 달하므로 그 두께만으로 20,000Å 정도 된다고 볼 수 있다. 따라서, 도면부호 '820'의 식각 타겟은 30,000 정도가 됨을 알 수 있다.In particular, since the fourth interlayer insulating film 814 reaches the vertical height of the capacitor, the thickness of the fourth interlayer insulating film 814 may be about 20,000 μs. Accordingly, it can be seen that the etching target of '820' is about 30,000.

따라서, 80nm 이하의 디자인 룰이 적용되는 반도체소자 제조 공정시, 텅스텐 희생 하드마스크의 사용없이 원하는 콘택홀 패턴을 형성하는 것이 사실상 불가능하다. Therefore, in the semiconductor device manufacturing process to which a design rule of 80 nm or less is applied, it is virtually impossible to form a desired contact hole pattern without using a tungsten sacrificial hard mask.

아울러, 1000Å 이하의 희생 하드마스크용 텅스텐막(816)을 사용할 경우, N2 대신에 CHF3를 사용함으로써 포토레지스트 패턴(818)만으로 식각이 가능하다.In addition, in the case of using the sacrificial hard mask tungsten film 816 of 1000 kV or less, etching is possible using only the photoresist pattern 818 by using CHF 3 instead of N 2 .

또한, 텅스텐 희생 하드마스크를 이용하게 되면, 이러한 깊은 콘택홀 형성시에도 패턴 변형이 거의 없이 원하는 패턴 형성이 가능하다.In addition, when a tungsten sacrificial hard mask is used, a desired pattern may be formed with little pattern deformation even when the deep contact hole is formed.

도 10은 스토리지노드 콘택 형성을 위한 마스크 패턴이 형성된 반도체소자를 도시한 평면도이다.10 is a plan view illustrating a semiconductor device in which a mask pattern for forming a storage node contact is formed.

도 10을 참조하면, 게이트전극 G1과 G2가 일방향으로 일정 간격을 갖고 배열되어 있고, 게이트전극(G1, G2) 상부에서 게이트전극(G1, G2)과 교차하는 방향으로 비트라인(B/L1, B/L2)이 형성되어 있다. 게이트전극(G1, G2)의 측면에 얼라인되어 셀콘택 플러그(도시하지 않음)가 형성되어 있으며, 비트라인(B/L1, B/L2) 상부에는 스토리지노드용 콘택홀 형성을 위한 마스크 패턴(M)이 형성되어 있다.Referring to FIG. 10, the gate electrodes G1 and G2 are arranged at regular intervals in one direction, and the bit lines B / L1 and G2 intersect the gate electrodes G1 and G2 on the gate electrodes G1 and G2. B / L2) is formed. Cell contact plugs (not shown) are formed on the side surfaces of the gate electrodes G1 and G2, and mask patterns for forming contact holes for storage nodes are formed on the bit lines B / L1 and B / L2. M) is formed.

마스크 패턴(M)은 스토리지노드용 콘택홀 형성을 위해 비트라인(B/L1, B/L2)의 측면에 각각 얼라인되어 도면부호 'C'와 같은 부분에서 셀콘택 플러그를 노출시 키기 위한 것이다.The mask pattern M is aligned on the sides of the bit lines B / L1 and B / L2 to form contact holes for the storage node, and exposes the cell contact plugs at portions indicated by the reference numeral 'C'. .

도 11은 도 10을 b-b' 방향으로 절취한 단면도이다.FIG. 11 is a cross-sectional view taken along the line b-b 'of FIG. 10.

도 11을 참조하면, 기판(900) 상에 게이트전극이 형성되어 있는 바, 도 10을 b-b' 방향으로 절취한 단면에서는 게이트전극이 나타나지 않는다.Referring to FIG. 11, since the gate electrode is formed on the substrate 900, the gate electrode does not appear in the cross section taken along the direction b-b 'of FIG. 10.

게이트전극은 제1층간절연막(901)에 의해 둘러 쌓여 있으며, 제1층간절연막(901)을 관통하여 기판(900)의 불순물 확산영역과 콘택되며 게이트 하드마스크(도시하지 않음)와 평탄화되어 아이솔레이션이 이루어진 셀콘택 플러그(902)가 형성되어 있다.The gate electrode is surrounded by the first interlayer insulating film 901, contacts the impurity diffusion region of the substrate 900 through the first interlayer insulating film 901, and is planarized with a gate hard mask (not shown) to provide isolation. A cell contact plug 902 is formed.

셀콘택 플러그(902) 상에는 제2층간절연막(903)이 형성되어 있으며, 제2층간절연막(903) 상에는 제2층간절연막(903)을 관통하여 도면에서 도시되지 않은 셀콘택 플러그와 전기적으로 접속된 비트라인(B/L)이 형성되어 있다.A second interlayer insulating film 903 is formed on the cell contact plug 902, and a second interlayer insulating film 903 is formed on the cell contact plug 902 to be electrically connected to a cell contact plug not shown in the drawing. Bit lines B / L are formed.

비트라인(B/L)은 비트라인 전도막(904)과 비트라인 하드마스크(905)의 적층 구조와 그 측면의 스페이서(S)를 구비한다.The bit line B / L includes a stack structure of the bit line conductive film 904 and the bit line hard mask 905 and a spacer S on the side thereof.

비트라인(B/L) 상에는 제3층간절연막(906)이 형성되어 있다. 제3층간절연막(906) 상에는 스토리지노드 형성시 하부의 어택 방지를 위한 스토리지노드용 하드마스크(907)와 PE-TEOS(플라즈마 화학기상증착(Plasma Enhanced Chemical Vapor Deposition; 이하 PECVD라 함) 방식으로 TEOS(Tetra Ethyl Ortho Silicate) 소스를 사용하여 형성한 산화막)이 적층되어 있다. PE-TEOS막(908) 상에는 식각정지막(909)이 형성되어 있으며, 식각정지막(909) 상에는 질화막 또는 산화막을 이용한 희생 하드마스크용 절연막(910)이 형성되어 있다. A third interlayer insulating film 906 is formed on the bit lines B / L. On the third interlayer insulating layer 906, a hard mask 907 for a storage node to prevent attack at the bottom when forming the storage node and TEOS in a PE-TEOS (Plasma Enhanced Chemical Vapor Deposition (PECVD) method) method. (Oxide film formed using a Tetra Ethyl Ortho Silicate) source is laminated. An etch stop film 909 is formed on the PE-TEOS film 908, and an insulating film 910 for sacrificial hard mask using a nitride film or an oxide film is formed on the etch stop film 909.                     

희생 하드마스크용 절연막(910) 상에는 희생 하드마스크용 텅스텐막(911)이 형성되어 있고, 희생 하드마스크용 텅스텐막(911) 상에는 반사방지막(912)이 형성되어 있으며, 반사방지막(912) 상에는 포토레지스트 패턴(913)이 형성되어 있다.A sacrificial hard mask tungsten film 911 is formed on the sacrificial hard mask insulating film 910, an antireflection film 912 is formed on the sacrificial hard mask tungsten film 911, and a photoresist is formed on the antireflection film 912. A resist pattern 913 is formed.

스토리지노드용 콘택홀 형성 공정의 경우 오버랩 마진이 상당히 부족하고 그 식각 타겟 또한 크므로 텅스텐막을 사용하게 되면, 패턴 변형이 거의 없이 원하는 패턴 형성이 가능하다.In the case of the contact hole forming process for the storage node, since the overlap margin is considerably insufficient and the etching target is also large, when the tungsten film is used, the desired pattern can be formed with little pattern deformation.

아울러, 텅스텐막의 식각 공정 또한 상기한 바와 같이 이루어진다.
In addition, the etching process of the tungsten film is also performed as described above.

상기한 바와 같이 이루어지는 본 발명은,희생 하드마스크 물질로 텅스텐을 포함하는 막을 사용하며, 텅스텐을 포함하는 막을 식각하는 과정에서 기존의 N2 대신 화학적인 작용을 하는 CHF3를 사용함으로써, 종래의 N2에 비해 포토레지스트와 월등한 식각선택비를 얻을 수 있어 텅스텐 희생 하드마스크 형성시 포토레지스트 패턴의 변형을 최소화할 수 있으며, 이로 인해 포토레지스트의 낮은 식각선택비로 인한 ArF 또는 F2 등의 노광원을 이용한 포토리소그라피 공정에 의한 패턴 형성시 패턴 변형을 방지할 수 있음을 실시예를 통해 알아보았다.
The present invention made as described above, by using a film containing tungsten as a sacrificial hard mask material, by using CHF 3 to perform a chemical action instead of the conventional N 2 in the process of etching the film containing tungsten, the conventional N Compared with 2 , the etching selectivity superior to the photoresist can be obtained, thereby minimizing the deformation of the photoresist pattern when forming the tungsten sacrificial hard mask, and thus the exposure source such as ArF or F 2 due to the low etching selectivity of the photoresist It was found through the examples that the pattern deformation can be prevented during the pattern formation by the photolithography process using.

이상에서 설명한 본 발명은 전술한 실시예 및 첨부된 도면에 의해 한정되는 것이 아니고, 본 발명의 기술적 사상을 벗어나지 않는 범위 내에서 여러 가지 치환, 변형 및 변경이 가능하다는 것이 본 발명이 속하는 기술분야에서 통상의 지식 을 가진 자에게 있어 명백할 것이다.
The present invention described above is not limited to the above-described embodiments and the accompanying drawings, and various substitutions, modifications, and changes are possible in the art without departing from the technical spirit of the present invention. It will be apparent to those of ordinary skill.

전술한 본 발명은, F2 또는 ArF 등의 노광원을 사용하는 사진식각 공정에 따른 포토레지스트 패턴의 변형과 손실을 방지할 수 있어, 반도체소자의 수율을 크게 향상시킬 수 있는 효과가 있다.The present invention described above can prevent the deformation and loss of the photoresist pattern according to the photolithography process using an exposure source such as F 2 or ArF, so that the yield of the semiconductor device can be greatly improved.

Claims (15)

피식각층 상에 희생 하드마스크용 텅스텐을 포함하는 막을 형성하는 단계;Forming a film comprising tungsten for sacrificial hardmask on the etched layer; 상기 희생 하드마스크용 텅스텐을 포함하는 막 상에 포토레지스트 패턴을 형성하는 단계; Forming a photoresist pattern on the film comprising tungsten for sacrificial hardmask; 상기 포토레지스트 패턴을 식각마스크로 불소함유가스와 CHF3 가스를 포함하는 플라즈마를 이용하여 상기 희생 하드마스크용 텅스텐을 포함하는 막을 식각하여 희생 하드마스크를 형성하는 단계; 및Etching the film including the sacrificial hard mask tungsten using a plasma containing a fluorine-containing gas and a CHF 3 gas as an etching mask to form a sacrificial hard mask; And 적어도 상기 희생 하드마스크를 식각마스크로 상기 피식각층을 식각하여 소정의 패턴을 형성하는 단계Etching the etched layer using at least the sacrificial hard mask as an etch mask to form a predetermined pattern 를 포함하는 반도체소자 제조 방법.Semiconductor device manufacturing method comprising a. 제 1 항에 있어서,The method of claim 1, 상기 희생 하드마스크용 텅스텐을 포함하는 막은 W막, WSix막 또는 WN막 중 어느 하나를 포함하는 막인 것을 특징으로 하는 반도체소자 제조 방법.And the film comprising tungsten for sacrificial hard mask is a film including any one of a W film, a WSix film, and a WN film. 제 2 항에 있어서,The method of claim 2, 상기 희생 하드마스크를 형성하는 단계에서, 상기 불소함유가스는 NF3, SF6 또는 CF4 중 어느 하나의 가스를 이용하는 것을 특징으로 하는 반도체 소자 제조 방법.In the step of forming the sacrificial hard mask, the fluorine-containing gas is a semiconductor device manufacturing method, characterized in that using any one of NF 3 , SF 6 or CF 4 gas. 제 1 항에 있어서,The method of claim 1, 상기 소정의 패턴은 음각 또는 양각 패턴을 포함하는 것을 특징으로 하는 반도체소자 제조 방법.And the predetermined pattern includes an intaglio or an embossed pattern. 제 1 항에 있어서,The method of claim 1, 상기 피식각층은 절연막이며, 상기 소정의 패턴은 콘택홀 패턴을 포함하는 것을 특징으로 하는 반도체소자 제조 방법.The etched layer is an insulating film, and the predetermined pattern comprises a contact hole pattern. 제 1 항에 있어서,The method of claim 1, 상기 피식각층은 전도층이며, 상기 소정의 패턴은 비트라인, 워드라인 또는 금속배선 중 어느 하나를 포함하는 것을 특징으로 하는 반도체소자 제조 방법.The etched layer is a conductive layer, and the predetermined pattern includes any one of a bit line, a word line, and a metal wiring. 제 1 항에 있어서,The method of claim 1, 상기 포토레지스트 패턴을 형성하는 단계에서, ArF 또는 F2 노광원을 이용한 포토리소그라피 공정을 이용하는 것을 특징으로 하는 반도체소자 제조 방법.In the step of forming the photoresist pattern, a semiconductor device manufacturing method characterized in that using a photolithography process using an ArF or F 2 exposure source. 피식각층 상에 희생 하드마스크용 텅스텐을 포함하는 막을 형성하는 단계;Forming a film comprising tungsten for sacrificial hardmask on the etched layer; 상기 희생 하드마스크용 텅스텐을 포함하는 막 상에 반사방지막을 형성하는 단계;Forming an anti-reflection film on the film containing tungsten for the sacrificial hard mask; 상기 반사방지막 상에 포토레지스트 패턴을 형성하는 단계; Forming a photoresist pattern on the anti-reflection film; 상기 포토레지스트 패턴을 식각마스크로 상기 반사방지막을 식각하는 단계;Etching the anti-reflection film using the photoresist pattern as an etching mask; 상기 포토레지스트 패턴을 식각마스크로 불소함유가스와 CHF3 가스를 포함하는 플라즈마를 이용하여 상기 희생 하드마스크용 텅스텐을 포함하는 막을 식각하여 희생 하드마스크를 형성하는 단계; 및Etching the film including the sacrificial hard mask tungsten using a plasma containing a fluorine-containing gas and a CHF 3 gas as an etching mask to form a sacrificial hard mask; And 적어도 상기 희생 하드마스크를 식각마스크로 상기 피식각층을 식각하여 소정의 패턴을 형성하는 단계Etching the etched layer using at least the sacrificial hard mask as an etch mask to form a predetermined pattern 를 포함하는 반도체소자 제조 방법.Semiconductor device manufacturing method comprising a. 제 8 항에 있어서,The method of claim 8, 상기 희생 하드마스크용 텅스텐을 포함하는 막은 W막, WSix막 또는 WN막 중 어느 하나를 포함하는 막인 것을 특징으로 하는 반도체소자 제조 방법.And the film comprising tungsten for sacrificial hard mask is a film including any one of a W film, a WSix film, and a WN film. 제 9 항에 있어서,The method of claim 9, 상기 희생 하드마스크를 형성하는 단계에서, 상기 불소함유가스는 NF3, SF6 또는 CF4 중 어느 하나의 가스를 이용하는 것을 특징으로 하는 반도체 소자 제조 방법.In the step of forming the sacrificial hard mask, the fluorine-containing gas is a semiconductor device manufacturing method, characterized in that using any one of NF 3 , SF 6 or CF 4 gas. 제 8 항에 있어서,The method of claim 8, 상기 소정의 패턴은 음각 또는 양각 패턴을 포함하는 것을 특징으로 하는 반도체소자 제조 방법.And the predetermined pattern includes an intaglio or an embossed pattern. 제 8 항에 있어서,The method of claim 8, 상기 피식각층은 절연막이며, 상기 소정의 패턴은 콘택홀 패턴을 포함하는 것을 특징으로 하는 반도체소자 제조 방법.The etched layer is an insulating film, and the predetermined pattern comprises a contact hole pattern. 제 8 항에 있어서,The method of claim 8, 상기 피식각층은 전도층이며, 상기 소정의 패턴은 비트라인, 워드라인 또는 금속배선 중 어느 하나를 포함하는 것을 특징으로 하는 반도체소자 제조 방법.The etched layer is a conductive layer, and the predetermined pattern includes any one of a bit line, a word line, and a metal wiring. 제 8 항에 있어서,The method of claim 8, 상기 포토레지스트 패턴을 형성하는 단계에서, ArF 또는 F2 노광원을 이용한 포토리소그라피 공정을 이용하는 것을 특징으로 하는 반도체소자 제조 방법.In the step of forming the photoresist pattern, a semiconductor device manufacturing method characterized in that using a photolithography process using an ArF or F 2 exposure source. 제 8 항에 있어서,The method of claim 8, 상기 반사방지막은 유기기 또는 무기기인 것을 특징으로 하는 반도체소자 제조 방법.The anti-reflection film is a semiconductor device manufacturing method, characterized in that the organic or inorganic group.
KR1020040081383A 2004-10-12 2004-10-12 Method for fabrication of semiconductor device using tungsten layer to sacrificial hard mask KR100792409B1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
KR1020040081383A KR100792409B1 (en) 2004-10-12 2004-10-12 Method for fabrication of semiconductor device using tungsten layer to sacrificial hard mask
TW094115738A TWI319204B (en) 2004-10-12 2005-05-16 Method for fabricating semiconductor device using tungsten as sacrificial hard mask
CNB2005100730452A CN100547729C (en) 2004-10-12 2005-05-30 Utilize tungsten to make the method for semiconductor device as sacrificial hard mask
US11/149,325 US7442648B2 (en) 2004-10-12 2005-06-10 Method for fabricating semiconductor device using tungsten as sacrificial hard mask

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020040081383A KR100792409B1 (en) 2004-10-12 2004-10-12 Method for fabrication of semiconductor device using tungsten layer to sacrificial hard mask

Publications (2)

Publication Number Publication Date
KR20060032436A KR20060032436A (en) 2006-04-17
KR100792409B1 true KR100792409B1 (en) 2008-01-09

Family

ID=36707049

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020040081383A KR100792409B1 (en) 2004-10-12 2004-10-12 Method for fabrication of semiconductor device using tungsten layer to sacrificial hard mask

Country Status (2)

Country Link
KR (1) KR100792409B1 (en)
CN (1) CN100547729C (en)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7491343B2 (en) * 2006-09-14 2009-02-17 Lam Research Corporation Line end shortening reduction during etch
US8357617B2 (en) * 2008-08-22 2013-01-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of patterning a metal gate of semiconductor device
CN107170678B (en) * 2016-03-07 2019-08-27 中芯国际集成电路制造(上海)有限公司 The forming method of semiconductor devices
CN109256335B (en) * 2017-07-13 2020-08-04 长鑫存储技术有限公司 Method for forming pattern of semiconductor structure
US11862513B2 (en) 2020-07-14 2024-01-02 Changxin Memory Technologies, Inc. Manufacturing method of semiconductor structure
CN112864157B (en) * 2021-01-06 2022-07-08 长鑫存储技术有限公司 Semiconductor structure manufacturing method and semiconductor structure

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR860001056B1 (en) * 1982-11-29 1986-08-01 후지쓰가부시끼가이샤 Method for forming an isolation region for electrically isolation elements
JPH03201529A (en) * 1989-12-28 1991-09-03 Sharp Corp Manufacture of semiconductor device
KR20030040030A (en) * 2001-11-12 2003-05-22 주식회사 하이닉스반도체 Method for fabrication of semiconductor device
KR20040057434A (en) * 2002-12-26 2004-07-02 주식회사 하이닉스반도체 Method for fabricating semiconductor device
KR20040057502A (en) * 2002-12-26 2004-07-02 주식회사 하이닉스반도체 A forming method of pattern of semiconductor device using ArF photolithography
KR20040059925A (en) * 2002-12-30 2004-07-06 주식회사 하이닉스반도체 Method for forming tungsten hard mask for oxide etching

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR860001056B1 (en) * 1982-11-29 1986-08-01 후지쓰가부시끼가이샤 Method for forming an isolation region for electrically isolation elements
JPH03201529A (en) * 1989-12-28 1991-09-03 Sharp Corp Manufacture of semiconductor device
KR20030040030A (en) * 2001-11-12 2003-05-22 주식회사 하이닉스반도체 Method for fabrication of semiconductor device
KR20040057434A (en) * 2002-12-26 2004-07-02 주식회사 하이닉스반도체 Method for fabricating semiconductor device
KR20040057502A (en) * 2002-12-26 2004-07-02 주식회사 하이닉스반도체 A forming method of pattern of semiconductor device using ArF photolithography
KR20040059925A (en) * 2002-12-30 2004-07-06 주식회사 하이닉스반도체 Method for forming tungsten hard mask for oxide etching

Also Published As

Publication number Publication date
CN1761036A (en) 2006-04-19
CN100547729C (en) 2009-10-07
KR20060032436A (en) 2006-04-17

Similar Documents

Publication Publication Date Title
KR100704470B1 (en) Method for fabrication of semiconductor device using amorphous carbon layer to sacrificial hard mask
US7482279B2 (en) Method for fabricating semiconductor device using ArF photolithography capable of protecting tapered profile of hard mask
US7018930B2 (en) Method for fabricating semiconductor device
US7442648B2 (en) Method for fabricating semiconductor device using tungsten as sacrificial hard mask
US6867145B2 (en) Method for fabricating semiconductor device using photoresist pattern formed with argon fluoride laser
KR100885786B1 (en) Method of fabricating bit line of semiconductor memory device
CN100547729C (en) Utilize tungsten to make the method for semiconductor device as sacrificial hard mask
KR100551071B1 (en) Method for fabrication of semiconductor device
KR100939109B1 (en) Method for fabricating semiconductor device
KR100495909B1 (en) Method for fabrication of semiconductor device using ArF photo-lithography capable of protecting tapered profile of hardmask
KR20050001104A (en) Method for fabrication of semiconductor device
KR100623617B1 (en) Method for fabrication of semiconductor memory device using tungsten layer to sacrificial hard mask
KR100303997B1 (en) Metal gate electrode formation method
KR100858874B1 (en) METHOD FOR FABRICATION OF SEMICONDUCTOR DEVICE USING ArF PHOTOLITHOGRAPHY
KR20030058247A (en) A forming method of semiconductor device with improved protection of pattern deformation
KR100440776B1 (en) A fabricating method of semiconductor device using ArF photolithography
KR100942980B1 (en) METHOD FOR FABRICATION OF SELF ALIGN CONTACT HOLE OF SEMICONDUCTOR DEVICE USING ArF PHOTO LITHOGRAPHY
KR20040082873A (en) METHOD FOR FABRICATION OF CONTACT HOLE OF SEMICONDUCTOR DEVICE USING ArF PHOTO LITHOGRAPHY
KR20050106917A (en) Method for manufacturing gate-line in semiconductor device
KR20030002051A (en) A forming method of contact hole
KR20060029007A (en) Method for fabrication of semiconductor device
KR20060075140A (en) Method for fabrication of semiconductor device using tungsten layer to sacrificial hard mask
KR20020040270A (en) Etching Method of Semiconductor Device for Contact
KR20040001845A (en) Method for forming pattern of semiconductor device
KR20040057396A (en) Method for fabricating semiconductor device

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
G170 Publication of correction
FPAY Annual fee payment

Payment date: 20101224

Year of fee payment: 4

LAPS Lapse due to unpaid annual fee