KR100551071B1 - Method for fabrication of semiconductor device - Google Patents

Method for fabrication of semiconductor device Download PDF

Info

Publication number
KR100551071B1
KR100551071B1 KR1020020056772A KR20020056772A KR100551071B1 KR 100551071 B1 KR100551071 B1 KR 100551071B1 KR 1020020056772 A KR1020020056772 A KR 1020020056772A KR 20020056772 A KR20020056772 A KR 20020056772A KR 100551071 B1 KR100551071 B1 KR 100551071B1
Authority
KR
South Korea
Prior art keywords
film
hard mask
sacrificial
etching
layer
Prior art date
Application number
KR1020020056772A
Other languages
Korean (ko)
Other versions
KR20030040030A (en
Inventor
이성권
김상익
권일영
윤국한
안명규
공필구
오진성
정진기
김재영
김광옥
Original Assignee
주식회사 하이닉스반도체
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 하이닉스반도체 filed Critical 주식회사 하이닉스반도체
Priority to TW091132662A priority Critical patent/TWI276153B/en
Priority to DE10252337A priority patent/DE10252337A1/en
Priority to US10/292,540 priority patent/US7018930B2/en
Priority to JP2002328926A priority patent/JP2003282550A/en
Publication of KR20030040030A publication Critical patent/KR20030040030A/en
Application granted granted Critical
Publication of KR100551071B1 publication Critical patent/KR100551071B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Abstract

본 발명은 반도체소자 제조 방법에 관한 것으로, 특히 포토레지스트 패턴의 변형 및 하드마스크 절연막의 손실을 최소화할 수 있는 반도체소자 제조방법을 제공하기 위한 것으로, 이를 위해 본 발명은, 피식각층 상에 하드마스크용 절연막을 형성하는 단계; 상기 하드마스크용 절연막 상에 하드마스크용 희생막을 형성하는 단계; 상기 하드마스크용 희생막 상에 포토레지스트를 도포하는 단계; 선택적 노광 및 현상 공정으로 상기 포토레지스트의 패턴을 형성하는 단계; 상기 포토레지스트의 패턴을 식각마스크로 상기 하드마스크용 희생막을 선택적으로 식각하여 희생하드마스크를 형성하는 단계; 적어도 상기 희생하드마스크를 식각마스크로 상기 하드마스크용 절연막을 식각하여 하드마스크를 형성하는 단계; 및 상기 희생하드마스크 및 상기 하드마스크를 식각마스크로 상기 피식각층을 식각하여 소정의 패턴을 형성하는 단계를 포함하는 반도체소자 제조방법을 제공한다.
The present invention relates to a method for manufacturing a semiconductor device, and more particularly, to provide a method for manufacturing a semiconductor device capable of minimizing deformation of a photoresist pattern and loss of a hard mask insulating film. To this end, the present invention provides a hard mask on an etched layer. Forming an insulating film for use; Forming a sacrificial layer for a hard mask on the insulating layer for the hard mask; Applying a photoresist on the sacrificial layer for the hard mask; Forming a pattern of the photoresist in a selective exposure and development process; Selectively etching the sacrificial layer for the hard mask using the pattern of the photoresist to form a sacrificial hard mask; Etching the hard mask insulating layer using at least the sacrificial hard mask as an etch mask to form a hard mask; And etching the etched layer using the sacrificial hard mask and the hard mask as an etch mask to form a predetermined pattern.

ArF, F2, 하드마스크용 희생막, 희생하드마스크, 하드마스크용 절연막, 패턴 변형. ArF, F2, sacrificial film for hard mask, sacrificial hard mask, insulating film for hard mask, pattern modification.

Description

반도체소자 제조방법{Method for fabrication of semiconductor device} Method for fabrication of semiconductor device             

도 1은 KrF용 포토레지스트와 F2 또는 ArF용 포토레지스트의 화학 구조를 도시한 도면.BRIEF DESCRIPTION OF THE DRAWINGS Fig. 1 shows the chemical structures of KrF photoresist and F 2 or ArF photoresist.

도 2는 종래기술에 따른 ArF용 포토레지스트를 이용한 패턴 형성시 패턴 변형을 도시한 사진,Figure 2 is a photograph showing the pattern deformation during pattern formation using the ArF photoresist according to the prior art,

도 3의 (a) 내지 도 3의 (c)는 종래의 질화막 하드마스크를 사용하여 게이트전극 패턴 형성시 각 공정 단계에 따른 CD를 도시한 평면 SEM 사진.3 (a) to 3 (c) are planar SEM photographs of CDs according to each process step when forming a gate electrode pattern using a conventional nitride film hard mask.

도 4는 전술한 도 4의 게이트전극 패턴을 도시한 단면 SEM 사진.4 is a cross-sectional SEM photograph showing the gate electrode pattern of FIG. 4 described above.

도 5a 내지 도 5d는 본 발명의 일실시예에 따른 F2 또는 ArF 노광원을 이용한 반도체소자 패턴 형성 공정을 도시한 단면도.5A through 5D are cross-sectional views illustrating a semiconductor device pattern forming process using an F 2 or ArF exposure source according to an embodiment of the present invention.

도 6a 내지 도 6d는 본 발명의 다른 실시예에 따른 F2 또는 ArF 노광원을 이용한 반도체소자 패턴 형성 공정을 도시한 단면도.6A to 6D are cross-sectional views illustrating a semiconductor device pattern forming process using an F 2 or ArF exposure source according to another embodiment of the present invention.

도 7의 (a) 내지 도 7의 (d)는 본 발명의 일실시예에 따른 이중 하드마스크 구조를 사용한 게이트전극 패턴 형성시 각 공정 단계에 따른 CD를 도시한 평면 SEM 사진. 7 (a) to 7 (d) are planar SEM photographs of CDs according to each process step when forming a gate electrode pattern using a double hard mask structure according to an embodiment of the present invention.                 

도 8은 본 발명의 일실시예에 따른 이중 하드마스크 구조를 사용한 게이트전극 패턴을 도시한 단면 SEM 사진.
8 is a cross-sectional SEM photograph showing a gate electrode pattern using a double hard mask structure according to an embodiment of the present invention.

* 도면의 주요 부분에 대한 부호의 설명* Explanation of symbols for the main parts of the drawings

50 : 기판 51a : 전도층50 substrate 51a conductive layer

52b : 하드마스크 53b : 희생하드마스크
52b: Hard Mask 53b: Sacrifice Hard Mask

본 발명은 반도체소자 제조방법에 관한 것으로, 특히 반도체소자의 패턴 형성방법에 관한 것으로, 더욱 상세하게는 F2 또는 불화아르곤(ArF)등의 보다 발전된(Advanced) 노광원을 이용한 반도체소자의 패턴 형성방법에 관한 것이다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method for manufacturing a semiconductor device, and more particularly, to a pattern formation method for a semiconductor device. More particularly, the pattern formation of a semiconductor device using a more advanced exposure source such as F 2 or argon fluoride (ArF) is performed. It is about a method.

반도체소자의 진전을 지지해 온 미세 가공 기술은 사진식각(Photo lithography) 기술인 바, 이 기술의 해상력 향상이 반도체 소자의 고집적화의 장래와 직결된다고 해도 과언은 아니다.Since the microfabrication technology that has supported the progress of semiconductor devices is a photolithography technology, it is no exaggeration to say that the improvement in resolution of the technology is directly connected to the future of high integration of semiconductor devices.

이러한 사진식각 공정은 주지된 바와 같이, 포토레지스트 패턴을 형성하는 공정과 상기 포토레지스트 패턴을 식각 마스크로 하는 식각 공정을 통해 피식각층을 식각해서 원하는 형태의 패턴 예컨대, 콘택홀 또는 게이트전극 등의 라인 패턴 등을 형성하는 공정을 포함하는 바, 여기서 포토레지스트 패턴은 피식각층 상에 포토레지스트를 도포하는 공정과 준비된 노광 마스크를 이용해 포토레지스트를 선택적으로 노광하는 공정 및 소정의 화학용액으로 노광되거나, 또는 노광되지 않은 포토레지스트 부분을 제거하는 현상 공정을 통해 이루어진다.The photolithography process is, as is well known, by etching a layer to be etched through a process of forming a photoresist pattern and an etching process using the photoresist pattern as an etch mask. A process of forming a pattern or the like, wherein the photoresist pattern is a process of applying the photoresist on the etched layer, a process of selectively exposing the photoresist using a prepared exposure mask and a predetermined chemical solution, or Through a developing process to remove unexposed portions of the photoresist.

한편, 사진식각 공정으로 구현할 수 있는 패턴의 임계치수(Critical Dimension; 이하 CD라 함)는 상기한 노광 공정에서 어떤 파장의 광원을 사용하냐에 따라 좌우된다. 이것은 노광 공정을 통해 구현할 수 있는 포토레지스트 패턴의 폭에 따라 실제 패턴의 CD가 결정되기 때문이다.On the other hand, the critical dimension of the pattern that can be implemented by the photolithography process (hereinafter referred to as CD) depends on the wavelength of the light source used in the above exposure process. This is because the CD of the actual pattern is determined by the width of the photoresist pattern that can be realized through the exposure process.

636㎚ (g-line)의 파장의 광원과 365㎚(i-line)의 광원을 사용하던 초기의 스테퍼(Stepper)를 거쳐 현재는 248㎚(KrF Excimer Laser) 파장의 DUV(Deep Ultra-violet)를 이용하는 스테퍼나 스캐너 타입의 노광장비를 주로 사용하고 있는 바, 248㎚의 DUV 사진식각 기술은 초기에 시간 지연 효과, 기질 의존성 등과 같은 많은 문제들이 발생하였으며, 0.18㎛ 디자인의 제품 개발에 사용되었다. 그러나 0.15㎛ 이하의 디자인을 갖는 제품을 개발하기 위해서는 새로운 193㎚(ArF Excimer Laser) 또는 157nm(F2 Laser)의 파장을 갖는 새로운 DUV 사진식각 기술로의 기술개발이 필수적이다. 그러나, 이러한 DUV 사진식각 기술에서 해상력을 높이기 위한 여러 기술을 조합한다 하여도 0.1㎛ 이하의 패턴은 불가능하므로 새로운 광원을 갖는 사진식각 기술의 개발이 활발히 진행되고 있다. Deep Ultra-violet (DUV) at 248nm (KrF Excimer Laser) through the early stepper that used 636nm (g-line) light source and 365nm (i-line) light source The 248nm DUV photolithography technology has been used for the development of products with 0.18µm design. However, in order to develop a product with a design of 0.15 μm or less, it is necessary to develop a new DUV photolithography technique having a wavelength of 193 nm (ArF Excimer Laser) or 157 nm (F 2 Laser). However, even if a combination of various techniques for enhancing the resolution in the DUV photolithography technique is impossible to pattern less than 0.1㎛, the development of a photolithography technique having a new light source is actively progressing.

도 1은 KrF용 포토레지스트와 F2 또는 ArF용 포토레지스트의 화학 구조를 도 시한 도면이며, 도 2는 종래기술에 따른 ArF용 포토레지스트를 이용한 패턴 형성시 패턴 변형을 도시한 SEM 사진이다.FIG. 1 is a view illustrating chemical structures of a KrF photoresist and an F 2 or ArF photoresist, and FIG. 2 is a SEM photograph showing pattern deformation during pattern formation using an ArF photoresist according to the prior art.

현재는 ArF(불화아르곤) 레이저(λ=193㎚)를 사용하는 노광장비를 0.11㎛까지의 패턴을 목표로 개발하고 있다. DUV 사진식각 기술은 i-선 대비 해상도 및 DOF 등의 성능면에서 우수하지만, 공정제어가 쉽지 않다. 이러한 문제는 짧은 파장에서 기인된 광학적인 원인과 화학증폭형 포토레지스트의 사용에 의한 화학적인 원인으로 구분할 수 있다. 파장이 짧아지면 정지파 효과에 의한 CD 흔들림 현상과 기질 위상에 의한 반사광의 새김현상이 심해진다. CD 흔들림이란 입사광과 반사광의 간섭 정도가 레지스트의 미소한 두께 차이 또는 기질 필름의 두께차이에 따라 변함으로써 결과적으로 선 두께가 주기적으로 변하는 현상을 말한다. DUV 공정에서는 민감도 향상을 위해서 화학증폭형 포토레지스트를 사용할 수밖에 없는데, 그 반응메카니즘과 관련하여 PED(Post Exposure Delay) 안정성, 기질 의존성 등의 문제점이 발생하는 바, F2 또는 ArF 노광기술의 핵심 과제 중의 하나는 F2 또는 ArF용 포토레지스트의 개발이다. F2 또는 ArF는 KrF와 같은 화학 증폭형이지만 재료를 근본적으로 개량해야 하는 필요가 있기 때문인데, 특히 ArF 포토레지스트 재료 개발이 어려운 것은 벤젠고리를 사용할 수 없기 때문이다. 벤젠고리는 건식 식각(Dry etching) 내성을 확보하기 위해 i-선 및 KrF용 포토레지스트에 사용되어 왔다. 그러나 예컨대, ArF용 포토레지스트에 벤젠고리가 사용될 경우 ArF 레이저의 파장영역인 193nm에서 흡광도가 크기 때문에 투명성이 떨어져 포토레지스트 하부까지 노광이 불가능 한 문제가 발생한다. 이 때문에, 벤젠고리를 가지지 않고 건식 식각 내성을 확보할 수 있으며, 접착력이 좋고 2.38% TMAH(Tetra Methyl Ammonium Hydroxide)로 현상되어질 수 있는 재료의 연구가 진행 되고 있다. 현재까지 세계적으로 많은 회사 및 연구소에서 연구성과를 발표하고 있는 상태이며, 아직까지 상용화 되어 있는 것으로는 COMA(CycloOlefin-Maleic Anhydride) 또는 아크릴레이드(Acrylate) 계통의 폴리머 형태, 또는 이들의 혼합 형태이다. 하지만, 상기한 포토레지스트는 상기한 바와 같은 벤젠 구조를 가지고 있는 바, 도 1은 이러한 KrF용 포토레지스트와 상기한 F2 또는 ArF용 포토레지스트가 도시되어 있다.Currently, an exposure apparatus using an ArF (argon fluoride) laser (λ = 193 nm) is being developed to target patterns up to 0.11 mu m. DUV photolithography is superior in terms of performance and resolution compared to i-rays, but process control is not easy. These problems can be divided into optical causes due to short wavelengths and chemical causes due to the use of chemically amplified photoresists. If the wavelength is shortened, the CD shake phenomenon due to the stationary wave effect and the reflection of reflected light due to the substrate phase become worse. CD oscillation refers to a phenomenon in which the line thickness changes periodically as the degree of interference between incident light and reflected light changes depending on the slight thickness difference of the resist or the thickness difference of the substrate film. In the DUV process, chemically amplified photoresist has to be used to improve sensitivity, and problems related to the reaction mechanism such as PED (Post Exposure Delay) stability and substrate dependence occur, which are the core tasks of F 2 or ArF exposure technology. One is the development of photoresists for F 2 or ArF. Although F 2 or ArF is a chemically amplified type such as KrF, it is necessary to fundamentally improve the material. Particularly, development of ArF photoresist material is difficult because benzene rings cannot be used. Benzene rings have been used in photoresists for i-rays and KrF to ensure dry etching resistance. However, for example, when the benzene ring is used in the photoresist for ArF, since the absorbance is large at 193 nm, which is the wavelength region of the ArF laser, the transparency is low and the exposure to the lower portion of the photoresist is impossible. For this reason, research has been conducted on materials that can secure dry etching resistance without having a benzene ring, have good adhesion, and can be developed with 2.38% TMAH (Tetra Methyl Ammonium Hydroxide). To date, many companies and research institutes around the world have been publishing their research results, and the commercialized products are still in the form of polymers of COMA (CycloOlefin-Maleic Anhydride) or Acrylate series, or a mixture thereof. However, the photoresist has a benzene structure as described above, Figure 1 shows such a photoresist for KrF and the photoresist for F 2 or ArF described above.

따라서, 도 2에 도시된 바와 같이 F2 또는 ArF 노광원을 이용한 사진식각을 통해 게이트전극 패턴(A)을 형성하기 위해 식각공정을 진행할 때 도면부호 'B'와 같이 줄무늬 모양 형태의 패턴의 변형(Striation)이 일어나거나, 식각 도중 포토레지스트가 뭉치거나(Cluster) 성형 변형(Plastic deformation)되는 현상과 식각 도중 포토레지스트의 내성이 약하여 한쪽으로 몰리는 현상이 발생하며, 이로 인해 주로 게이트전극 패턴(A) 상의 하드마스크층의 두께가 불균일해 짐으로 인해 노치(Notch) 발생 등 하드마스크의 국부적인 식각 손실이 발생한다.Therefore, as shown in FIG. 2, when the etching process is performed to form the gate electrode pattern A through photolithography using an F 2 or ArF exposure source, deformation of a stripe-shaped pattern as shown by reference numeral 'B' is shown. (Striation), the photoresist agglomerates during etching, or plastic deformation, and the resistance of the photoresist is weak due to the photoresist during etching. Due to the non-uniform thickness of the hard mask layer on the top layer, local etching loss of the hard mask occurs, such as a notch.

한편, 금속층을 전도배선으로 사용하는 각종 배선 형성 공정이나 종횡비(Aspect ratio)가 큰 콘택홀 형성 공정의 경우 식각되는 금속층 또는 산화막 상부에 산화막 등과의 식각선택비를 가지며, 배선 형성 후 배선간의 격리(Isolation) 특성 등을 고려하여 통상적으로 질화막 계열의 하드마스크를 사용 한다.Meanwhile, in the case of various wiring forming processes using a metal layer as a conductive wiring or a contact hole forming process having a large aspect ratio, an etching selectivity with an oxide film or the like is etched on the metal layer or the oxide film to be etched, and the wiring is isolated between the wirings ( In consideration of isolation characteristics, a nitride mask-based hard mask is generally used.

하지만, 이러한 질화막 계열의 하드마스크를 식각하기 위해 사용되는 과도한 양의 불소계 가스가 상기한 아크릴레이드 등의 F2 또는 ArF용 포토레지스트와 반응하여 도 2의 'B'와 같은 포토레지스트의 변형을 더욱 심화시키는 결과를 초래하며 또한, 질화막 계열의 하드마스크두께가 불균일해 짐으로 인해 노치 발생 등 하드마스크의 국부적인 식각 손실이 발생한다. However, an excessive amount of fluorine-based gas used to etch the nitride film-based hard mask reacts with the F 2 or ArF photoresist such as acrylate and the like to further deform the photoresist such as 'B' of FIG. 2. In addition, due to the nonuniform thickness of the hard mask thickness of the nitride layer, local etching loss of the hard mask occurs such as notch generation.

도 3의 (a) 내지 도 3의 (c)는 종래의 질화막 하드마스크를 사용하여 게이트전극 패턴 형성시 각 공정 단계에 따른 CD를 도시한 평면 SEM 사진이며, 도 4는 전술한 도 4의 게이트전극 패턴을 도시한 단면 SEM 사진이다.3 (a) to 3 (c) are planar SEM photographs of CDs according to each process step when forming a gate electrode pattern using a conventional nitride film hard mask, and FIG. 4 is the gate of FIG. 4 described above. It is a cross-sectional SEM photograph showing the electrode pattern.

도 3의 (a)는 포토레지스트 패턴(55) 형성을 위한 현상(Develop) 후의 CD 관찰을 위한 SEM 사진 즉, DI(Develop Inspection) CD를 나타내는 SEM 사진으로서, 다수의 포토레지스트 패턴(10)이 평면적으로 일방향으로 배열되어 있음을 확인할 수 있다.3 (a) is a SEM photograph for CD observation after development for forming the photoresist pattern 55, that is, a SEM photograph showing a development inspection (DI) CD, and a plurality of photoresist patterns 10 It can be seen that the plane is arranged in one direction.

도 3의 (b)는 반사방지막과 질화막 하드마스크를 식각한 후의 CD 관찰을 위한 SEM 사진으로서, 다수의 질화막 하드마스크(11)가 평면적으로 일방향으로 배열되어 있으며, 질화막 하드마스크(11)가 일부 손실되어 패턴 자체가 도 3의 (a)에 비해 상당히 가늘어 졌음을 알 수 있다.FIG. 3B is a SEM photograph for CD observation after etching the antireflection film and the nitride film hard mask. A plurality of nitride film hard masks 11 are arranged in one direction in a plan view, and the nitride film hard mask 11 is partially formed. It can be seen that the pattern itself is considerably thinner than that of FIG. 3 (a).

도 3의 (c)는 질화막 하드마스크(11)를 식각마스크로 전도층을 선택적으로 식각하여 게이트전극 패턴을 형성한 후, CD 관찰을 위한 SEM 사진으로서, 게이트전 극 패턴의 최상부에 위치하는 질화막 하드마스크(11)의 두께가 전도층 식각 과정에서 불균일해졌음을 확인할 수 있다.3C is a SEM image for CD observation after selectively forming a gate electrode pattern by selectively etching the conductive layer using the nitride film hard mask 11 as an etching mask, and the nitride film positioned on the top of the gate electrode pattern. It can be seen that the thickness of the hard mask 11 became uneven during the conductive layer etching process.

도 4를 참조하면, 도 4는 도 3의 (c)를 Y-Y' 방향으로 절단한 단면을 도시하는 것으로, 전도층 패턴(12)과 하드마스크(11)가 적층된 다수의 게이트전극 패턴이 형성되어 있음을 확인할 수 있다.Referring to FIG. 4, FIG. 4 is a cross-sectional view taken along the line YY ′ of FIG. 3C, in which a plurality of gate electrode patterns in which a conductive layer pattern 12 and a hard mask 11 are stacked are formed. It can be confirmed.

한편, 도면부호 'C'에 지시된 부분과 같이 질화막 하드마스크(11)의 두께가 불균일해져, 이로인해 질화막 하드마스크(11) 상에 노치가 발생하는 등 국부적인 식각 손실이 발생함을 확인할 수 있으며, 이러한 식각 손실은 전도층 패턴(12)에 전사되어 전도층 패턴(12)을 손상시킴으로써, 소자의 불량을 초래하게 된다.On the other hand, as shown by the reference numeral 'C', the thickness of the nitride film hard mask 11 becomes non-uniform, which causes local etching losses such as notches on the nitride film hard mask 11. The etching loss is transferred to the conductive layer pattern 12 and damages the conductive layer pattern 12, resulting in a defective device.

전술한 노치 현상은 ArF 포토레지스트 패턴을 식각마스크로 하여 질화막 하드마스크를 식각하는 과정에서 주로 사용되는 불소계 가스 예컨대, CHF 계열 또는 CF 계열의 가스에 대해 ArF 포토레지스트와 질화막의 낮은 식각선택비로 인해 포토레지스트가 국부적으로 손실됨으로써 발생한다.The notch phenomenon described above is due to the low etch selectivity of the ArF photoresist and the nitride film for the fluorine-based gas, for example, CHF-based or CF-based gas, which is mainly used in etching a nitride hard mask using an ArF photoresist pattern as an etching mask. It is caused by the local loss of resist.

따라서, 질화막 하드마스크와 포토레지스트와의 낮은 식각선택비에 따른 패턴의 변형과 불량 발생을 억제하는 것이 시급한 과제이다.
Therefore, it is an urgent problem to suppress the deformation of the pattern and the occurrence of defects due to the low etching selectivity between the nitride film hard mask and the photoresist.

상기와 같은 종래기술의 문제점을 해결하기 위하여 제안된 본 발명은, 하드마스크의 손실에 따른 패턴의 변형을 최소화할 수 있는 반도체소자 제조방법을 제공하는데 그 목적이 있다.The present invention proposed to solve the problems of the prior art as described above, an object of the present invention is to provide a method for manufacturing a semiconductor device that can minimize the deformation of the pattern due to the loss of the hard mask.

상기와 같은 문제점을 해결하기 위해 본 발명은, 피식각층 상에 하드마스크용 절연막을 형성하는 단계; 상기 하드마스크용 절연막 상에 하드마스크용 희생막을 형성하는 단계; 상기 하드마스크용 희생막 상에 포토레지스트를 도포하는 단계; 선택적 노광 및 현상 공정으로 상기 포토레지스트의 패턴을 형성하는 단계; 상기 포토레지스트의 패턴을 식각마스크로 상기 하드마스크용 희생막을 선택적으로 식각하여 희생하드마스크를 형성하는 단계; 적어도 상기 희생하드마스크를 식각마스크로 상기 하드마스크용 절연막을 식각하여 하드마스크를 형성하는 단계; 및 상기 희생하드마스크 및 상기 하드마스크를 식각마스크로 상기 피식각층을 식각하여 소정의 패턴을 형성하는 단계를 포함하는 반도체소자 제조방법을 제공한다.In order to solve the above problems, the present invention comprises the steps of forming an insulating film for a hard mask on the etched layer; Forming a sacrificial layer for a hard mask on the insulating layer for the hard mask; Applying a photoresist on the sacrificial layer for the hard mask; Forming a pattern of the photoresist in a selective exposure and development process; Selectively etching the sacrificial layer for the hard mask using the pattern of the photoresist to form a sacrificial hard mask; Etching the hard mask insulating layer using at least the sacrificial hard mask as an etch mask to form a hard mask; And etching the etched layer using the sacrificial hard mask and the hard mask as an etch mask to form a predetermined pattern.

또한, 상기와 같은 문제점을 해결하기 위해 본 발명은, 피식각층 상에 하드마스크용 절연막을 형성하는 단계; 상기 하드마스크용 절연막 상에 하드마스크용 희생막을 형성하는 단계; 상기 하드마스크용 희생막 상에 반사방지층을 형성하는 단계; 상기 반사방지층 상에 포토레지스트를 도포하는 단계; 선택적 노광 및 현상 공정으로 상기 포토레지스트의 패턴을 형성하는 단계; 상기 포토레지스트의 패턴을 식각마스크로 상기 반사방지층과 상기 하드마스크용 희생막을 선택적으로 식각하여 희생하드마스크를 형성하는 단계; 적어도 상기 희생하드마스크를 식각마스크로 상기 하드마스크용 절연막을 식각하여 하드마스크를 형성하는 단계; 및 상기 희생하드마스크 및 상기 하드마스크를 식각마스크로 상기 피식각층을 식각하여 소정의 패턴을 형성하는 단계를 포함하는 반도체소자 제조방법을 제공한다. In addition, the present invention to solve the above problems, forming an insulating film for a hard mask on the etched layer; Forming a sacrificial layer for a hard mask on the insulating layer for the hard mask; Forming an anti-reflection layer on the sacrificial layer for the hard mask; Applying a photoresist on the antireflective layer; Forming a pattern of the photoresist in a selective exposure and development process; Forming a sacrificial hard mask by selectively etching the anti-reflection layer and the hard mask sacrificial layer using the pattern of the photoresist as an etch mask; Etching the hard mask insulating layer using at least the sacrificial hard mask as an etch mask to form a hard mask; And etching the etched layer using the sacrificial hard mask and the hard mask as an etch mask to form a predetermined pattern.                     

또한, 상기와 같은 문제점을 해결하기 위해 본 발명은, 기판 상에 텅스텐을 포함하는 전도층을 형성하는 단계; 상기 전도층 상에 하드마스크용 절연막을 형성하는 단계; 상기 하드마스크용 절연막 상에 텅스텐을 포함하는 하드마스크용 희생막을 형성하는 단계; 상기 하드마스크용 희생막 상에 포토레지스트 패턴을 형성하는 단계; 상기 포토레지스트 패턴을 식각마스크로 상기 하드마스크용 희생막을 선택적으로 식각하여 희생하드마스크를 형성하는 단계; 적어도 상기 희생하드마스크를 식각마스크로 상기 하드마스크용 절연막을 식각하여 하드마스크를 형성하는 단계; 및 상기 희생하드마스크 및 상기 하드마스크를 식각마스크로 상기 전도층을 식각하여 전도층패턴을 형성하는 단계를 포함하는 반도체소자 제조방법을 제공한다.In addition, the present invention to solve the above problems, forming a conductive layer containing tungsten on a substrate; Forming an insulating film for a hard mask on the conductive layer; Forming a sacrificial film for hard mask including tungsten on the hard mask insulating film; Forming a photoresist pattern on the sacrificial layer for the hard mask; Selectively etching the sacrificial layer for the hard mask using the photoresist pattern as an etching mask to form a sacrificial hard mask; Etching the hard mask insulating layer using at least the sacrificial hard mask as an etch mask to form a hard mask; And etching the conductive layer using the sacrificial hard mask and the hard mask as an etch mask to form a conductive layer pattern.

바람직하게, 본 발명의 상기 소정의 패턴은 음각 또는 양각의 패턴을 포함한다. 또한, 상기 피식각층은 절연막이며, 상기 소정의 패턴은 콘택홀 패턴을 포함하며, 상기 피식각층은 전도층이며, 상기 소정의 패턴은 비트라인, 워드라인 또는 금속배선 중 어느 하나를 포함한다.
Preferably, the predetermined pattern of the present invention includes an intaglio or an embossed pattern. The etched layer is an insulating film, the predetermined pattern includes a contact hole pattern, the etched layer is a conductive layer, and the predetermined pattern includes any one of a bit line, a word line, and a metal wiring.

본 발명은, 산화막 또는 질화막의 절연막에 비해 불소계 가스에 대해서 포토레지스트에 대해 높은 식각선택비를 갖는 텅스텐(W)막, 티타늄(Ti)막 또는 백금(Pt)막 등의 금속막 또는 이들의 산화막 등을 사용한 희생하드마스크를 산화막 또는 질화막 계열의 하드마스크 상부에 적층 구조로 사용하여, 특히 불소계열의 가스에 대한 하드마스크와 포토레지스트의 낮은 식각선택비로 인한 하드마스크의 손 실로 인한 ArF 또는 F2 등의 노광기술을 이용한 사진식각 기술에서의 패턴 변형 방지 및 산화막 또는 질화막 계열의 하드마스크 손실을 감소시키고자 한다.
The present invention provides a metal film such as a tungsten (W) film, a titanium (Ti) film, or a platinum (Pt) film or oxide films thereof having a high etching selectivity with respect to the photoresist with respect to the fluorine-based gas as compared with the insulating film of the oxide film or nitride film. By using a sacrificial hard mask using a lamination structure on the oxide or nitride based hard mask, especially ArF or F 2 due to loss of hard mask due to low etching selectivity of hard mask and photoresist for fluorine-based gas The purpose of the present invention is to prevent pattern deformation and reduce hard mask loss of an oxide film or a nitride film in a photolithography technique using an exposure technique.

이하, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자가 본 발명을 보다 용이하게 실시할 수 있도록 하기 위하여 본 발명의 바람직한 실시예를 첨부한 도면을 참조하여 상세하게 설명한다.DETAILED DESCRIPTION Hereinafter, exemplary embodiments of the present invention will be described in detail with reference to the accompanying drawings so that those skilled in the art can more easily implement the present invention.

도 5a 내지 도 5d는 본 발명의 일실시예에 따른 F2 또는 ArF 노광원을 이용한 반도체소자 패턴 형성 공정을 도시한 단면도로서, 이를 참조하여 상세히 설명한다.5A to 5D are cross-sectional views illustrating a semiconductor device pattern forming process using an F 2 or ArF exposure source according to an embodiment of the present invention, which will be described in detail with reference to the drawings.

후술하는 본 발명의 일실시예에서는 반도체소자의 라인 패턴 구체적으로, 전도층패턴 중 게이트전극 패턴(워드라인) 형성 공정을 그 일예로 하여 설명하는 바, 본 발명의 적용 대상이 되는 라인 패턴(전도층패턴)은 일실시예에서 제시한 게이트전극 패턴만으로 한정되는 것이 아니라 비트라인, 스토리지노드 콘택 또는 금속배선 등의 다양한 형태의 패턴 형성 공정에도 적용이 가능하다.In an embodiment of the present invention described below, a line pattern of a semiconductor device, specifically, a process of forming a gate electrode pattern (word line) among conductive layer patterns will be described as an example. The layer pattern) is not limited to the gate electrode pattern presented in an embodiment, but may be applied to various types of pattern forming processes such as bit lines, storage node contacts, or metal wirings.

또한, 전술한 라인 형태의 패턴 이외에 고립된 형태인 섬형(Island type), 스토리지노드 콘택과 같은 도넛츠형 등에도 적용이 가능하며, 전도층이 아닌 절연막 패턴에도 적용이 가능하다. 즉, 본 발명의 일실시예에서는 양각 패턴을 형성하는 공정으로의 적용을 나타낸다고 할 수 있다.In addition, in addition to the above-described line-shaped pattern, it is also applicable to an isolated type of island type, a donut type such as a storage node contact, and the like, and also to an insulating film pattern instead of a conductive layer. That is, in one embodiment of the present invention it can be said that the application to the process of forming an embossed pattern.

먼저, 도 5a에 도시된 바와 같이, 반도체 소자를 형성하기 위한 여러 요소가 형성된 기판(50) 상에 피식각층으로 전도층(51a)을 형성한 다음, 전도층(51a) 상에 절연성 물질이면서도 피식각층인 전도층(51a)과 선택비를 갖어 하드마스크 재료로 사용되는 Si3N4 또는 SiON 등의 질화막계열의 박막 또는 SiO2 등의 산화막계열의 박막을 사용하여 하드마스크용 절연막(52a)을 형성한다. 이어서, 식각공정시 하드마스크용 절연막(52a)의 손실에 따른 패턴 변형을 방지하기 위해 하드마스크용 절연막(52a) 상에 하드마스크용 희생막(53a)을 형성한다.First, as shown in FIG. 5A, a conductive layer 51a is formed as an etched layer on a substrate 50 on which various elements for forming a semiconductor element are formed, and then an insulating material and a conductive material are formed on the conductive layer 51a. The insulating film 52a for the hard mask is formed by using a thin film of a nitride film series such as Si 3 N 4 or SiON or a thin film of an oxide film such as SiO 2 having a selectivity with each conductive layer 51a as a hard mask material. Form. Subsequently, a hard mask sacrificial layer 53a is formed on the hard mask insulating layer 52a to prevent a pattern deformation caused by the loss of the hard mask insulating layer 52a during the etching process.

여기서, 하드마스크용 희생막(53a)은 폴리실리콘막, Al막, W막, WSix(x는 1 내지 2)막, WN막, Ti막, TiN막, TiSix(x는 1 내지 2)막, TiAlN막, TiSiN막, Pt막, Ir막, IrO2막, Ru막, RuO2막, Ag막, Au막, Co막, TaN막, CrN막, CoN막, MoN막, MoSix(x는 1 내지 2)막, Al2O3막, AlN막, PtSix(x는 1 내지 2)막 및 CrSix(x는 1 내지 2)막으로 이루어진 그룹으로부터 선택된 적어도 어느 하나의 박막을 이용한다. 이 때 하드마스크용 희생막(52a)은 후속 피식각층인 전도층(51a) 식각시 제거될 수 있도록 그 두께를 설정하는 바, 500Å ∼ 3000Å 정도의 두께로 형성하는 것이 바람직하며, 전술한 하드마스크용 절연막(52a)의 경우에도 이와 비슷한 500Å ∼ 5000Å 정도의 두께로 형성하는 것이 바람직하다.Here, the hard mask sacrificial film 53a includes a polysilicon film, an Al film, a W film, a WSix (x is 1 to 2) film, a WN film, a Ti film, a TiN film, a TiSix (x is 1 to 2) film, TiAlN film, TiSiN film, Pt film, Ir film, IrO 2 film, Ru film, RuO 2 film, Ag film, Au film, Co film, TaN film, CrN film, CoN film, MoN film, MoSix (x is 1 to 1) 2) At least one thin film selected from the group consisting of a film, an Al 2 O 3 film, an AlN film, a PtSix (x is 1 to 2) film and a CrSix (x is 1 to 2) film is used. At this time, the sacrificial film 52a for the hard mask is set to have a thickness such that the sacrificial layer 52a can be removed during the etching of the conductive layer 51a, which is a subsequent etching layer. Also in the case of the insulating film 52a, it is preferable to form in thickness similar to 500 kPa-5000 kPa.

또한, 전도층(51a)은 본 발명의 다른 효과 즉, 하드마스크용 희생막(53a)을 제거하기 위한 별도의 공정 추가를 덜기 위해서 전술한 하드마스크용 희생막(53a)과 동일 박막으로 형성하는 것이 바람직하나, 굳이 동일 박막으로 사용하지 않아도 사용되는 각 물질의 식각선택비에 따라 그 두께와 식각조건을 적절하게 조절하면 후속 희생하드마스크의 제거 공정을 생략할 수도 있다.In addition, the conductive layer 51a may be formed of the same thin film as the above-described hard mask sacrificial film 53a in order to reduce other effects of the present invention, that is, a separate process for removing the hard mask sacrificial film 53a. Although it is preferable to use the same thin film, it is possible to omit the subsequent sacrificial hard mask removal process by properly adjusting the thickness and etching conditions according to the etching selectivity of each material used.

또한, 기판(50)은 그 내부에 절연구조와 도전구조를 모두 포함하는 것으로, 전술한 바와 같이 본 발명의 일실시예에서 처럼 전도층(51a)이 게이트전극 패턴 형성용이라면 전도층(51a)과 기판(50) 사이의 계면에 게이트절연막(도시하지 않음)을 포함하고 있으며, 전도층(51a)이 비트라인 또는 금속배선이라면 기판(50)과의 계면에 Ti막/TiN막 등의 확산배리어막, 소스/드레인 등의 불순물 접합층, 층간절연막 또는 폴리실리콘막 또는 텅스텐(W)막 등의 박막으로 이루어진 플러그가 형성되어 있을 것이다.In addition, the substrate 50 includes both an insulating structure and a conductive structure therein. As described above, if the conductive layer 51a is for forming a gate electrode pattern, as in the embodiment of the present invention, the conductive layer 51a is formed. A gate insulating film (not shown) is provided at the interface between the substrate and the substrate 50. If the conductive layer 51a is a bit line or a metal wiring, a diffusion barrier such as a Ti film / TiN film is provided at the interface with the substrate 50. A plug made of a film, an impurity bonding layer such as a source / drain, an interlayer insulating film, or a thin film such as a polysilicon film or a tungsten (W) film may be formed.

이어서, 도 5b에 도시된 바와 같이, 하드마스크용 희생막(53a) 상에 패턴 형성을 위한 노광시 하부 즉, 하드마스크용 희생막(53a)의 광반사도가 높음으로인해 난반사가 이루어져 원하지 않는 패턴이 형성되는 것을 방지하며, 하드마스크용 희생막(53a)과 후속 포토레지스트의 접착력을 향상시킬 목적으로 반사방지층(54, ARC)을 형성한다.Subsequently, as shown in FIG. 5B, an undesired pattern is formed due to high light reflectivity of the lower portion, that is, the high light reflectance of the hard mask sacrificial layer 53a during exposure for pattern formation on the hard mask sacrificial layer 53a. The anti-reflection layer 54 (ARC) is formed for the purpose of preventing the formation thereof, and for improving the adhesion between the hard mask sacrificial film 53a and the subsequent photoresist.

여기서, 반사방지층(54)은 포토레지스트와 그 식각 특성이 유사한 유기계열(Organic)의 물질을 이용하며, 100Å ∼ 1000Å의 두께로 형성하는 것이 바람직하다.Here, the anti-reflection layer 54 uses an organic material similar to the photoresist and its etching characteristics, and is preferably formed to have a thickness of 100 kPa to 1000 kPa.

이어서, 반사방지층(54) 상에 F2 노광원용 또는 ArF 노광원용의 포토레지스트 예를 들어, 도 1의 화학 구조식을 갖는 COMA 또는 아크릴레이드를 사용하며, 이들을 스핀 코팅(Spin coating) 등의 방법을 통해 적절한 두께로 도포한 다음, F2 노 광원 또는 ArF 노광원과 게이트전극 폭을 정의하기 위한 소정의 레티클(도시하지 않음)을 이용하여 포토레지스트의 소정 부분을 선택적으로 노광하고, 현상 공정을 통해 노광 공정에 의해 노광되거나 혹은 노광되지 않은 부분을 잔류시킨 다음, 후세정 공정 등을 통해 식각 잔유물 등을 제거함으로써 포토레지스트 패턴(55)을 형성한다.Subsequently, a photoresist for an F 2 exposure source or an ArF exposure source, for example, COMA or acrylate having the chemical structure of FIG. 1 is used on the anti-reflection layer 54, and these are subjected to methods such as spin coating. And then selectively expose a portion of the photoresist using an F 2 furnace light source or an ArF exposure source and a predetermined reticle (not shown) to define the gate electrode width, The photoresist pattern 55 is formed by leaving portions exposed or not exposed by the exposure process and then removing the etching residues and the like through a post-cleaning process or the like.

계속해서, 포토레지스트 패턴(55)을 식각 마스크로 하는 선택적 식각 공정을 통해 반사방지층(54)을 선택적으로 식각하는 바, 이 때 포토레지스트 패턴(55)의 손실을 최소화하기 위해 Cl2, BCl3, CCl4 또는 HCl 등의 염소계 가스를 사용한 플라즈마를 이용하여 식각 공정을 실시하거나, CF 계열의 가스를 사용할 경우 C/F의 비율이 낮은 가스 예컨대, CF4, C2F2, CHF3 및 CH2F 2로 이루어진 그룹으로부터 선택된 어느 하나의 가스를 사용한 플라즈마를 이용하여 식각 공정을 실시하는 것이 바람직하다.Subsequently, the anti-reflection layer 54 is selectively etched through a selective etching process using the photoresist pattern 55 as an etching mask. At this time, in order to minimize the loss of the photoresist pattern 55, Cl 2 , BCl 3 Etching process using plasma using chlorine-based gas such as, CCl 4 or HCl, or gas with low C / F ratio when using CF-based gas such as CF 4 , C 2 F 2 , CHF 3 and CH It is preferable to perform an etching process using a plasma using any one gas selected from the group consisting of 2F 2 .

이는 반사방지층(54) 식각시에는 CD의 조절이 용이해야 하므로 폴리머를 거의 발생시키지 않는 조건으로 식각을 진행하기 위한 것이다.This is because the CD should be easily controlled during the anti-reflection layer 54 etching, so that the etching may be performed under conditions that hardly generate polymer.

이어서, 도 5c에 도시된 바와 같이, 포토레지스트 패턴(55)을 식각마스크로 하드마스크용 희생막(53a)을 식각하여 희생하드마스크(53b)를 형성한 다음, 적어도(포토레지스트 패턴(55)과 반사방지층(54)은 대부분 식각과정에서 제거가 되나 그 일부가 잔류될 수 있는 바, 포토레지스트 패턴(55)과 반사방지층(54)의 제거를 위한 별도의 포토레지스트 스트립 공정을 실시하지 않는 경우 잔류하는 포토레 지스트 패턴(55)과 반사방지층(54)이 식각마스크 역할을 할 수 있으므로 '적어도'라고 표현함) 희생하드마스크(53b)를 식각마스크로 하드마스크용 절연막(52a)을 식각하여 하드마스크(52b)를 형성한다.Subsequently, as shown in FIG. 5C, the sacrificial hard mask 53b is formed by etching the hard mask sacrificial film 53a using the photoresist pattern 55 as an etch mask, and then at least (photoresist pattern 55). Most of the anti-reflection layer 54 may be removed during the etching process, but a part of the anti-reflection layer 54 may remain. In this case, a separate photoresist strip process for removing the photoresist pattern 55 and the anti-reflection layer 54 is not performed. Since the remaining photoresist pattern 55 and the anti-reflection layer 54 may serve as an etching mask, the at least one sacrificial hard mask 53b may be etched using the sacrificial hard mask 53b to etch the hard mask insulating film 52a. The mask 52b is formed.

이 과정에서 전술한 잔류하는 포토레지스트 패턴(55)과 반사방지층(54)은 공정 진행 과정에서 자연스럽게 제거된다.In this process, the remaining photoresist pattern 55 and the anti-reflection layer 54 are naturally removed during the process.

이하, 전술한 하드마스크용 희생막(53a)과 하드마스크 절연막(52a)의 식각 공정을 구체적으로 살펴본다.Hereinafter, the etching process of the hard mask sacrificial layer 53a and the hard mask insulating layer 52a will be described in detail.

하드마스크용 희생막(53a)이 W막, WSix막 또는 WN막과 같이 텅스텐(W)을 포함하는 박막인 경우, SF6/N2의 혼합 가스를 사용한 플라즈마를 이용하며, 이 때 SF6/N2의 혼합비율이 0.10 ∼ 0.60인 것을 사용하는 것이 바람직하다.When the hard mask sacrificial film 53a is a thin film containing tungsten (W) such as a W film, a WSix film, or a WN film, a plasma using a mixed gas of SF 6 / N 2 is used, in which case SF 6 / to use the mixing ratio of N 2 is 0.10 ~ 0.60 are preferred.

하드마스크용 희생막(53a)이 폴리실리콘막 또는 Ti막, TiN막, TiSix막, TiAlN막 또는 TiSiN막과 같이 티타늄(Ti)을 포함하는 박막인 경우, 염소 계열의 가스 특히, Cl2를 주식각가스로 하며, 이 때 식각 프로파일의 제어를 위해 산소(O2) 또는 CF 가스를 적절히 첨가하여 사용한다.When the hard mask sacrificial film 53a is a thin film containing titanium (Ti) such as a polysilicon film or a Ti film, a TiN film, a TiSix film, a TiAlN film or a TiSiN film, chlorine-based gas, in particular, Cl 2 In this case, oxygen (O 2 ) or CF gas is appropriately added to control the etching profile.

하드마스크용 희생막(53a)이 Pt, Ir, Ru 등의 귀금속 또는 이들의 산화물을 포함하는 경우 염소 계열 또는 불소 계열의 가스를 사용한 플라즈마를 이용하며, 이 때 식각 프로파일의 제어를 위해서는 높은 이온에너지(High ion energy)가 필요하므로 이를 위해 저압(Low pressure) 및 고 바이어스 파워(High bias power) 조건을 유지하도록 하는 것이 바람직하다. When the hard mask sacrificial film 53a contains a noble metal such as Pt, Ir, Ru, or an oxide thereof, plasma using a chlorine-based or fluorine-based gas is used. In this case, high ion energy is used to control the etching profile. Since high ion energy is required, it is preferable to maintain low pressure and high bias power conditions for this purpose.                     

이어서, 희생하드마스크(53b)가 형성된 다음, 희생하드마스크(53b)를 식각마스크로 하드마스크용 절연막(52a)를 선택적으로 식각하여 하드마스크(52b) 패턴을 형성하는 공정을 실시함에 있어서, 통상의 질화막계열 또는 산화막계열의 물질을 식각할 때 사용되는 CF 계열의 가스를 주식각가스로 사용한다.Subsequently, after the sacrificial hard mask 53b is formed, a process of selectively etching the hard mask insulating film 52a using the sacrificial hard mask 53b as an etch mask to form a hard mask 52b pattern is performed. CF-based gas used to etch nitride-based or oxide-based materials is used as the stock angle gas.

따라서, 종래의 경우 하드마스크용 절연막(52a) 식각시 식각마스크로 이용되는 포토레지스트 패턴(55) 또는 반사방지층(54)의 과도한 식각가스 노출로 인한 패턴의 변형을 방지할 수 있다.Therefore, in the conventional case, deformation of the pattern due to excessive etching gas exposure of the photoresist pattern 55 or the anti-reflection layer 54 used as an etching mask during the etching of the hard mask insulating layer 52a can be prevented.

요컨대, 텅스텐 또는 텅스텐질화막과 같이 텅스텐을 포함하는 희생하드마스크(53b)의 경우 SF6/N2를 식각가스로 사용하며, 이는 하드마스크용 절연막(52a)에 비해 포토레지스트 패턴(55)에 비해 높은 식각선택비를 가질 수 있어, 결과적으로 포토레지스트 패턴(55)의 국부적인 손실로 인한 노치 현상을 방지할 수 있다. 따라서, 하드마스크(52b)의 손실과 이로인한 패턴 변형을 방지할 수 있다.That is, in the case of the sacrificial hard mask 53b including tungsten, such as tungsten or tungsten nitride, SF 6 / N 2 is used as an etching gas, which is compared with the photoresist pattern 55 in comparison with the hard mask insulating layer 52a. It can have a high etching selectivity, and as a result can prevent the notch phenomenon due to the local loss of the photoresist pattern 55. Therefore, the loss of the hard mask 52b and the resulting pattern deformation can be prevented.

또한, 텅스텐을 제외한 전도성 박막의 경우, 식각 과정에서 비록 ArF 또는 F2용 포토레지스트의 변형을 초래할 수 있는 CF 계열의 식각가스를 사용한다고 하더라도, 전도성 박막 자체가 질화막 또는 산화막에 비해 포토레지스트와 높은 식각선택비를 가지므로 종래의 하드마스크 손실과 패턴의 변형을 방지할 수 있다.In addition, in the case of the conductive thin film except for tungsten, the conductive thin film itself is higher than that of the nitride film or the oxide film, even though a CF-based etching gas that may cause deformation of the photoresist for ArF or F 2 is used during the etching process. Since the etching selectivity, it is possible to prevent the conventional hard mask loss and pattern deformation.

다음으로, 희생하드마스크(53b) 및 하드마스크(52b)를 식각마스크로 전도층(51a)를 선택적으로 식각하여 전도층패턴(51b) 즉, 게이트전극 패턴을 형성한다. Next, the conductive layer 51a is selectively etched using the sacrificial hard mask 53b and the hard mask 52b as an etch mask to form the conductive layer pattern 51b, that is, the gate electrode pattern.                     

이 때, 전술한 본 발명의 희생하드마스크(53b) 사용에 따라 이를 제거하기 위한 추가 식각공정을 없애기 위한 의도대로 전도층(51a)을 하드마스크용 희생막(53a)과 동일 박막으로 사용하거나, 또는 서로 다른 박막이더라도 그 두께와 식각 조건을 조절함으로써, 전도층(51a) 식각시 희생하드마스크(53b)는 모두 제거가 되며, 이에 따라 희생하드마스크(53b)를 제거하기 위한 별도의 식각 공정을 생략할 수 있으며, 희생하드마스크(53b)로 인해 하드마스크(52b)의 손실을 방지할 수 있으므로 하드마스크(52b)의 손실로 인한 전도층패턴(51b)의 변형을 방지할 수 있다.At this time, according to the use of the sacrificial hard mask 53b of the present invention described above, the conductive layer 51a is used as the same thin film as the sacrificial film 53a for the hard mask, as intended to eliminate the additional etching process for removing it. Alternatively, even though the thin films are different from each other, the sacrificial hard mask 53b may be removed when the conductive layer 51a is etched by adjusting the thickness and etching conditions. Accordingly, a separate etching process for removing the sacrificial hard mask 53b may be performed. Since it is possible to prevent the loss of the hard mask 52b due to the sacrificial hard mask 53b, the deformation of the conductive layer pattern 51b due to the loss of the hard mask 52b can be prevented.

여기서, 전도층(51a)의 식각 조건은 전술한 희생하드마스크(53b) 형성시 사용한 조건과 동일하며, 단지 그 시간과 가스량만을 적절하게 조절하면 된다.Here, the etching conditions of the conductive layer 51a are the same as those used when the sacrificial hard mask 53b is formed, and only the time and the gas amount may be appropriately adjusted.

도 7의 (a) 내지 도 5의 (d)는 전술한 본 발명의 일실시예에 따른 이중 하드마스크 구조를 사용한 게이트전극 패턴 형성시 각 공정 단계에 따른 CD를 도시한 평면 SEM 사진이며, 도 8은 전술한 본 발명의 일실시예에 따른 이중 하드마스크 구조를 사용한 게이트전극 패턴을 도시한 단면 SEM 사진이다.7 (a) to 5 (d) are planar SEM photographs showing CDs according to each process step when forming a gate electrode pattern using a double hard mask structure according to an embodiment of the present invention. 8 is a cross-sectional SEM photograph showing a gate electrode pattern using a double hard mask structure according to an embodiment of the present invention described above.

도 7의 (a)는 포토레지스트 패턴(55) 형성을 위한 현상(Develop) 후의 CD 관찰을 위한 SEM 사진 즉, DI(Develop Inspection) CD를 나타내는 SEM 사진으로서, 다수의 포토레지스트 패턴(55)이 평면적으로 일방향으로 배열되어 있음을 확인할 수 있다.FIG. 7A illustrates an SEM image for observing CD after development for forming the photoresist pattern 55, that is, a SEM photograph showing a development inspection (DI) CD. It can be seen that the plane is arranged in one direction.

도 7의 (b)는 하드마스크용 희생막(도시하지 않음)으로 텅스텐막을 사용하여 이를 선택적으로 식각한 후의 CD 관찰을 위한 SEM 사진으로서, 다수의 텅스텐막을 이용한 희생하드마스크(53b)가 평면적으로 일방향으로 배열되어 있음을 확인할 수 있다.FIG. 7B is a SEM photograph for CD observation after selectively etching a tungsten film using a sacrificial film (not shown) for a hard mask, and the sacrificial hard mask 53b using a plurality of tungsten films is planarized. You can see that they are arranged in one direction.

도 7의 (c)는 도 5의 (b)의 희생하드마스크(53b)을 식각마스크로 하드마스크용 절연막(도시하지 않음)을 선택적으로 식각하여 하드마스크(도시하지 않음)를 형성한 후의 CD 관찰을 위한 SEM 사진으로서, 도 5의 (b)와 같이 다수의 텅스텐막을 이용한 희생하드마스크(53b)가 평면적으로 일방향으로 배열되어 있음을 확인할 수 있다.FIG. 7C shows a CD after the hard mask insulating film (not shown) is selectively etched using the sacrificial hard mask 53b of FIG. 5B as an etching mask to form a hard mask (not shown). As an SEM photograph for observation, it can be seen that the sacrificial hard mask 53b using a plurality of tungsten films is arranged in one direction in a plane as shown in FIG. 5 (b).

도 7의 (d)는 하드마스크용 희생막(53b)와 하드마스크(52b)를 식각마스크로 전도층(도시하지 않음)를 선택적으로 식각한 후의 CD 관찰을 위한 SEM 사진으로서, 전도층패턴의 최상부에 위치하는 다수의 하드마스크(52b)가 평면적으로 일방향으로 배열되어 있음을 확인할 수 있다.FIG. 7D is a SEM photograph for CD observation after selectively etching a conductive layer (not shown) using the hard mask sacrificial film 53b and the hard mask 52b as an etch mask. It can be seen that the plurality of hard masks 52b positioned at the top thereof are arranged in one direction in a plane.

따라서, 도 7의 (d)에 도시된 바와 같이, 도 2 내지 도 4의 SEM 사진에 도시된 종래기술에서의 패턴 변형과 같은 문제점이 발생하지 않았음을 확인할 수 있다.Therefore, as shown in (d) of FIG. 7, it can be confirmed that a problem such as pattern deformation in the prior art shown in the SEM photographs of FIGS. 2 to 4 does not occur.

도 8을 참조하면, 도 8은 도 7의 (d)를 X-X' 방향으로 절단한 단면을 도시하는 것으로, 전도층 패턴(51b)과 하드마스크(52b)가 적층된 다수의 게이트전극 패턴이 패턴 변형없이 형성되어 있음을 확인할 수 있다.Referring to FIG. 8, FIG. 8 is a cross-sectional view taken along the line XX ′ of FIG. 7D, wherein a plurality of gate electrode patterns in which the conductive layer pattern 51b and the hard mask 52b are stacked are patterned. It can be seen that it is formed without deformation.

도 6a 내지 도 6d는 본 발명의 다른 실시예에 따른 F2 또는 ArF 노광원을 이용한 반도체소자의 패턴 형성 공정을 도시한 단면도로서, 이를 참조하여 상세히 설명한다. 6A through 6D are cross-sectional views illustrating a pattern forming process of a semiconductor device using an F 2 or ArF exposure source according to another exemplary embodiment of the present invention.

후술하는 본 발명의 다른 실시예에서는 반도체소자의 스페이스 패턴(Space pattern) 예컨대, 콘택홀 패턴 형성 공정을 그 일예로 하여 설명하는 바, 본 발명의 적용 대상이 되는 콘택홀 패턴은 금속배선 콘택과 비트라인 또는 캐패시터의 스토리지 노드 콘택을 위한 소스/드레인 접합 등의 기판 내의 불순물 접합층과의 콘택 및 콘택 패드 형성을 위한 공정 등에 적용이 가능하다.In another embodiment of the present invention described below, a space pattern, for example, a contact hole pattern forming process of a semiconductor device is described as an example, and the contact hole pattern to which the present invention is applied is a metal wiring contact and a bit. The present invention can be applied to a process for forming a contact pad and contact with an impurity bonding layer in a substrate such as a source / drain junction for a storage node contact of a line or a capacitor.

또한, 본 발명의 다른 실시예는 피식각층을 절연막으로 하는 공정인 소자분리(Isolation)를 위한 트렌치(Trench) 형성 공정이나, 피식각층을 전도층으로 하는 공정 예컨대, 박막트랜지스터(Thin film transistor)의 채널 형성 부분을 오픈시키기 위한 폴리실리콘을 식각하는 공정 등에도 적용이 가능하다. 즉, 본 발명의 다른 실시예에서는 음각 패턴을 형성하는 공정으로의 적용을 나타낸다고 할 수 있다.In addition, another embodiment of the present invention is a trench formation process for isolation of a device in which an etched layer is an insulating layer, or a process in which a etched layer is a conductive layer, for example, a thin film transistor. The present invention may also be applied to a process of etching polysilicon to open the channel forming part. That is, in another embodiment of the present invention it can be said that the application to the process of forming the intaglio pattern.

먼저, 도 4a에 도시된 바와 같이, 반도체 소자를 형성하기 위한 여러 요소가 형성된 기판(60) 상에 피식각층으로 불순물이 도핑되거나(Doped) 또는 불순물이 도핑되지 않은(Undoped) 산화막(61)을 형성한 다음, 산화막(61) 상에 절연성 물질이면서도 산화막(61)과의 식각선택비를 갖어 하드마스크 재료로 사용되는 하드마스크용 질화막(62a)을 형성한다. 이어서, 하드마스크용 질화막(62a)의 식각 손실에 따른 패턴 변형을 방지하기 위해 하드마스크용 질화막(62a) 상에 하드마스크용 희생막(63a)을 형성한다.First, as shown in FIG. 4A, an oxide layer 61 that is doped with impurities or undoped with impurities is etched into an etched layer on a substrate 60 on which various elements for forming a semiconductor device are formed. After the formation, a hard mask nitride film 62a used as a hard mask material is formed on the oxide film 61 with an insulating material and an etching selectivity with the oxide film 61. Subsequently, the hard mask sacrificial layer 63a is formed on the hard mask nitride layer 62a in order to prevent the pattern deformation caused by the etching loss of the hard mask nitride layer 62a.

여기서, 피식각층으로 산화막(61), 하드마스크용 절연막으로 하드마스크용 질화막(62a)을 한정하였으나, 피식각층은 SiO2 등의 산화막 이외에 저유전율막과 같 이 이와 유사한 절연 특성을 갖는 박막을 사용할 수 있고, 하드마스크용 절연막 역시 절연성이면서도 질화막 이외에 산화막 등의 피식각층과 식각선택비를 갖는 예컨대, Si3N4 또는 SiON 등의 질화막계열을 사용할 수 있다.Here, the oxide layer 61 is defined as the etched layer and the hard mask nitride layer 62a is defined as the insulating layer for the hard mask. However, in addition to the oxide layer such as SiO 2 , the etched layer may be formed using a thin film having similar insulating properties as the low dielectric constant film. In addition, an insulating film for a hard mask may also be used, for example, a nitride film series such as Si 3 N 4 or SiON having an etching selectivity and an etching selectivity such as an oxide film and the like in addition to the nitride film.

여기서, 하드마스크용 희생막(63a)은 폴리실리콘막, Al막, W막, WSix막, WN막, Ti막, TiN막, TiSix막, TiAlN막, TiSiN막, Pt막, Ir막, IrO2막, Ru막, RuO2막, Ag막, Au막, Co막, TaN막, CrN막, CoN막, MoN막, MoSix막, Al2O3막, AlN막, PtSix막 및 CrSix막으로 이루어진 그룹으로부터 선택된 적어도 어느 하나를 포함하는 박막을 이용한다. 이 때 하드마스크용 희생막(63a)은 후속 피식각층인 산화막(61) 식각시 제거될 수 있도록 그 두께를 설정하는 바, 500Å ∼ 3000Å의 두께로 형성하는 것이 바람직하며, 전술한 하드마스크용 질화막(62a)의 경우에도 500Å ∼ 5000Å의 두께로 형성하는 것이 바람직하다.Here, the hard mask sacrificial film 63a is made of polysilicon film, Al film, W film, WSix film, WN film, Ti film, TiN film, TiSix film, TiAlN film, TiSiN film, Pt film, Ir film, IrO 2 Group consisting of film, Ru film, RuO 2 film, Ag film, Au film, Co film, TaN film, CrN film, CoN film, MoN film, MoSix film, Al 2 O 3 film, AlN film, PtSix film and CrSix film A thin film including at least one selected from the above is used. At this time, the sacrificial film 63a for hard mask is set to have a thickness such that the sacrificial film 63a can be removed during etching of the oxide layer 61, which is a subsequent etching layer. Also in the case of (62a), it is preferable to form in thickness of 500 kPa-5000 kPa.

또한, 기판(60)은 그 내부에 절연구조와 도전구조를 모두 포함하는 것으로, 본 발명의 콘택홀이 금속배선 형성 또는 금속배선간의 콘택을 위한 것이라면, 금속배선 또는 도전구조를 그 상부에 포함하고 있으며, 비트라인 또는 스토리지노드 또는 콘택 패드 등과의 콘택을 위한 것이라면 소스/드레인 접합 등의 불순물 접합층을 그 내부에 포함하거나, 폴리실리콘 등의 플러그를 포함하고 있다.In addition, the substrate 60 includes both an insulating structure and a conductive structure therein. If the contact hole of the present invention is for forming a metal wiring or a contact between the metal wirings, the substrate 60 includes a metal wiring or a conductive structure thereon. In the case of contact with a bit line or a storage node or a contact pad, an impurity junction layer such as a source / drain junction may be included therein, or a plug such as polysilicon may be included.

하드마스크용 희생막(63a) 상에 패턴 형성을 위한 노광시 하부 즉, 하드마스크용 희생막(63a)의 광반사도가 높임으로써 난반사가 이루어져 원하지도 않는 패턴이 형성되는 것을 방지하며, 하드마스크용 희생막(63a)과 후속 포토레지스트의 접 착력을 향상시킬 목적으로 반사방지층(64,ARC)을 형성한다.When the exposure to form a pattern on the hard mask sacrificial layer (63a) to increase the light reflectivity of the lower portion, that is, the hard mask sacrificial layer (63a) by the high reflection to prevent the unwanted pattern is formed, and for the hard mask The antireflective layers 64 and ARC are formed to improve adhesion between the sacrificial film 63a and the subsequent photoresist.

여기서, 반사방지층(64)은 포토레지스트와 그 식각 특성이 유사한 유기계열의 물질을 이용하며, 100Å ∼ 1000Å의 두께로 형성하는 것이 바람직하다.Here, the anti-reflection layer 64 is formed of an organic material similar to the photoresist and the etching characteristics thereof, and is preferably formed to a thickness of 100 kPa to 1000 kPa.

이어서, 도 4b에 도시된 바와 같이, 반사방지층(64) 상에 F2 노광원용 또는 ArF 노광원용의 포토레지스트 예를 들어, 도 1에 도시된 바와 같은 ArF 노광원용 포토레지스트인 COMA 또는 아크릴레이드를 스핀 코팅 등의 방법을 통해 적절한 두께로 도포한 다음, F2 노광원 또는 ArF 노광원과 콘택홀의 폭을 정의하기 위한 소정의 레티클(도시하지 않음)을 이용하여 포토레지스트의 소정 부분을 선택적으로 노광하고, 현상 공정을 통해 노광 공정에 의해 노광되거나 혹은 노광되지 않은 부분을 잔류시킨 다음, 후세정 공정 등을 통해 식각 잔유물 등을 제거함으로써 포토레지스트 패턴(65)을 형성한다.Subsequently, as shown in FIG. 4B, a photoresist for an F 2 exposure source or an ArF exposure source, for example, COMA or an acrylade, which is an ArF exposure source photoresist as shown in FIG. 1, is placed on the antireflection layer 64. Coating to an appropriate thickness, such as by spin coating, and then selectively exposing a predetermined portion of the photoresist using a predetermined reticle (not shown) to define the width of the F 2 exposure source or ArF exposure source and the contact hole. The photoresist pattern 65 is formed by leaving portions exposed or not exposed by the exposure process through the developing process and then removing the etching residues through the post-cleaning process or the like.

계속해서, 포토레지스트 패턴(65)을 식각 마스크로 한 선택적 식각 공정을 통해 반사방지층(64)을 식각하는 바, 이 때 포토레지스트 패턴(65)의 손실을 최소화하기 위해 Cl2, BCl3, CCl4 또는 HCl 등의 염소계 가스를 사용한 플라즈마를 이용하여 식각 공정을 실시하거나, CF 계열의 가스를 사용할 경우 C/F의 비율이 낮은 가스 예컨대, CF4, C2F2, CHF3 및 CH2F2로 이루어진 그룹으로부터 선택된 어느 하나의 가스를 사용한 플라즈마를 이용하여 식각 공정을 실시한다.Subsequently, the anti-reflection layer 64 is etched through a selective etching process using the photoresist pattern 65 as an etch mask. At this time, in order to minimize the loss of the photoresist pattern 65, Cl 2 , BCl 3 , and CCl are etched. Etching process using plasma using chlorine gas such as 4 or HCl, or gas with low C / F ratio when using CF gas such as CF 4 , C 2 F 2 , CHF 3 and CH 2 F The etching process is performed using a plasma using any one gas selected from the group consisting of two .

이는 반사방지층(64) 식각시에는 CD의 조절이 용이해야 하므로 폴리머를 거의 발생시키지 않는 조건으로 식각을 진행하기 위한 것이다. This is because the CD should be easily controlled during the anti-reflection layer 64 etching, so that the etching may be performed under conditions that hardly generate polymer.                     

이어서, 포토레지스트 패턴(65)과 반사방지층(64)을 식각마스크로 하드마스크용 희생막(63a)을 식각하여 희생하드마스크(63b)를 형성한다.Subsequently, the sacrificial hard mask 63b is formed by etching the hard mask sacrificial layer 63a using the photoresist pattern 65 and the anti-reflection layer 64 as an etching mask.

이하, 전술한 하드마스크용 희생막(63a) 식각 공정을 구체적으로 살펴본다.Hereinafter, the etching process of the sacrificial layer 63a for the hard mask will be described in detail.

하드마스크용 희생막(63a)이 W막, WSix막 또는 WN막과 같이 텅스텐(W)을 포함하는 경우, SF6/N2의 혼합 가스를 사용한 플라즈마를 이용하며, 이 때 SF6/N 2의 혼합비율이 0.10 ∼ 0.60인 것을 사용하는 것이 바람직하다.When the hard mask sacrificial film 63a contains tungsten (W) such as a W film, a WSix film, or a WN film, a plasma using a mixed gas of SF 6 / N 2 is used, in which case SF 6 / N 2 It is preferable to use those whose mixing ratio is 0.10 to 0.60.

하드마스크용 희생막(63a)이 폴리실리콘막 또는 Ti막, TiN막, TiSix막, TiAlN막 또는 TiSiN막과 같이 티타늄(Ti)을 포함하는 박막인 경우, 염소 계열의 가스 특히, Cl2를 주식각가스로 하며, 이 때 식각 프로파일의 제어를 위해 산소(O2) 또는 CF 가스를 첨가하여 사용한다.When the hard mask sacrificial film 63a is a thin film containing titanium (Ti) such as a polysilicon film or a Ti film, a TiN film, a TiSix film, a TiAlN film or a TiSiN film, chlorine-based gas, in particular, Cl 2 Each gas is used, and oxygen (O 2 ) or CF gas is added to control the etching profile.

하드마스크용 희생막(63a)이 Pt, Ir, Ru 등의 귀금속 또는 이들의 산화물을 포함하는 박막인 경우, 염소 계열 또는 불소 계열의 가스를 사용한 플라즈마를 이용하며, 이 때 식각 프로파일 제어를 위해서는 높은 이온에너지가 필요하므로 이를 위해 저압 및 고 바이어스 파워 조건을 유지하도록 한다.When the sacrificial film 63a for the hard mask is a thin film containing noble metals such as Pt, Ir, Ru, or oxides thereof, a plasma using a chlorine-based or fluorine-based gas is used. Ion energy is required, so maintain low pressure and high bias power conditions for this.

다음으로, 도 4c에 도시된 바와 같이, 적어도(포토레지스트 패턴(65)과 반사방지층(64)은 대부분 식각과정에서 제거가 되나 그 일부가 잔류될 수 있는 바, 포토레지스트 패턴(65)과 반사방지층(64)의 제거를 위한 별도의 포토레지스트 스트립 공정을 실시하지 않는 경우 잔류하는 포토레지스트 패턴(65)과 반사방지층(64)이 식각마스크 역할을 할 수 있으므로 '적어도'라고 표현함) 희생하드마스크(63b)를 식각마스크로 하드마스크용 질화막(62a)을 식각하여 하드마스크(62b)를 형성하는 바, 이 과정에서 전술한 잔류하는 포토레지스트 패턴(65)과 반사방지층(64)은 자연스럽게 제거된다.Next, as shown in FIG. 4C, at least (the photoresist pattern 65 and the anti-reflection layer 64 are mostly removed during the etching process, but a part of the photoresist pattern 65 and the reflection may remain. If no separate photoresist strip process is performed to remove the protective layer 64, the remaining photoresist pattern 65 and the anti-reflective layer 64 may serve as an etch mask. The hard mask 62b is formed by etching the hard mask nitride layer 62a using the etching mask 63b. In this process, the remaining photoresist pattern 65 and the anti-reflection layer 64 are naturally removed. .

희생하드마스크(63b)가 형성된 다음, 희생하드마스크(63b)를 식각마스크로 하드마스크용 질화막(62a)를 선택적으로 식각하여 하드마스크(62b) 패턴을 형성하는 공정을 실시함에 있어서, 통상의 질화막계열 또는 산화막계열의 물질을 식각할 때 사용되는 CF 계열의 가스를 주식각가스로 사용한다.After the sacrificial hard mask 63b is formed, the conventional nitride film is formed by selectively etching the hard mask nitride film 62a using the sacrificial hard mask 63b as an etch mask to form a hard mask 62b pattern. CF-based gas, which is used to etch materials of series or oxide series, is used as stock angle gas.

따라서, 종래의 경우 하드마스크용 질화막(62a) 식각시 식각마스크로 이용되는 포토레지스트 패턴(65) 또는 반사방지층(64)의 과도한 식각가스 노출로 인한 패턴의 변형을 방지할 수 있다.Therefore, in the conventional case, deformation of the pattern due to excessive etching gas exposure of the photoresist pattern 65 or the anti-reflection layer 64 used as an etching mask during the etching of the hard mask nitride layer 62a may be prevented.

다음으로, 도 4d에 도시된 바와 같이, 희생하드마스크(63b) 및 하드마스크(62b)를 식각마스크로 산화막(61)를 선택적으로 식각하여 기판(60) 구체적으로는 도전구조의 표면 또는 그 상부를 노출시키는 콘택홀(66)을 형성한다.Next, as illustrated in FIG. 4D, the oxide layer 61 is selectively etched using the sacrificial hard mask 63b and the hard mask 62b as an etch mask, so that the surface of the substrate 60, specifically, the conductive structure or the upper portion thereof. A contact hole 66 exposing the contact hole 66 is formed.

이 때, 전술한 본 발명의 희생하드마스크(63b) 사용에 따라 이를 제거하기 위한 추가 식각공정을 없애기 위한 의도대로 산화막(61) 식각시 희생하드마스크(63b)는 모두 제거가 되도록 공정 조건을 조절 즉, 그 두께와 식각 조건을 조절하면, 희생하드마스크(63b)를 제거하기 위한 별도의 식각 공정을 생략할 수 있으며, 희생하드마스크(63b)로 인해 하드마스크(62b)의 손실을 방지할 수 있으므로 하드마스크(62b)의 손실로 인한 콘택홀(66)의 CD의 증가 또는 감소 등의 패턴 변형을 방지할 수 있다. At this time, according to the use of the sacrificial hard mask 63b of the present invention described above, the process conditions are adjusted to remove all of the sacrificial hard mask 63b when the oxide layer 61 is etched as intended to eliminate the additional etching process for removing the sacrificial hard mask 63b. That is, by adjusting the thickness and etching conditions, a separate etching process for removing the sacrificial hard mask 63b may be omitted, and the loss of the hard mask 62b may be prevented due to the sacrificial hard mask 63b. Therefore, it is possible to prevent pattern deformation such as increase or decrease of the CD of the contact hole 66 due to the loss of the hard mask 62b.                     

전술한 피식각층 즉, 산화막(61)의 식각 조건을 보다 구체적으로 살펴 본다.The etching conditions of the above-described etching layer, that is, the oxide layer 61 will be described in more detail.

산화막(61) 식각시 통상적으로 사용되는 CF4, CHF3, CH2F2, C4F6, C4F8, C3F8 또는 C5F8 등을 CF계열(Fluorocarbon)의 가스를 사용하며, 이 때 MERIE(Magnetic Enhancement Reactive Ion Etching) 방식의 에쳐(Etcher)에서 상기 CF가스의 플로우율을 20SCCM ∼ 100SCCM으로 하고 1000W ∼ 2500W 정도의 파워를 사용한다.CF 4 , CHF 3 , CH 2 F 2 , C 4 F 6 , C 4 F 8 , C 3 F 8, or C 5 F 8 , which are commonly used in etching the oxide layer 61, may be In this case, the flow rate of the CF gas is set at 20 SCCM to 100 SCCM and power of about 1000 W to 2500 W is used in an Etcher of Magnetic Enhancement Reactive Ion Etching (MERIE).

이 때, 에쳐 내의 압력을 25mTorr ∼ 70mTorr로 유지하고, 캐소드(Cathode) 온도를 -20℃ ∼ +60℃ 정도로 유지하며, 식각 프로파일을 제어할 수 있도록 상기 CF 가스의 65% ∼ 80%의 플로우율로 산소 가스를 더 첨가할 수 있다.
At this time, the pressure in the archer is maintained at 25 mTorr to 70 mTorr, the cathode temperature is maintained at about -20 ° C to + 60 ° C, and the flow rate of 65% to 80% of the CF gas is controlled to control the etching profile. Oxygen gas can be added further.

상기한 바와 같이 이루어지는 본 발명은, 다수의 전도성 물질막 예컨대, 텅스텐막 또는 텅스텐질화막을 절연성 하드마스크 예컨대, 질화막 상부에 적층 구조로 사용함으로써, ArF 또는 F2 노광원에 의한 보다 발전된 사진식각 기술을 이용하여 패턴 형성시 CF 계열의 식각가스에 대한 절연성 하드마스크와 포토레지스트 사이의 낮은 식각선택비에 의한 포토레지스트의 국부적인 손실을 방지할 수 있어, 이로인한 절연성 하드마스크의 손실과 패턴 변형을 방지할 수 있다.The present invention made as described above uses a plurality of conductive material films such as tungsten film or tungsten nitride film in a stacked structure on top of an insulating hard mask such as nitride film, thereby further improving the photolithography technique using an ArF or F 2 exposure source. It is possible to prevent the local loss of the photoresist due to the low etching selectivity between the insulating hard mask and the photoresist for the CF-based etching gas during pattern formation, thereby preventing the loss of the insulating hard mask and pattern deformation. can do.

또한, 층간절연 물질로 사용되는 산화막 또는 전도층 등의 피식각층 식각시 희생하드마스크를 동시에 제거할 수 있어 희생하드마스크 제거를 위한 별도의 추가 공정을 덜 수 있음을 실시예를 통해 알아 보았다.
In addition, the present invention has been found that the sacrificial hard mask may be simultaneously removed during etching of an etching layer such as an oxide layer or a conductive layer used as an interlayer insulating material, thereby eliminating an additional process for removing the sacrificial hard mask.

이상에서 설명한 본 발명은 전술한 실시예 및 첨부된 도면에 의해 한정되는 것이 아니고, 본 발명의 기술적 사상을 벗어나지 않는 범위 내에서 여러 가지 치환, 변형 및 변경이 가능하다는 것이 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 있어 명백할 것이다.
The present invention described above is not limited to the above-described embodiments and the accompanying drawings, and various substitutions, modifications, and changes are possible in the art without departing from the technical spirit of the present invention. It will be clear to those of ordinary knowledge.

전술한 본 발명은, F2 또는 ArF 등의 노광원을 사용하는 사진식각 공정에 따른 포토레지스트 패턴의 변형과 손실을 방지할 수 있으며, 또한 하드마스크의 손실을 최소화함으로써, 궁극적으로 반도체 소자의 수율을 크게 향상시킬 수 있는 탁월한 효과를 기대할 수 있다.The present invention described above can prevent the deformation and loss of the photoresist pattern according to the photolithography process using an exposure source such as F 2 or ArF, and also minimizes the loss of the hard mask, thereby ultimately yielding the semiconductor device. You can expect an excellent effect that can significantly improve.

Claims (36)

피식각층 상에 하드마스크용 절연막을 형성하는 단계;Forming an insulating film for a hard mask on the etched layer; 상기 하드마스크용 절연막 상에 하드마스크용 희생막을 형성하는 단계;Forming a sacrificial layer for a hard mask on the insulating layer for the hard mask; 상기 하드마스크용 희생막 상에 포토레지스트를 도포하는 단계;Applying a photoresist on the sacrificial layer for the hard mask; 선택적 노광 및 현상 공정으로 상기 포토레지스트의 패턴을 형성하는 단계; Forming a pattern of the photoresist in a selective exposure and development process; 상기 포토레지스트의 패턴을 식각마스크로 상기 하드마스크용 희생막을 선택적으로 식각하여 희생하드마스크를 형성하는 단계; Selectively etching the sacrificial layer for the hard mask using the pattern of the photoresist to form a sacrificial hard mask; 적어도 상기 희생하드마스크를 식각마스크로 상기 하드마스크용 절연막을 식각하여 하드마스크를 형성하는 단계; 및Etching the hard mask insulating layer using at least the sacrificial hard mask as an etch mask to form a hard mask; And 상기 희생하드마스크 및 상기 하드마스크를 식각마스크로 상기 피식각층을 식각하여 소정의 패턴을 형성하는 단계Etching the etched layer using the sacrificial hard mask and the hard mask as an etch mask to form a predetermined pattern 를 포함하는 반도체소자 제조방법.Semiconductor device manufacturing method comprising a. 제 1 항에 있어서,The method of claim 1, 상기 소정의 패턴은 음각 또는 양각 패턴을 포함하는 것을 특징으로 하는 반도체소자 제조방법.The predetermined pattern is a semiconductor device manufacturing method comprising an intaglio or embossed pattern. 제 1 항에 있어서,The method of claim 1, 상기 피식각층은 절연막이며, 상기 소정의 패턴은 콘택홀 패턴을 포함하는 것을 특징으로 하는 반도체소자 제조방법.And the etched layer is an insulating film, and the predetermined pattern includes a contact hole pattern. 제 1 항에 있어서,The method of claim 1, 상기 피식각층은 전도층이며, 상기 소정의 패턴은 비트라인, 워드라인 또는 금속배선 중 어느 하나를 포함하는 것을 특징으로 하는 반도체소자 제조방법.And the etched layer is a conductive layer, and the predetermined pattern includes any one of a bit line, a word line, and a metal line. 제 1 항에 있어서,The method of claim 1, 상기 포토레지스트는 ArF 노광원용 포토레지스트 또는 F2 노광원용 포토레지스트를 포함하는 것을 특징으로 하는 반도체소자 제조방법.And the photoresist comprises an ArF exposure source photoresist or an F 2 exposure source photoresist. 제 1 항에 있어서,The method of claim 1, 상기 희생하드마스크는 상기 피식각층을 식각하는 단계에서 제거되는 것을 특징으로 하는 반도체소자 제조방법.The sacrificial hard mask is removed in the step of etching the etching layer. 제 1 항에 있어서,The method of claim 1, 상기 하드마스크용 희생막은, The hard mask sacrificial film, 폴리실리콘막, Al막, W막, WSix(x는 1 내지 2)막, WN막, Ti막, TiN막, TiSix(x는 1 내지 2)막, TiAlN막, TiSiN막, Pt막, Ir막, IrO2막, Ru막, RuO2막, Ag막, Au막, Co막, TaN막, CrN막, CoN막, MoN막, MoSix(x는 1 내지 2)막, Al2O3막, AlN막, PtSix(x는 1 내지 2)막 및 CrSix(x는 1 내지 2)막으로 이루어진 그룹으로부터 선택된 적어도 어느 하나의 박막을 포함하는 것을 특징으로 하는 반도체소자 제조방법.Polysilicon film, Al film, W film, WSix (x is 1-2) film, WN film, Ti film, TiN film, TiSix (x is 1-2) film, TiAlN film, TiSiN film, Pt film, Ir film , IrO 2 film, Ru film, RuO 2 film, Ag film, Au film, Co film, TaN film, CrN film, CoN film, MoN film, MoSix (x is 1 to 2) film, Al 2 O 3 film, AlN And at least one thin film selected from the group consisting of a film, a PtSix (x is 1 to 2) film, and a CrSix (x is 1 to 2) film. 제 1 항에 있어서,The method of claim 1, 상기 피식각층은 제1절연막이고, 상기 하드마스크용 절연막은 상기 피식각층과 식각선택비를 갖는 제2절연막인 것을 특징으로 하는 반도체소자 제조 방법.And the etching target layer is a first insulating layer, and the insulating layer for the hard mask is a second insulating layer having an etching selectivity with the etching target layer. 제 8 항에 있어서,The method of claim 8, 상기 제1절연막은 산화막계열이고, 상기 제2절연막은 질화막계열인 것을 특징으로 하는 반도체소자 제조방법.And the first insulating film is an oxide film series and the second insulating film is a nitride film series. 제 7 항에 있어서,The method of claim 7, wherein 상기 피식각층은 상기 하드마스크용 희생막과 동일 박막으로 이루어진 것을 특징으로 하는 반도체소자 제조방법.The etching layer is a semiconductor device manufacturing method, characterized in that made of the same thin film as the sacrificial film for the hard mask. 제 1 항 또는 제 10 항에 있어서,The method according to claim 1 or 10, 상기 하드마스크용 절연막은 산화막계열 또는 질화막계열을 포함하는 것을 특징으로 하는 반도체소자 제조방법.The hard mask insulating film is a semiconductor device manufacturing method comprising an oxide film series or a nitride film series. 제 7 항에 있어서,The method of claim 7, wherein 상기 하드마스크용 희생막을 식각하는 단계에서,In the etching of the hard mask sacrificial film, 상기 하드마스크용 희생막이 W을 포함하는 경우 SF6/N2의 혼합 플라즈마를 이용하되, SF6/N2를 0.10 내지 0.60의 비율로 하여 사용하는 것을 특징으로 하는 반도체소자 제조방법.When the hard mask for the sacrificial film including a W SF, but a mixed plasma of 6 / N 2, method of manufacturing a semiconductor device characterized by using by a SF 6 / N 2 at a rate of 0.10 to 0.60. 제 11 항에 있어서,The method of claim 11, 상기 하드마스크용 희생막을 식각하는 단계에서,In the etching of the hard mask sacrificial film, 상기 하드마스크용 희생막이 폴리실리콘 또는 Ti를 포함하는 경우 염소계열의 가스를 주식각가스로 하되, 식각 프로파일 제어를 위해 산소 또는 CF 가스를 첨가하여 사용하는 것을 특징으로 하는 반도체소자 제조방법.When the hard mask sacrificial film contains polysilicon or Ti, the chlorine-based gas is used as the stock angle gas, and the semiconductor device manufacturing method comprises adding oxygen or CF gas to control the etching profile. 제 7 항에 있어서,The method of claim 7, wherein 상기 하드마스크용 희생막을 식각하는 단계에서,In the etching of the hard mask sacrificial film, 상기 하드마스크용 희생막이 Pt, Ir 또는 Ru 중 어느 하느를 포함하는 귀금속 또는 이들의 산화물을 포함하는 경우 염소계열 또는 불소계열의 플라즈마를 사용하는 것을 특징으로 하는 반도체소자 제조방법.When the hard mask sacrificial film contains a noble metal containing any one of Pt, Ir or Ru or oxides thereof, a chlorine series or a fluorine series plasma is used. 제 1 항에 있어서,The method of claim 1, 상기 하드마스크용 희생막을 500Å 내지 3000Å의 두께로 형성하는 것을 특징으로 하는 반도체소자 제조방법.The hard mask sacrificial film is a semiconductor device manufacturing method, characterized in that to form a thickness of 500 ~ 3000Å. 제 11 항에 있어서,The method of claim 11, 상기 하드마스크용 절연막을 식각하는 단계에서 CF가스를 사용하는 것을 특 징으로 하는 반도체소자 제조방법.A method of manufacturing a semiconductor device, characterized in that using CF gas in etching the hard mask insulating film. 제 11 항에 있어서,The method of claim 11, 상기 하드마스크용 절연막을 500Å 내지 5000Å의 두께로 형성하는 것을 특징으로 하는 반도체소자 제조방법.The hard mask insulating film is a semiconductor device manufacturing method, characterized in that to form a thickness of 500 ~ 5000Å. 제 9 항에 있어서,The method of claim 9, 상기 제1절연막을 식각하는 단계에서 CF계열의 가스를 사용하는 것을 특징으로 하는 반도체소자 제조방법.And manufacturing a CF-based gas in the step of etching the first insulating layer. 제 18 항에 있어서,The method of claim 18, 상기 제1절연막을 식각하는 단계는,Etching the first insulating layer may include: MERIE(Magnetic Enhancement Reactive Ion Etching) 방식의 에쳐(Etcher)에서 상기 CF가스의 플로우율을 20SCCM 내지 100SCCM으로 하여 실시하는 것을 특징으로 하는 반도체소자 제조방법.A method for manufacturing a semiconductor device, characterized in that the CF gas flow rate is set to 20 SCCM to 100 SCCM in an MERIE (Etcher) method of Magnetic Enhancement Reactive Ion Etching. 제 19 항에 있어서,The method of claim 19, 상기 제1절연막을 식각하는 단계는,Etching the first insulating layer may include: 상기 에쳐의 압력을 25mTorr 내지 70mTorr로 유지하고, 캐소드 온도를 -20℃ 내지 +60℃의 온도로 유지하며 실시하는 것을 특징으로 하는 반도체소자 제조방법.A method of manufacturing a semiconductor device, characterized in that the pressure is maintained at 25 mTorr to 70 mTorr and the cathode temperature is maintained at a temperature of -20 ° C to + 60 ° C. 제 20 항에 있어서,The method of claim 20, 상기 제1절연막을 식각하는 단계에서 상기 CF가스의 65% 내지 80%의 플로우율로 산소가스를 더 포함하여 실시하는 것을 특징으로 하는 반도체소자 제조방법.And in the etching of the first insulating layer, further comprising an oxygen gas at a flow rate of 65% to 80% of the CF gas. 피식각층 상에 하드마스크용 절연막을 형성하는 단계;Forming an insulating film for a hard mask on the etched layer; 상기 하드마스크용 절연막 상에 하드마스크용 희생막을 형성하는 단계;Forming a sacrificial layer for a hard mask on the insulating layer for the hard mask; 상기 하드마스크용 희생막 상에 반사방지층을 형성하는 단계;Forming an anti-reflection layer on the sacrificial layer for the hard mask; 상기 반사방지층 상에 포토레지스트를 도포하는 단계;Applying a photoresist on the antireflective layer; 선택적 노광 및 현상 공정으로 상기 포토레지스트의 패턴을 형성하는 단계; Forming a pattern of the photoresist in a selective exposure and development process; 상기 포토레지스트의 패턴을 식각마스크로 상기 반사방지층과 상기 하드마스크용 희생막을 선택적으로 식각하여 희생하드마스크를 형성하는 단계; Forming a sacrificial hard mask by selectively etching the anti-reflection layer and the hard mask sacrificial layer using the pattern of the photoresist as an etch mask; 적어도 상기 희생하드마스크를 식각마스크로 상기 하드마스크용 절연막을 식각하여 하드마스크를 형성하는 단계; 및Etching the hard mask insulating layer using at least the sacrificial hard mask as an etch mask to form a hard mask; And 상기 희생하드마스크 및 상기 하드마스크를 식각마스크로 상기 피식각층을 식각하여 소정의 패턴을 형성하는 단계Etching the etched layer using the sacrificial hard mask and the hard mask as an etch mask to form a predetermined pattern 를 포함하는 반도체소자 제조방법.Semiconductor device manufacturing method comprising a. 제 22 항에 있어서,The method of claim 22, 상기 포토레지스트는 ArF 노광원용 포토레지스트 또는 F2 노광원용 포토레지스트를 포함하는 것을 특징으로 하는 반도체소자 제조방법.And the photoresist comprises an ArF exposure source photoresist or an F 2 exposure source photoresist. 제 22 항에 있어서,The method of claim 22, 상기 하드마스크용 희생막은, The hard mask sacrificial film, 폴리실리콘막, Al막, W막, WSix(x는 1 내지 2)막, WN막, Ti막, TiN막, TiSix(x는 1 내지 2)막, TiAlN막, TiSiN막, Pt막, Ir막, IrO2막, Ru막, RuO2막, Ag막, Au막, Co막, TaN막, CrN막, CoN막, MoN막, MoSix(x는 1 내지 2)막, Al2O3막, AlN막, PtSix(x는 1 내지 2)막 및 CrSix(x는 1 내지 2)막으로 이루어진 그룹으로부터 선택된 적어도 어느 하나의 박막을 포함하는 것을 특징으로 하는 반도체소자 제조방법.Polysilicon film, Al film, W film, WSix (x is 1-2) film, WN film, Ti film, TiN film, TiSix (x is 1-2) film, TiAlN film, TiSiN film, Pt film, Ir film , IrO 2 film, Ru film, RuO 2 film, Ag film, Au film, Co film, TaN film, CrN film, CoN film, MoN film, MoSix (x is 1 to 2) film, Al 2 O 3 film, AlN And at least one thin film selected from the group consisting of a film, a PtSix (x is 1 to 2) film, and a CrSix (x is 1 to 2) film. 제 22 항에 있어서,The method of claim 22, 상기 반사방지층은 유기계열이며, 100Å 내지 1000Å의 두께로 형성하는 것을 특징으로 하는 반도체소자 제조방법.The anti-reflection layer is an organic series, the semiconductor device manufacturing method, characterized in that formed in a thickness of 100 ~ 1000Å. 제 25 항에 있어서,The method of claim 25, 상기 반사방지층을 식각하는 단계에서,In the etching of the anti-reflection layer, 염소계열 또는 CF 계열의 가스를 사용하되, CF 계열의 가스일 경우 C/F의 비율이 낮은 CF4, C2F2, CHF3 및 CH2F2로 이루어진 그룹으로부터 선택된 어느 하나의 가스를 사용하는 것을 특징으로 하는 반도체소자 제조방법.Use gas of chlorine series or CF series, but use any one gas selected from the group consisting of CF 4 , C 2 F 2 , CHF 3 and CH 2 F 2 with low C / F ratio A semiconductor device manufacturing method characterized in that. 기판 상에 텅스텐을 포함하는 전도층을 형성하는 단계;Forming a conductive layer comprising tungsten on the substrate; 상기 전도층 상에 하드마스크용 절연막을 형성하는 단계;Forming an insulating film for a hard mask on the conductive layer; 상기 하드마스크용 절연막 상에 텅스텐을 포함하는 하드마스크용 희생막을 형성하는 단계;Forming a sacrificial film for hard mask including tungsten on the hard mask insulating film; 상기 하드마스크용 희생막 상에 포토레지스트 패턴을 형성하는 단계; Forming a photoresist pattern on the sacrificial layer for the hard mask; 상기 포토레지스트 패턴을 식각마스크로 상기 하드마스크용 희생막을 선택적 으로 식각하여 희생하드마스크를 형성하는 단계; Forming a sacrificial hard mask by selectively etching the sacrificial layer for the hard mask using the photoresist pattern as an etching mask; 적어도 상기 희생하드마스크를 식각마스크로 상기 하드마스크용 절연막을 식각하여 하드마스크를 형성하는 단계; 및Etching the hard mask insulating layer using at least the sacrificial hard mask as an etch mask to form a hard mask; And 상기 희생하드마스크 및 상기 하드마스크를 식각마스크로 상기 전도층을 식각하여 전도층패턴을 형성하는 단계Forming a conductive layer pattern by etching the conductive layer using the sacrificial hard mask and the hard mask as an etch mask 를 포함하는 반도체소자 제조방법.Semiconductor device manufacturing method comprising a. 제 27 항에 있어서,The method of claim 27, 상기 포토레지스트 패턴은 ArF 노광원용 포토레지스트 또는 F2 노광원용 포토레지스트를 사용하여 형성하는 것을 특징으로 하는 반도체소자 제조방법.The photoresist pattern is a semiconductor device manufacturing method characterized in that formed using an ArF exposure source photoresist or F 2 exposure source photoresist. 제 27 항에 있어서,The method of claim 27, 상기 전도층패턴은 비트라인 워드라인 또는 금속배선 중 어느 하나를 포함하는 것을 특징으로 하는 반도체소자 제조방법.The conductive layer pattern is a semiconductor device manufacturing method comprising a bit line word line or a metal wiring. 제 27 항에 있어서,The method of claim 27, 상기 희생하드마스크는 상기 전도층을 식각하는 단계에서 제거되는 것을 특징으로 하는 반도체소자 제조방법.The sacrificial hard mask is removed in the step of etching the conductive layer. 제 27 항에 있어서,The method of claim 27, 상기 전도층은 상기 하드마스크용 희생막과 동일 박막으로 이루어진 것을 특징으로 하는 반도체소자 제조방법.The conductive layer is a semiconductor device manufacturing method, characterized in that made of the same thin film as the sacrificial film for the hard mask. 제 27 항 또는 제 31 항에 있어서,32. The method of claim 27 or 31, 상기 텅스텐을 포함하는 전도층 및 상기 텅스텐을 포함하는 하드마스크용 희생막은 W막, Wsix막 또는 WN막 중 적어도 어느 하나의 박막을 포함하는 것을 특징으로 하는 반도체소자 제조방법.The conductive layer including the tungsten and the sacrificial film for hard mask containing the tungsten comprises at least one thin film of W film, Wsix film or WN film. 제 27 항 또는 제 31 항에 있어서,32. The method of claim 27 or 31, 상기 하드마스크용 절연막은 산화막계열 또는 질화막계열을 포함하는 것을 특징으로 하는 반도체소자 제조방법.The hard mask insulating film is a semiconductor device manufacturing method comprising an oxide film series or a nitride film series. 제 27 항에 있어서,The method of claim 27, 상기 하드마스크를 형성하는 단계 후, 상기 포토레지스트 패턴을 제거하는 단계를 더 포함하는 것을 특징으로 하는 반도체소자 제조방법.And after the forming of the hard mask, removing the photoresist pattern. 제 27 항에 있어서,The method of claim 27, 상기 하드마스크용 절연막과 상기 하드마스크용 희생막 사이에 반사방지층을 형성하는 단계를 더 포함하는 것을 특징으로 하는 반도체소자 제조방법.And forming an anti-reflection layer between the hard mask insulating film and the hard mask sacrificial film. 제 27 항에 있어서,The method of claim 27, 상기 하드마스크용 희생막을 식각하는 단계에서, SF6와 N2가 0.10 내지 0.60의 비율인 SF6/N2의 혼합 플라즈마를 이용하는 것을 특징으로 하는 반도체소자 제조방법.In the etching of the hard mask sacrificial layer, a method of manufacturing a semiconductor device using SF 6 / N 2 in which SF 6 and N 2 are in a ratio of 0.10 to 0.60.
KR1020020056772A 2001-11-12 2002-09-18 Method for fabrication of semiconductor device KR100551071B1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
TW091132662A TWI276153B (en) 2001-11-12 2002-11-06 Method for fabricating semiconductor device
DE10252337A DE10252337A1 (en) 2001-11-12 2002-11-11 Production of a semiconductor component comprises preparing an insulating layer for a hard mask on an etching layer, forming a sacrificial layer on the insulating layer, and further processing
US10/292,540 US7018930B2 (en) 2001-11-12 2002-11-12 Method for fabricating semiconductor device
JP2002328926A JP2003282550A (en) 2001-11-12 2002-11-12 Method for manufacturing semiconductor device

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR20010070223 2001-11-12
KR1020010070223 2001-11-12

Publications (2)

Publication Number Publication Date
KR20030040030A KR20030040030A (en) 2003-05-22
KR100551071B1 true KR100551071B1 (en) 2006-02-10

Family

ID=29569043

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020020056772A KR100551071B1 (en) 2001-11-12 2002-09-18 Method for fabrication of semiconductor device

Country Status (1)

Country Link
KR (1) KR100551071B1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101070307B1 (en) * 2007-12-21 2011-10-06 주식회사 하이닉스반도체 Method for forming micropattern in semiconductor device

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20050010247A (en) * 2003-07-18 2005-01-27 주식회사 하이닉스반도체 Method for manufacturing semiconductor device
KR100714284B1 (en) * 2004-06-25 2007-05-02 주식회사 하이닉스반도체 Forming method of metal line in semiconductor memory device having word line strapping structure
TWI319204B (en) 2004-10-12 2010-01-01 Hynix Semiconductor Inc Method for fabricating semiconductor device using tungsten as sacrificial hard mask
KR100792409B1 (en) * 2004-10-12 2008-01-09 주식회사 하이닉스반도체 Method for fabrication of semiconductor device using tungsten layer to sacrificial hard mask
KR100591133B1 (en) * 2004-12-15 2006-06-19 동부일렉트로닉스 주식회사 Gate pattern forming method using arf photoresist
KR100607355B1 (en) * 2004-12-21 2006-07-31 주식회사 하이닉스반도체 Method of forming a damascene pattern in a semiconductor device
CN106463350B (en) * 2014-06-13 2019-12-20 英特尔公司 Vertical channel transistor fabrication process by selectively clipping a regular grid

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR19980037280A (en) * 1996-11-21 1998-08-05 문정환 Photoresist pattern formation method
JPH11176807A (en) * 1997-12-08 1999-07-02 Sony Corp Manufacture of semiconductor device
JPH11214370A (en) * 1997-12-11 1999-08-06 Samsung Electronics Co Ltd Etching method of aluminum-containing metal film and wiring layer forming method of semiconductor device using the same
KR20010003461A (en) * 1999-06-23 2001-01-15 김영환 method of forming gate electrode of semiconductor device
KR20010003339A (en) * 1999-06-22 2001-01-15 김영환 Method of etching semiconductor device provided with hard mask
KR20010004043A (en) * 1999-06-28 2001-01-15 김영환 Method of manufacturing reflective mask for EUV exposure apparatus
KR20010027172A (en) * 1999-09-10 2001-04-06 윤종용 Method of forming patterns in semiconductor device
KR20010059193A (en) * 1999-12-30 2001-07-06 박종섭 Method for forming tungsten electrode in memory device

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR19980037280A (en) * 1996-11-21 1998-08-05 문정환 Photoresist pattern formation method
JPH11176807A (en) * 1997-12-08 1999-07-02 Sony Corp Manufacture of semiconductor device
JPH11214370A (en) * 1997-12-11 1999-08-06 Samsung Electronics Co Ltd Etching method of aluminum-containing metal film and wiring layer forming method of semiconductor device using the same
KR20010003339A (en) * 1999-06-22 2001-01-15 김영환 Method of etching semiconductor device provided with hard mask
KR20010003461A (en) * 1999-06-23 2001-01-15 김영환 method of forming gate electrode of semiconductor device
KR20010004043A (en) * 1999-06-28 2001-01-15 김영환 Method of manufacturing reflective mask for EUV exposure apparatus
KR20010027172A (en) * 1999-09-10 2001-04-06 윤종용 Method of forming patterns in semiconductor device
KR20010059193A (en) * 1999-12-30 2001-07-06 박종섭 Method for forming tungsten electrode in memory device

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101070307B1 (en) * 2007-12-21 2011-10-06 주식회사 하이닉스반도체 Method for forming micropattern in semiconductor device

Also Published As

Publication number Publication date
KR20030040030A (en) 2003-05-22

Similar Documents

Publication Publication Date Title
KR100704470B1 (en) Method for fabrication of semiconductor device using amorphous carbon layer to sacrificial hard mask
US7018930B2 (en) Method for fabricating semiconductor device
US6524964B2 (en) Method for forming contact by using ArF lithography
JP4420592B2 (en) Method for forming fine pattern of semiconductor element
US6867145B2 (en) Method for fabricating semiconductor device using photoresist pattern formed with argon fluoride laser
KR20030044476A (en) A forming method of pattern using ArF photolithography
KR100551071B1 (en) Method for fabrication of semiconductor device
US6448179B2 (en) Method for fabricating semiconductor device
KR100939109B1 (en) Method for fabricating semiconductor device
KR100792409B1 (en) Method for fabrication of semiconductor device using tungsten layer to sacrificial hard mask
KR100489360B1 (en) A fabricating method of semiconductor device using ArF photolithography
KR20040057502A (en) A forming method of pattern of semiconductor device using ArF photolithography
KR100816687B1 (en) A forming method of pattern
KR100858874B1 (en) METHOD FOR FABRICATION OF SEMICONDUCTOR DEVICE USING ArF PHOTOLITHOGRAPHY
KR20030058247A (en) A forming method of semiconductor device with improved protection of pattern deformation
KR100753097B1 (en) A fabricating method of semiconductor device using ArF photolithography
KR100440776B1 (en) A fabricating method of semiconductor device using ArF photolithography
KR100942980B1 (en) METHOD FOR FABRICATION OF SELF ALIGN CONTACT HOLE OF SEMICONDUCTOR DEVICE USING ArF PHOTO LITHOGRAPHY
KR100955184B1 (en) Manufacturing method for semiconductor device
KR100623617B1 (en) Method for fabrication of semiconductor memory device using tungsten layer to sacrificial hard mask
KR100533967B1 (en) A forming method of pattern using ArF photolithography
KR20040057396A (en) Method for fabricating semiconductor device
KR20030043170A (en) A forming method of pattern
KR20040057748A (en) A forming method of pattern of semiconductor device using ArF photolithography
KR20030042542A (en) A forming method of pattern using ArF photolithography

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20110126

Year of fee payment: 6

LAPS Lapse due to unpaid annual fee