KR100764963B1 - 니트로플루오르화 규산염 유리층을 증착시키는 방법 및시스템과, 그에 사용되는 컴퓨터 판독 가능 저장 매체 - Google Patents

니트로플루오르화 규산염 유리층을 증착시키는 방법 및시스템과, 그에 사용되는 컴퓨터 판독 가능 저장 매체 Download PDF

Info

Publication number
KR100764963B1
KR100764963B1 KR1020010027492A KR20010027492A KR100764963B1 KR 100764963 B1 KR100764963 B1 KR 100764963B1 KR 1020010027492 A KR1020010027492 A KR 1020010027492A KR 20010027492 A KR20010027492 A KR 20010027492A KR 100764963 B1 KR100764963 B1 KR 100764963B1
Authority
KR
South Korea
Prior art keywords
substrate
gas
containing gas
layer
chamber
Prior art date
Application number
KR1020010027492A
Other languages
English (en)
Other versions
KR20010105300A (ko
Inventor
린 장
웬 마
츄앙 리
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20010105300A publication Critical patent/KR20010105300A/ko
Application granted granted Critical
Publication of KR100764963B1 publication Critical patent/KR100764963B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31629Deposition of halogen doped silicon oxide, e.g. fluorine doped silicon oxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/958Passivation layer

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

챔버 내의 기판 상에 절연 박막의 니트로플루오르화 규산염 유리를 증착시키는 갭 충진 및 다마신 방법이 개시된다. 규소, 플루오르, 산소, 및 질소를 함유하는 가스의 가스상 혼합물로부터 생성된 고밀도 플라즈마는 기판 상에 니트로플루오르화 규산염 유리 층을 증착시킨다. 갭 충진 응용에 있어서, 기판은 4.8 내지 11.2W/㎠ 범위의 바이어스 전력 밀도로 바이어스되고 처리 챔버 내에서 산소 함유 가스의 유동 속도 대 규소 함유 가스의 조합된 유동 속도의 비는 1.0 내지 1.8 범위, 바람직하게 1.2 내지 1.4 범위이다. 다마신 응용에 있어서, 바이어스 전력 밀도는 3.2 W/㎠, 바람직하게 1.6W/㎠ 이하이며, 유동 속도의 비는 1.2 내지 3.0 범위이다. 최적의 변수를 사용하면, 박막은 더 낮은 유전체 상수와 플루오르 규산염 유리보다 양호한 접착 특성을 갖는다.

Description

니트로플루오르화 규산염 유리층을 증착시키는 방법 및 시스템과, 그에 사용되는 컴퓨터 판독 가능 저장 매체{METHOD AND SYSTEM FOR DEPOSITING A NITROGEN-DOPED FSG LAYER, AND A COMPUTER-READABLE STORAGE MEDIUM USED THEREIN}
도 1a는 본 발명에 따른 일 실시예인 고밀도 화학 증착 시스템의 단순도.
도 1b는 도 1a의 실시예적인 CVD 처리 챔버와 함께 사용될 수도 있는 가스 링의 단순 수직 횡단면도.
도 1c는 도 1a의 실시예적인 CVD 처리 챔버와 함께 사용될 수도 있는 가스 링의 단순 수평 횡단면도.
도 1d는 도 1a의 실시예적인 CVD 처리 챔버와 함께 사용될 수도 있는 모니터와 형광펜의 단순도.
도 1e는 도 1a의 실시예적인 CVD 처리 챔버를 제어하는데 사용되는 실시예적인 처리 제어 컴퓨터 프로그램 제품의 흐름도.
도 2는 본 발명의 방법에 따라 제조된 반도체 장치의 단순 횡단면도.
도 3a 내지 도 3h는 본 발명의 일실시예에 따른 집적 이중 다마신 공정을 거쳐 부분적으로 형성된 집적회로의 횡단면도.
도 4는 갭 충진 공정에서 도전성 미세구조물 상에 유전체 재료의 축적을 설명하는 기판의 수직 횡단면도.
도 5는 갭의 종횡비가 증착 기술의 갭 충진 성능을 초과한다면 내부 공극의 형성을 설명하는 도 4에 도시된 기판의 수직 횡단면도이다.
※도면의 주요부분에 대한 부호의 설명※
10 : 고밀도 플라즈마 화학 증착 시스템 13 : 챔버
14 : 돔 16 : 플라즈마 처리 영역
17 : 기판 20 : 정전기적 척
21 : 기저 부분 33 : 가스 전달 시스템
50 : 원격 작동의 플라즈마 세정 시스템 60 : 시스템 제어기
70 : 진공 시스템 80A : 공급 플라즈마 시스템
본 발명은 집적회로의 제조에 관한 것이며, 보다 구체적으로 이러한 회로에 사용되기 위한 니트로플루오르화 규산염 유리를 증착시키기 위한 방법에 관한 것이다. 본 발명에 따라 증착된 박막은 다양한 응용에 있어서 유용하며, 금속간 유전체 층의 형성과 구리 다마신 응용에 특히 유용하다.
통상적인 집적회로의 제조에 있어서, 회로 소자는 금속 층 내에 갭 패턴을 에칭함으로써 형성되며, 유전체로 채워진다. 반도체 칩 상에 더 많은 집적도를 달성하려는 노력때문에, 회로 소자(트랜지스터, 캐패시터, 등과 같음)를 보다 작게 하려는 지속적인 필요성을 만족시키고, 소자를 더욱 근접하게 하여, 칩의 단위 면 적당 소자의 수를 허용한다. 반도체 칩 상의 소자 밀도의 증가는 전기적으로 도전체인 구조물을 절연시키기 위해 사용되는 저유전체 상수를 갖는 재료 상에서의 작업 속도와 전력 소모의 증가된 선택도를 야기한다. 유전체 상수가 너무 높다면, 칩의 금속 라인 사이의 전기 용량은 너무 커서, 층을 가로질러 원치 않는 혼선을 생성한다.
다양한 형태의 규소 산화물 또는 규소 산화물 기저 유리가 통상적으로 집적회로의 제조에서 절연 재료로 사용된다. 규소 산화물은 많은 응용에서 수용할 수 있는 저유전체 상수를 갖지만, 고밀도의 회로 소자와 관계된 소정의 응용에 있어서 더 낮은 유전체 상수가 바람직하다. 더 낮은 유전체 상수는 RC 시간 지연을 감소시켜, 전체적인 회로의 작업 속도의 개선에 기여한다. 도프되지 않은 규산염 유리보다 더 낮은 유전체 상수를 갖는 절연체를 형성하는 한 방법은 화학 증착("CVD") 공정 중에 플루오르를 규소 산화물에 첨가하는 단계와 관계된다. 결과적인 플루오르화 규산염 유리("FSG") 내에 플루오르 도펀트의 존재는 유전체 상수를 낮추는 소정의 효과를 갖는다고 공지되어 있다.
적절한 유전체 상수를 갖는 박막을 증착시키는 방법을 개발하는데 있어서 고려되어야 할 또다른 인자는, 통상의 알루미늄 합금보다 더 낮은 저항을 갖는 구리가 모든 형태의 집적회로에 있어서 칩 내의 도전체로 교체되기에 적합하다는 것이다. 알루미늄보다 구리를 에칭하는 것이 더 어려우며, 그러므로 "다마신 공정"이라고 지칭된 특정 공정이 구리 기저 집적회로의 제조를 위해 개발되었다. 그러므로, 다마신 공정에서, 유전체 층이 집적 층으로서 처음 증착되고, 갭을 형성하도록 에칭되어 도전성 재료로 연속적으로 채워진다. 상부 또는 하부에 있을 수 있는 배리어 층은 일반적으로 구리의 인접한 유전체 층으로의 확산을 방지하도록 포함된다. 다마신 공정에서 사용되는 소정의 집적 층은 "에칭 정지부" 또는 "하드마스크"로 공지된 층을 사용하여 박막을 선택적으로 에칭할 수 있게 한다. 규소 질화물(SixNy)은 예를 들어 금속 라인을 포함하는 층 사이에 바이어스를 형성할 때와 같은 응용에 있어서 통상적으로 사용되는 재료이다.
갭 충진과 다마신 응용에 있어서 USG와 FSG의 증착은 이미 고밀도 플라즈마("HDP") CVD 시스템에서 달성되었다. 증착은 소정의 박막의 필요한 원소 성분을 포함하는 가스 혼합물로부터 챔버 내에 플라즈마를 형성함으로써 달성된다. 갭 충진 응용의 경우에, 웨이퍼는 플라즈마 내에서 처리되고 바이어스는 웨이퍼에 가해진다. 바이어스는 이온을 플라즈마로부터 웨이퍼로 가속시켜 웨이퍼가 침식되며, 조기에 갭과 근접한 재료는 떨어져 나가며 플라즈마로부터 재료는 갭을 채우기 위해 증착된다. FSG 갭 충진 공정은 신뢰도, 안정성 및 작업 처리량의 관점에서 일반적으로 양호한 공정이다. 갭 충진 응용에서 증착된 HDP-FSG 박막은, 통상의 도프되지 않은 규소 산화물의 약 4.0 내지 4.3 범위의 유전체 상수 값과 비교할 때, 약 5.5 내지 7.0 원자량%의 플루오르 농도와 약 3.7의 유전체 상수를 가진다.
본 발명의 목적은 FSG를 사용하여 USG와 비교할 때 감소된 유전체 상수를 갖는 절연 재료를 제공하여 작동 속도를 증가시키고 회로 성능을 향상시켜 박막에 대 해 보다 양호한 안정성을 제공하고자 하는 것이다.
본 발명에 따른 실시예는 저유전체 상수와 개선된 안정성을 갖는 규산염 유리 기저 절연체를 제공한다. 증착 챔버에 공급되는 혼합물 내에 질소 함유 가스를 포함함으로써(그렇지 않으면 FSG를 형성하는데 사용되는 가스에 첨가), 니트로플루오르화 규산염 유리("NFSG") 박막이 기판 상에 증착될 수 있다. 이러한 NFSG 박막은 유사한 조건 하에서 질소 함유 가스 없이 증착된 FSG 층의 유전체 상수보다 약 5% 이하의 유전체 상수를 갖는다. 박막 내에 질소 도펀트의 함유에 기여할 수 있는 유전체 상수의 감소는 증가된 장치의 속도를 허용하고, 박막에 의해 나타난 향상된 안정성은 FSG와 USG에 존재하는 집적도 관심을 줄인다. NFSG층은 소정의 실시예에 요구되는 상부 또는 하부 배리어 층에 우수한 접착성을 나타낸다. 본 발명의 다양한 실시예는 다마신과 갭 충진 응용에 적용될 수 있다. NFSG층의 갭 충진 성능은 유사한 조건하에서 증착된 FSG 또는 USG 박막 상에서 개선된다.
갭 충진 응용에 수정할 수 있는 일 실시예에서, 규소 함유, 플루오르 함유, 산소 함유, 및 질소 함유 가스의 가스상 혼합물이 챔버로 제공되는 기판 상에 NFSG 박막을 증착시키는 방법이 제공된다. 고밀도 플라즈마는 가스 혼합물로부터 생성되며, 여기서 "고밀도"는 본원에서 1011 이온/㎤ 이상인 이온 밀도를 갖는다고 이해된다. 바이어스가 4.8 내지 11.2 W/㎠ 범위의 바이어스 전력 밀도에서 기판에 가해지며, NFSG층은 플라즈마를 사용하여 기판 상에 증착된다. 본 발명에 따른 특정 한 일 실시예에서, 바이어스 전력 밀도는 8.3W/㎠이다. 본 발명에 따른 바람직한 실시예에서, 질소 함유 가스는 N2지만, N2O, NH3, 또는 NF3와 같은 상이한 질소 함유 가스일 수도 있다. 플루오르 함유 가스는 바람직하게 SiF4이며 규소 함유 가스는 바람직하게 실란이다. 가스 혼합물 내에 산소 함유 가스의 유동 속도 대 모든 규소 함유 가스의 조합된 유동 속도의 비는 1.0 내지 1.8, 바람직하게 1.2 내지 1.4 범위내에 있어야 한다. N2의 유동 속도는 10 내지 60sccm, 바람직하게 20 내지 40sccm 범위이며, 화학양론에 따라 선택적인 질소 함유 가스에 적절하게 조절될 수도 있다. 최적의 변수를 사용하여 FSG보다 더 낮은 유전체 상수와 양호한 접착성을 갖는 NFSG 박막을 증착할 수 있다. 상기 방법은 3.2 : 1 이상의 종횡비를 갖는 갭을 실질적으로 채울 수 있는 갭 충진 성능을 제공한다.
다마신 응용에서 수정될 수 있는 또다른 실시예에서, 고밀도 플라즈마가 생성되는 규소, 플루오르, 산소, 및 질소 함유 가스의 가스상 혼합물을 챔버에 제공함으로써 기판 상에 NFSG 박막을 증착시키는 방법이 제공된다. 0.0 내지 3.2 W/㎠ 범위의 전력 밀도를 갖는 바이어스가 기판에 가해지고 NFSG층은 플라즈마를 사용하여 증착된다. 다마신 응용에 있어서, 바이어스 전력 밀도는 바람직하게 1.6W/㎠이며, 가스 혼합물 내에서 산소 함유 가스의 유동 속도 대 모든 규소 함유 가스의 비는 1.2 내지 3.0, 바람직하게 1.8 내지 2.5 범위이다. 갭 충진 응용에서 수정할 수 있는 실시예로서, N2O, NH3, 또는 NF3와 같은 다른 가스가 사용될 수도 있지만, 질소 함유 가스는 N2인 것이 바람직하며, 바람직한 플루오르 함유 가스는 SiF4이며, 바람직한 규소 함유 가스는 실란이다. N2의 유동 속도는 화학양론에 따라 선택적인 질소 함유 가스를 사용할 때 조절될 수 있지만, 바람직하게 30 내지 120sccm 범위이다. 관련된 실시예에서, NFSG층은 기판 상에 이미 형성된 배리어 층 상에 증착되며, 배리어 층은 바람직하게 규소 질화물 층이다. 여기서 NFSG층은 다마신 공정의 부분으로 증착되며, 기판은 NFSG층을 증착시키기 전에 산소를 함유하지 않는 인 시츄(in situ) 플라즈마에 의해 가열되는 것이 바람직하다. 갭 충진 응용으로서, 최적의 변수 사용은 FSG보다 낮은 유전체 상수와 양호한 접착성을 갖는 NFSG 박막의 증착을 허용한다.
본 발명에 따른 방법은 기판 처리 시스템의 작동을 지시하기 위해 구체화된 컴퓨터 판독 가능 프로그램을 갖는 컴퓨터 판독 가능 저장 매체에 구체화된다. 이러한 시스템은 처리 챔버, 플라즈마 생성 시스템, 기판 유지기, 가스 전달 시스템, 및 시스템 제어기를 포함한다. 컴퓨터 판독 가능 프로그램은 상술된 실시예에 따라 처리 챔버 내에 배열된 기판 상에 박막을 형성하기 위해 기판 처리 시스템을 작동하기 위한 지시어를 포함한다.
본 발명의 장점과 특징 뿐만 아니라 본 발명은 첨부 도면을 참조하여 자세히 설명된다.
Ⅰ. 개요
본 발명은 기판 상에 니트로플루오르화 규산염 유리("NFSG")를 증착시키는 방법 및 장치에 관한 것이다. 최적의 가스 유동 속도, 공급 전력 밀도, 및 바이어스 전력 밀도에서, 증착된 NFSG 박막은 유사하게 증착된 USG 또는 FSG 박막과 비교할 때 감소된 유전체 상수를 갖는다. 결과적인 NFSG층은 소정의 실시예에 요구되는 규소 질화물(SixNy)과 같은 상부 또는 하부 배리어 층에 우수한 부착성을 나타낸다. NFSG층은 향상된 안정성을 나타내어, FSG에 존재하는 집적도 관심을 감소시킨다. 갭 충진 응용에 대해 NFSG 박막이 증착되는 실시예에서, 100% 갭 충진의 개선된 갭 충진 성능이 더 높은 종횡비에 대해 달성된다. 갭 충진 실시예와 더불어, 다마신 응용에 이용되는 본 발명이 후술된다.
Ⅱ. 실시예적인 기판 처리 시스템
도 1a는 본 발명에 따른 유전체 층이 증착될 수 있는 고밀도 플라즈마 화학 증착(HDP-CVD) 시스템(10)의 일 실시예를 도시한다. 시스템(10)은 챔버(13), 진공 시스템(70), 공급 플라즈마 시스템(80A), 바이어스 플라즈마 시스템(80B), 가스 전달 시스템(33), 및 원격 작동의 플라즈마 세정 시스템(50)을 포함한다.
챔버(13)의 상부는 돔(14)을 포함하는데, 상기 돔(14)은 알루미늄 산화물 또는 알루미늄 질화물과 같은 세라믹 유전체 재료로 제조된다. 돔(14)은 플라즈마 처리 영역(16)의 상부 경계를 형성한다. 플라즈마 처리 영역(16)은 바닥에서 기판(17)의 상부 표면 및 기판 지지 부재(18)와 접촉하고 있다.
가열 판(23)과 냉각 판(24)이 돔(14)을 둘러싸고, 열적으로 연결된다. 가열 판(23)과 냉각 판(24)은 돔의 온도를 약 100 ℃ 내지 200 ℃ 범위에서 약 ±10 ℃ 내로 제어한다. 이것은 다양한 공정에 대해 돔의 온도를 최적화한다. 예를 들어, 증착 공정보다 세정 또는 에칭 공정을 위해 돔을 보다 높은 온도로 유지하는 것이 바람직하다. 돔 온도의 정확한 제어는 박리 또는 챔버 내의 입자수를 감소시키고 증착층과 기판 사이의 부착성을 개선시킨다.
챔버(13)의 하부는 챔버를 진공 시스템에 결합시키는 몸체 부재(22)를 포함한다. 기판 지지 부재(18)의 기저 부분(21)은 몸체 부재(22) 상에 장착되어, 몸체 부재(22)와 연속적인 내측 표면을 형성한다. 기판은 로봇 블레이드(도시 않음)에 의해 챔버(13)의 측면에 있는 삽입/제거 개구(도시 않음)를 통해 챔버(13) 내외로 전달된다. 이동 핀(도시 않음)은 기판을 상부 장착 위치(57)에 있는 로봇 블레이드로부터 기판이 기판 지지 부재(18)의 기판 수용 부분(19) 상에 장착된 하부 처리 위치(56)로 이동시키기 위해 모터(또한 도시 않음)의 제어하에 상하로 이동된다. 기판 수용 부분(19)은 기판 처리 중에 기판을 기판 지지 부재(18)에 고정시키는 정전기적 척(20)을 포함한다. 본 발명에 따른 바람직한 실시예에서, 기판 지지 부재(18)는 알루미늄 산화물 또는 알루미늄 세라믹 재료로부터 제조된다.
진공 시스템(70)은 이중 블레이드 스로틀 밸브(26)를 수용하고 게이트 밸브(27)와 터보모레큘라 펌프(28)에 부착된 스로틀 몸체(25)를 포함한다. 스로틀 몸체(25)는 가스 유동을 최소로 방해하고, 대칭 펌핑을 제공한다는 것을 주목해야 한다. 게이트 밸브(27)는 펌프(28)를 스로틀 몸체(25)로부터 고립시킬 수 있으며, 스로틀 밸브(26)가 완전히 개방될 때 배기 유동 능력을 제한함으로써 챔버의 압력을 제어할 수 있다. 본 발명에 따른 일 실시예에서, 스로틀 밸브, 게이트 밸브, 및 터보 모레큘라 펌프의 정렬로 인해 챔버의 압력을 약 1 밀리토르 내지 약 2 토르 범위로 정확하고 안정하게 제어할 수 있으며 22 리터/분의 펌핑 성능을 제공한다.
공급 플라즈마 시스템(80A)은 돔(14) 상에 장착된 상부 코일(29)과 측면 코일(30)을 포함한다. 대칭으로 접지된 차폐물(도시 않음)은 코일 사이의 전기적 접합을 감소시킨다. 상부 코일(29)은 상부 공급 RF(SRF) 생성기(31A)에 의해 전력이 공급되고, 측면 코일(30)은 측면 SRF 생성기(31B)에 의해 전력이 공급되어, 각각의 코일에 대해 독립적인 전력과 작동 주파수를 제공한다. 이러한 이중 코일 시스템은 챔버(13) 내의 방사 이온 밀도를 제어하여, 플라즈마의 균일성을 개선시킨다. 측면 코일(30)과 상부 코일(29)은 일반적으로 유도적으로 가동되며, 보조 전극을 요구하지 않는다. 본 발명의 특별한 실시예에서, 상부 공급 RF 생성기(31A)는 명목상 2 ㎒에서 2,500와트까지의 RF 전력을 제공하며 측면 공급 RF 생성기(31B)는 1.8 내지 2.2 ㎒, 명목상 2 ㎒에서 5,000와트까지의 RF 전력을 제공한다. 상부 및 측면 RF 생성기의 작동 주파수는 플라즈마 생성 효율을 증가시키기 위해 명목상의 작동 주파수(예를 들어 각각 1.7 내지 1.9 ㎒와 1.9 내지 2.1 ㎒)로부터 설정된다.
바이어스 플라즈마 시스템(80B)은 바이어스 RF(BRF) 생성기(31C)와 바이어스 정합 망(32C)을 포함한다. 바이어스 플라즈마 시스템(80B)은 기판 부재(17)를 몸체 부재(22)에 전기 용량적으로 연결시키며, 몸체 부재(22)는 보조 전극으로 작용한다. 바이어스 플라즈마 시스템(80B)은 공급 플라즈마 시스템(80A)에 의해 생성된 플라즈마 종(예를 들어, 이온)의 기판 표면으로의 전달을 향상시킨다. BRF 생 성기(31C)는 약 1 내지 100 범위의 주파수로 RF 전력을 제공한다. 본 발명에 따른 특정 실시예에서, BRF 생성기(31C)는 13.56㎒에서 5,000와트의 RF 전력을 제공한다.
RF 생성기(31A 및 31B)는 디지탈 제어식 분석기를 포함하며 약 1.8 내지 약 2.2 ㎒ 범위의 주파수에서 작동한다. 각각의 생성기는, 당업자에게 공지된 것처럼, 챔버와 생성기 배면의 코일로부터 반사된 전력을 측정하고 최소의 반사 전력을 얻기 위해 작동 주파수를 조절하는 RF 제어 회로(도시 않음)를 포함한다. RF 생성기는 일반적으로 50 오옴의 특성 임피던스를 갖는 부하에서 작동하도록 설계된다. RF 전력은 생성기와 상이한 특성 임피던스를 갖는 부하로부터 반사된다. 이것은 부하로 전달되는 전력을 감소시킬 수 있다. 부가적으로, 생성기 배면의 부하로부터 반사된 전력은 생성기를 과부하시켜 손상시킨다. 플라즈마의 임피던스는 다른 요소 중에서 플라즈마 이온 밀도에 따라, 5오옴 이하에서부터 900오옴 이상의 범위이며, 반사 전력은 작동 주파수이기 때문에, 반사 전력에 따라 생성기의 주파수를 조절하는 것은 RF 생성기로부터 플라즈마로 전달된 전력을 증가시키며 생성기를 보호한다. 반사 전력을 감소시키고 효율을 개선시키는 또다른 방법은 정합 망을 구비하는 것이다.
정합 망(32A 및 32B)은 생성기(31A 및 31B)의 출력 임피던스를 각각의 코일(29 및 30)과 정합시킨다. RF 제어 회로는 캐패시터의 값을 부하가 변할 때 생성기를 부하에 정합시키는 정합 망 내로 변화시킴으로써 두 개의 정합 망을 조절한다. RF 제어 회로는 생성기 배면의 부하로부터 반사된 전력이 소정의 한계를 초 과할 때 정합 망을 조절한다. 일정한 정합을 제공하고, 정합 망을 조절하여 RF 제어 회로를 효과적으로 억제하는 한 방법은 반사 전력의 한계를 반사 전력의 소정의 값 이상으로 설정하는 것이다. 이것은 정합 망을 최근의 조건에서 일정하게 유지시킴으로써 플라즈마를 소정의 조건하에서 안정화시키는 것을 돕는다.
다른 방법이 또한 플라즈마를 안정화시키는 것을 돕는다. 예를 들어, RF 제어 회로는 부하(플라즈마)에 전달된 전력을 결정하는데 사용될 수 있고 층의 증착 중에 전달 전력을 실질적으로 일정하게 유지시키기 위해 생성기의 출력 전력을 증감시킨다.
가스 전달 시스템(33)은 가스 전달 라인(38, 단지 일부만이 도시됨)을 통해 가스를 다양한 공급원(34A 내지 34F)으로부터 기판을 처리하기 위한 챔버로 공급한다. 당업자에게 공지된 것처럼, 공급원(34A 내지 34F)으로 사용되는 실질적인 공급원과 챔버(13)에 전달 라인(38)의 실질적인 연결은 챔버(13) 내에서 실행되는 증착 및 세정 공정에 따라 변한다. 가스는 가스 링(37) 및/또는 상부 노즐(45)을 통해 챔버(13) 내로 유입된다. 도 1b는 가스 링(37)의 부가적인 세부 사항을 도시하는 챔버(13)의 단순화된 부분 단면도이다.
본 발명에 따른 일 실시예에서, 제 1 및 제 2 가스 공급원(34A 및 34B)과 제 1 및 제 2 가스 유동 제어기(35A' 및 35B')는 가스를 가스 전달 라인(38, 단지 일부만이 도시됨)을 통해 가스 링(37) 내의 링 플레넘(36)에 공급한다. 가스 링(37)은 기판 상에 균일한 가스 유동을 제공하는 복수의 가스 공급 노즐(39)(설명의 목적으로 단지 하나만이 도 1b에 도시됨)을 갖는다. 노즐의 길이와 노즐의 각도는 개개 챔버 내의 특정 공정에 대한 균일성 프로파일과 이용율을 맞추도록 변화될 수도 있다.
가스 링(37)은 바람직하게 가스 공급 노즐(39)과 동일한 길이를 갖는 복수의 산화 가스 노즐(40, 단지 하나만이 도시됨)을 갖지만, 소정의 실시예에서 가스 공급 노즐(39)보다 짧으며 동일 평면에 있다. 본 발명에 따른 일 실시예에서, 산화 가스 노즐(40)은 몸체 플레넘(41)으로부터 가스를 수용한다. 본 발명에 따른 소정의 실시예에서 가스를 챔버(13) 내로 분사하기 전에 공급 가스와 산화 가스를 혼합하지 않는 것이 바람직하다. 본 발명에 따른 소정의 실시예에서, 산화 가스와 공급 가스는 몸체 플레넘(41)과 가스 링 플레넘(36) 사이에 어퍼쳐(도시 않음)를 제공함으로써 가스를 챔버(13) 내로 분사하기 전에 혼합될 수도 있다. 본 발명에 따른 일 실시예에서, 제 3 및 제 4 가스 공급원(34C 및 34D)과 제 3 및 제 4 가스 유동 제어기(35C 및 35D')는 가스 전달 라인(38)을 통해 가스를 몸체 플레넘에 제공한다. 질소 공급원(34F)은 질소 함유 가스(N2O, NH3, 또는 NF3와 같은 다른 가스가 사용될 수 있지만, 바람직한 실시예에서는 N2)를 챔버에 있는 가스 링(37)의 산화 노즐(40)에 제공한다. 선택적으로, 질소 함유 가스는 가스 유동 제어기(35F')를 통한 상부 노즐(45)과 같은 가스 공급 노즐 또는 다른 또는 부가적인 입구를 통해 챔버로 전달될 수 있다. 43B(다른 밸브는 도시 않음)와 같은 부가적인 밸브는 가스가 유동 제어기로부터 챔버로 유동하는 것을 차단할 수도 있다. 본 발명에 따른 바람직한 실시예에서, 가스 링(37)의 가스 노즐(39, 40)은 알루미늄 산화물 세라믹 으로 제조된다.
인화성, 유독성, 또는 부식성 가스가 사용되는 본 발명에 따른 실시예에서, 증착 후에 가스 전달 라인에 잔류하는 가스를 제거하는 것이 바람직하다. 이것은 예를 들어, 챔버(13)를 전달 라인(38A)으로부터 고립시키고 전달 라인(38A)을 진공 포어라인(44)에 배출시키는 밸브(43B)와 같은 3방향 밸브를 사용하여 수행된다. 도 1a에 도시된 것처럼, 43A와 43C와 같은 다른 유사한 밸브가 다른 가스 전달 라인 상에 형성될 수도 있다. 이러한 3방향 밸브는 배출되지 않은 가스 전달 라인(3방향 밸브와 챔버 사이)의 부피를 최소화하기 위해 실질적으로 챔버(13)에 근접하게 위치된다. 부가적으로, 2방향(온-오프) 밸브(도시 않음)는 질량 유동 제어기("MFC")와 챔버 사이 또는 가스 공급원과 MFC 사이에 위치된다.
도 1a를 참조하면, 챔버(13)는 상부 노즐(45)과 상부 벤트(46)를 가진다. 상부 노즐(45)과 상부 벤트(46)는 가스의 상부 및 측면 유동을 독립적으로 제어하여, 박막의 균일성을 개선시키고 박막의 증착과 도핑 변수를 미세하게 조절한다. 상부 벤트(46)는 상부 노즐(45) 주위의 환형 개구이다. 본 발명에 따른 일 실시예에서, 제 1 가스 공급원(34A)은 가스 공급 노즐(39)과 상부 노즐(45)을 제공한다. 공급 노즐 MFC(35A')은 가스 공급 노즐(39)에 전달된 가스의 양을 제어하고 상부 노즐 MFC(35A)는 상부 가스 노즐(45)에 전달된 가스의 양을 제어한다. 유사하게, 두 개의 MFC(35B 및 35B')는 공급원(34B)과 같은 단일의 산소 공급원으로부터 상부 벤트(46)와 산화 가스 노즐(40)로의 산소 유동을 제어하기 위해 사용된다. 상부 노즐(45)과 상부 벤트(46)에 공급된 가스는 가스를 챔버(13)에 유동시키기 전에 분 리된 상태로 유지시키거나, 가스는 챔버(13) 내로 유동하기 전에 상부 플레넘(48)에서 혼합된다. 동일 가스의 분리된 공급원은 다양한 챔버의 부분을 공급하기 위해 사용된다.
가스 공급 노즐(39)과 산화 가스 노즐(40)의 구성은 본 발명에 따른 소정의 실시예에서 중요하다. 도 1c는 도 1b에 도시된 실시예인 가스 링(37)의 단순 수평 횡단면도이다. 이러한 실시예에서 가스 링(37)은 가스 링(37)의 주변에 균일하게 분포된 16개의 공급 노즐(39)을 포함한다. 가스 링(37)은 또한 가스 링(37)의 주변에 균일하게 분포된 8개의 산화 가스 노즐(40)을 포함한다. 본 발명에 따른 선택적인 실시예에서, 가스 링(37)은 가스 링(37)의 주변에 균일하게 분포된 12개의 공급 노즐(39)과 6개의 산화 가스 노즐(40)을 포함한다.
원격 작동의 초단파 생성 플라즈마 세정 시스템(50)이 챔버 부재로부터 증착 잔류물을 정기적으로 세정하기 위해 제공된다. 세정 시스템은 세정 가스 공급원(34E)(예를 들어, 플루오르 분자, 질소 트리플루오라이드, 다른 플루오르탄소 또는 동등물)으로부터 플라즈마를 생성하며 반응 공동(53) 내에 있는 원격 작동의 초단파 생성기(51)를 포함한다. 이러한 플라즈마로부터 기인된 반응종은 세정 가스 공급 포트(54)를 통해 어플리케이터 튜브(55)를 경유해서 챔버(13)로 전달된다. 세정 플라즈마를 포함하도록 사용된 재료(예를 들어, 공동(53)과 어플리케이터 튜브(55))는 플라즈마에 의한 침식에 저항해야 한다. 바람직한 플라즈마 종의 농도는 반응 공동(53)으로부터의 거리에 따라 감소하기 때문에 반응 공동(53)과 공급 포트(54) 사이의 거리는 실질적으로 짧게 유지되어야 한다. 원격 작동의 공동 에서 세정 플라즈마를 생성하는 것은 초단파 생성기를 효율적으로 사용할 수 있으며 챔버 부재를 온도, 복사, 또는 인 시츄(in situ) 방식으로 형성된 플라즈마 내에 존재하는 글로 방전의 침식에 종속시키지 않는다. 결과적으로, 정전기적 척(20)과 같은 상대적으로 민감한 부재는 인 시츄 플라즈마 세정 공정에서 요구되는 가상 웨이퍼로 덮히거나 보호될 필요가 없다.
시스템 제어기(60)는 시스템(10)의 작동을 제어한다. 본 발명에 따른 바람직한 실시예에서, 제어기(60)는 하드 디스크 드라이브, 플로피 디스크 드라이브(도시 않음), 및 처리기(61)에 연결되 카드 랙(도시 않음)과 같은 기억 소자(62)를 포함한다. 카드 랙은 단일 보드 컴퓨터(SBC, 도시 않음), 아날로그 및 디지탈 입출력 보드(도시 않음), 인터페이스 보드(도시 않음), 및 스테퍼 모터 제어 보드(도시 않음)를 포함한다. 시스템 제어기는 보드, 카드 케이지, 및 커넥터의 치수와 형태를 한정하는 벌사 모듈라 유럽(Versa Modular European, VME) 표준을 따른다. VME표준은 16비트 데이타 보스와 24비트 어드레스 버스를 갖는 버스 구조를 형성한다. 시스템 제어기(31)는 하드 디스크 드라이브 상에 저장된 컴퓨터 프로그램의 제어하에 작동하거나 제거가능한 디스크 상에 저장된 프로그램과 같은 다른 컴퓨터 프로그램을 통해 작동한다. 컴퓨터 프로그램은, 예를 들어 시간, 가스의 혼합, RF 전력 정도 및 특정 공정의 다른 변수를 지시한다. 유저와 시스템 제어기 사이의 인터페이스는 도 1d에 도시된 브라운관(CRT, 65), 및 형광펜(66)과 같은 모니터를 경유한다.
도 1d는 도 1a의 실시예적인 CVD 처리 챔버와 함께 사용되는 실시예적인 시 스템 유저 인터페이스의 일부에 대한 설명도이다. 시스템 제어기(60)는 컴퓨터 판독 가능한 메모리(62)에 연결된 처리기(61)를 포함한다. 바람직하게, 메모리(62)는 하드 디스트 드라이브일 수도 있지만, ROM, PROM, 등과 같은 다른 종류의 메모리일 수도 있다.
시스템 제어기(60)는 메모리(62) 내의 컴퓨터 판독 가능한 포멧에 저장된 컴퓨터 프로그램(63)의 제어하에 작동한다. 컴퓨터 프로그램은 시간, 온도, 가스 유동, RF 전력 정도 및 특정 공정의 다른 변수를 지시한다. 유저와 시스템 제어기 사이의 인터페이스는 도 1c에 도시된 것처럼, CRT 모니터(65)와 형광펜(66)을 경유한다. 본 발명에 따른 바람직한 실시예에서, 두 개의 모니터(65, 65A)와 두 개의 형광펜(66, 66A)이 사용되며, 하나는 작업자를 위해 청정실의 벽(65)에 장착되며, 다른 하나는 서비스 기술자를 위해 벽(65A) 아래에 장착된다. 두 개의 모니터가 동시에 동일한 정보를 현시하지만, 단지 하나의 형광펜(예를 들어 66)이 사용될 수 있다. 특정 스크린 또는 기능을 선택하기 위해, 작업자는 현시 스크린의 영역을 지정하여 펜 상의 버튼(도시 않음)을 누른다. 지정된 영역은 예를 들어 색갈을 바꾸거나 새로운 메뉴를 현시함으로써 형광펜에 의해 선택된 것을 확인한다.
컴퓨터 프로그램 코드는 68000 어셈블리 언어, C, C++, 포트란, 파스칼 또는 다른 언어와 같은 통상의 컴퓨터 판독 가능한 프로그래밍 언어에 의해 쓰여질 수 있다. 적절한 프로그램 코드는 통상의 텍스트 편집기를 사용하여, 단일 파일, 또는 다중 파일에 입력되며 컴퓨터의 메모리 시스템과 같은 컴퓨터 사용 가능한 매체에 저장되거나 수록된다. 입력된 코드 텍스트가 고수준의 언어라면, 코드는 컴파 일되고, 결과적인 컴파일러 코드는 미리 컴파일된 윈도우 라이브러리 루틴의 목적 코드와 결합된다. 결합된 컴파일 목적 코드를 실행하기 위해, 시스템 유저는 목적 코드를 실행하여 컴퓨터 시스템이 메모리에 코드를 배치한다. CPU는 메모리로부터 코드를 판독하여 프로그램에서 증명된 작업을 수행하도록 코드를 실행한다.
도 1e는 컴퓨터 프로그램(70)의 계층적 제어 구조의 설명적인 블록 선도를 도시한다. 유저는 형광펜 인터페이스를 사용하여 CRT 모니터 상에 현시된 메뉴 또는 스크린에 응답하여 처리 세트 번호와 처리 챔버 번호를 처리 선택 서브루틴(73)에 입력한다. 처리 세트는 특정 공정을 수행하는데 필요한 처리 변수의 미리 결정된 세트이며, 미리 한정된 세트 번호에 의해 증명된다. 처리 선택 서브루틴(73)은 (ⅰ) 다중 챔버 시스템 내의 소정의 처리 챔버, (ⅱ) 소정의 공정을 실행하는 처리 챔버를 작동시키는데 필요한 소정의 처리 변수 세트를 증명한다. 특정 공정을 실행하는 처리 변수는 처리 가스의 조성과 유동 속도, 기판 온도, 압력, RF 전력의 정도와 같은 플라즈마 조건, 및 챔버의 돔 온도와 관계되며, 처리법의 형태로 유저에게 제공된다. 처리법에 의해 특정화된 변수는 형광펜/CRT 모니터 인터페이스를 사용하여 입력된다.
공정을 모니터하는 신호는 시스템 제어기(60)의 아날로그 및 디지털 입력 보드에 의해 제공되며, 공정을 제어하는 신호는 시스템 제어기(60)의 아날로그 및 디지털 출력 보드에 출력된다.
처리 시퀀스 서브루틴(75)은 증명된 처리 챔버와 처리 선택 서브루틴(73)으로부터의 처리 변수 세트를 수용하고 다양한 처리 챔버의 작동을 제어하는 프로그 램 코드를 포함한다. 다중 유저는 처리 세트 번호와 처리 챔버 번호를 입력할 수 있으며, 또는 단일 유저는 다중 처리 세트 번호와 처리 챔버 번호를 입력할 수 있으며, 시퀀스 서브루틴(75)은 선택된 공정을 소정의 과정으로 스케쥴한다. 바람직하게, 시퀀서 서브루틴(75)은 (ⅰ) 챔버가 사용되는지를 결정하기 위해 처리 챔버의 작동을 모니터하는 단계, (ⅱ) 어느 공정이 사용될 챔버에서 수행되는지 결정하는 단계, 및 (ⅲ) 실행된 처리 챔버와 공정의 형태의 이용 가능성에 기초해서 소정의 공정을 실행하는 단계를 실행하는 프로그램 코드를 포함한다. 폴링과 같은 처리 챔버를 모니터하는 통상의 방법이 사용될 수 있다. 어느 공정이 실행될 것인지를 스케쥴할 때, 시퀀서 서브루틴(75)은 각각의 특정 유저가 입력한 요구 에이지, 또는 선택된 공정에 대한 소정의 처리 조건과 비교하여 사용된 처리 챔버의 현재 조건, 또는 시스템 프로그래머가 스케쥴 우선 순위를 결정하기 위해 포함하도록 요구한 다른 관계 인자를 고려하도록 설계된다.
시퀀스 서브루틴(75)이 다음 수행될 처리 챔버와 처리 세트 조합을 결정한 후에, 시퀀스 서브루틴(75)은 특정 처리 세트 변수를 챔버 관리 서브루틴(77A 내지 77C)에 통과시킴으로써 처리 세트의 수행을 초기화하며, 상기 챔버 관리 서브루틴(77A 내지 77C)은 챔버(13)와 시퀀스 서브루틴(75)에 의해 보내진 처리 세트에 따른 가능한 다른 챔버(도시 않음)에서의 처리 작업을 제어한다.
챔버 부재 서브루틴의 예는 기판 위치 선정 서브루틴(80), 처리 가스 제어 서브루틴(83), 압력 제어 서브루틴(85), 및 플라즈마 제어 서브루틴(90)이다. 당업자는 어떤 공정이 챔버(13) 내에서 수행되도록 선택되는지에 따라 다른 챔버 제 어 서브루틴이 포함될 수 있다는 것을 인식한다. 작업 중에, 챔버 관리 서브루틴(77A)은 수행될 특정 처리 세트에 따라 처리 부재 서브루틴을 선택적으로 스케쥴하거나 요청한다. 챔버 관리 서브루틴(77A)은 시퀀서 서브루틴(75)이 처리 챔버와 수행될 처리 세트를 스케쥴하는 동일한 방식으로 처리 부재 서브루틴을 스케쥴한다. 일반적으로, 챔버 관리 서브루틴(77A)은 다양한 챔버 부재를 모니터하는 단계, 처리 세트가 수행될 처리 변수에 기초해서 어떤 부재가 작동되어야 하는지 결정하는 단계, 및 상기 모니터 단계 및 결정 단계에 응답하여 챔버 부재 서브루틴을 실행하는 단계를 포함한다.
특정 챔버 부재 서브루틴의 작동이 도 1a 내지 도 1e를 참조하여 설명될 것이다. 기판 위치 선정 서브루틴(80)은 기판을 기판 지지 부재(18) 상에 장착하는데 사용되는 챔버 부재를 제어하는 프로그램 코드를 포함한다. 기판 위치 선정 서브루틴(80)은 다른 처리 공정이 수행된 후에, 예를 들어, PECVD 반응실 또는 다른 반응실로부터 챔버(13)로의 기판 전달을 제어한다.
처리 가스 제어 서브루틴(83)은 처리 가스의 조성과 유동 속도를 제어하는 프로그램 코드를 포함한다. 서브루틴(83)은 안전 차단 밸브의 개폐 위치를 제어하고 또한 소정의 가스 유동 속도를 얻기 위해 질량 유동 제어기를 램프 업/다운한다. 처리 가스 제어 서브루틴(83)을 포함하는 모든 챔버 부재 서브루틴은 챔버 관리 서브루틴(77A)에 의해 실행된다. 서브루틴(83)은 소정의 가스 유동 속도에 관해 챔버 관리 서브루틴(77A)으로부터 처리 변수를 수용한다.
일반적으로, 처리 가스 제어 서브루틴(83)은 가스 공급 라인을 개방하고, 반 복적으로 (ⅰ) 필요한 질량 유동 제어기를 판독하고, (ⅱ) 챔버 관리 서브루틴(77A)으로부터 수용된 소정의 유동 속도에 대한 판독 내용을 비교하며, 및 (ⅲ) 가스 공급 라인의 유동 속도를 필요에 따라 조정한다. 더욱이, 처리 가스 제어 서브루틴(83)은 불안정한 속도에 대한 가스 유동 속도를 모니터하는 단계와 불안정한 조건이 탐지될 때 안전 차단 밸브를 활성화하는 단계를 포함한다.
소정의 공정은 반응성 처리 가스가 유입되기 전에 챔버 내의 압력을 안정화시키기 위해 아르곤과 같은 불활성 가스를 챔버(13) 내로 유동시킨다. 이러한 공정에 있어서, 처리 가스 제어 서브루틴(83)은 챔버 내의 압력을 안정화시키는데 필요한 시간 동안 불활성 가스를 챔버(13) 내로 유동시키는 단계를 포함하도록 프로그램된다. 상술된 단계가 후에 수행된다.
부가적으로, 처리 가스가 액체 전구체로 기화될 때, 처리 가스 제어 서브루틴(83)은 헬륨과 같은 전달 가스를 버블러 조립체 내의 액체 전구체를 통해 버블시키는 단계 또는 헬륨을 액체 주입 밸브로 유입시키는 단계를 포함한다. 이러한 형태의 공정에 있어서, 처리 가스 제어 서브루틴(83)은 소정의 처리 가스 속도를 얻기 위해 전달 가스의 유동, 버블러 내의 압력, 및 버블러 온도를 조절한다. 상술된 것처럼, 소정의 처리 가스의 유동 속도는 처리 변수로서의 처리 가스 제어 서브루틴(83)으로 전달된다.
더욱이, 처리 가스 제어 서브루틴(83)은 소정의 처리 가스의 유동 속도에 대해 필요한 값을 포함하는 저장표를 액세스함으로써 소정의 처리 가스의 유동 속도에 대해 필요한 전달 가스의 유동 속도, 버블러 압력, 및 버블러 온도를 얻는 단계 를 포함한다. 필요한 값이 얻어지면, 전달 가스의 유동 속도, 버블러 압력 및 버블러 온도는 모니터되고, 필요한 값에 비교되며 따라서 조정된다.
처리 가스 제어 서브루틴(83)은 독립적 헬륨 제어(IHC) 서브루틴(도시 않음)을 갖는 웨이퍼 척 내의 내외 통로를 통해 헬륨과 같은 열전달 가스의 유동을 제어한다. 가스 유동은 기판을 척에 열적으로 결합시킨다. 일반적인 공정에서, 플라즈마와 층을 형성하는 화학 반응은 웨이퍼를 가열시키며, 헬륨은 척을 통해 기판을 냉각시키는데, 수냉된다. 이것은 기판을 기판 상에 이미 존재하는 미세구조물을 손상시키는 온도 이하로 유지시킨다.
압력 제어 서브루틴(85)은 챔버의 배출부 내에 있는 스로틀 밸브(26)의 개구 크기를 조절함으로써 챔버(13) 내의 압력을 제어하는 프로그램 코드를 포함한다. 스로틀 밸브로 챔버를 제어하는 둘 이상의 기초 방법이 있다. 제 1 방법은 총 처리 가스의 유동, 처리 챔버의 크기, 및 펌핑 성능 중에서 상기 방법이 관계하는 챔버의 압력을 특징화하는데 의존한다. 제 1 방법은 스로틀 밸브(26)를 고정 위치에 설정한다. 스로틀 밸브(26)를 고정된 위치에 설정하는 것은 결국 정상 상태의 압력을 야기한다.
선택적으로, 제어점이 가스 유동과 배기 성능에 의해 경계 세트 내에 있다고 가정할 때, 챔버의 압력은 예를 들어 압력계로 측정되며, 스로틀 밸브(26)의 위치는 압력 제어 서브루틴(85)에 따라 조정된다. 후자의 방법과 관련된 측정치, 비교, 및 계산이 실행되지 않기 때문에, 전자의 방법이 보다 신속한 챔버 압력 변화를 야기한다. 전자의 방법은 챔버 압력의 정확한 제어가 요구되지 않는다는 점에 서 바람직하지만, 후자의 방법은 층의 증착에서처럼 정확하고, 반복 가능하며, 안정된 압력이 요구된다는 점에서 바람직하다.
압력 제어 서브루틴(85)이 실행될 때, 소정의 또는 목표인 압력 수준이 챔버 관리 서브루틴(77A)으로부터 변수로서 수용된다. 압력 제어 서브루틴(85)은 챔버에 연결된 하나 이상의 통상의 압력계를 판독함으로써 챔버(13) 내의 압력을 측정하고, 측정된 값과 목적 압력을 비교하여, 목적 압력에 따라 저장된 압력표로부터 비례, 적분, 및 미분(PID) 값을 얻으며, 압력표로부터 얻어진 PID값에 따라 스로틀 밸브(26)를 조정한다. 선택적으로, 압력 제어 서브루틴(85)은 챔버(13) 내의 압력을 소정의 압력 또는 압력 범위로 조절하기 위해 스로틀 밸브(26)를 특정 개구 크기로 개폐시킨다.
플라즈마 제어 서브루틴(90)은 RF 생성기(31A와 31B)의 주파수와 전력 출력 세팅을 제어하고 정합 망(32A와 32B)을 조절하는 프로그램 코드를 포함한다. 이미 설명된 챔버 부재 서브루틴처럼, 플라즈마 제어 서브루틴(90)은 챔버 관리 서브루틴(77A)에 의해 실행된다.
상술된 소정의 또는 모든 서브시스템과 루틴을 형성하는 시스템의 예는 본 발명을 실행하도록 형성된 캘리포니아 산타 클라라 소재의 어플라이드 머티어리얼스(APPLIED Materials)사에 의해 제조된 울티마(ULTIMA, 등록 상표) 시스템이다. 또한 이러한 시스템의 상세한 설명이 1996년 7월 15일에 출원된 "대칭 조절 가능하고 전기유도적으로 결합된 HDP-CVD 반응실"이란 명칭의 레데커 시. 프레드, 모가담 파라드, 하나와 히로기, 이시가와 테츄야, 메이단 단, 리 쉬지안, 루 브라이언, 스 테거 로버트, 왕 야신, 옹 마누스 및 신하 애쇽을 공동발명자로 하는 미국 특허 제 08/679,927호에 개시되며, 본원에 참조되었다. 상술된 시스템은 단지 설명의 목적이다. 본 발명을 수행하기에 적절한 통상의 기판 처리 시스템과 컴퓨터 제어 시스템을 선택하는 것은 당업자에게 용이한 것이다.
Ⅲ. 실시예적인 구조물
도 2는 본 발명을 사용하여 제조된 집적 회로(200)의 단순 횡단면도이다. 도시된 것처럼, 집적 회로(200)는 규소의 국부적 산화, 또는 다른 기술에 의해 형성된 필드 산화 영역(220)에 의해 서로 분리되고 전기적으로 절연된 NMOS와 PMOS 트랜지스터(203, 206)를 포함한다. 선택적으로, 트랜지스터(203, 206)가 모두 NMOS이거나 PMOS일 때 그루브 트렌치 절연(도시 않음)에 의해 서로 분리되고 전기적으로 절연된다. 각각의 트랜지스터(203, 206)는 공급 영역(212), 드레인 영역(215) 및 게이트 영역(218)을 포함한다.
예비금속 유전체(PMD) 층(221)은 금속층(240)과 콘택트(224)에 의해 제조된 트랜지스터 사이를 연결하면서 트랜지스터(203, 206)를 금속층(240)과 분리시킨다. 금속층(240)은 집적회로(200)에 포함된 4개의 금속층(240, 242, 244 및 246)의 하나이다. 각각의 금속층(240, 242, 244 및 246)은 각각의 금속간 유전체(IMD) 층(227, 228, 또는 229)에 의해 인접한 금속층으로부터 분리된다. 소정의 또는 모든 IMD 층(227, 228, 또는 229)은 본 발명의 실시예에 따라 증착될 수 있다. 인접한 금속층은 바이어스(226)에 의해 선택된 개구에 연결된다. 평탄화된 패시베이션 층(230)이 금속 층(246) 상에 증착된다.
단순화된 집적회로(200)는 단지 설명의 목적이라는 것을 이해해야 한다. 공지된 기술 중의 하나는 마이크로프로세서, 특정 용도 집적회로(ASICs), 메모리 장치, 등과 같은 다른 집적회로의 제조에 관해 본 발명을 사용한다. 또한, 본 발명은 PMOS, NMOS, CMOS, 바이폴라, 또는 BiCMOS 장치에 응용된다.
Ⅳ. 실시예적인 다마신 공정
IMD층을 형성할 때 본 발명에 따른 NFSG층 증착을 사용하는 이중 다마신 공정의 집적 구조의 실시예가 도 3a 내지 도 3h에 도시된다. 이중 다마신 공정은 도 3a에 도시된 것처럼 규소 기판(500) 상에 산화물 층(502)을 증착시킴으로써 개선된다. 배리어 층(504)은 예를 들어 SiH4 및 N2를 사용하는 HDP-CVD 또는 SiH4 + NH3/N2를 사용하는 PECVD에 의해 산화물 층(502) 상에 증착된다. 소정의 응용에서 층(504)은 하드마스크 또는 에칭 정지부 층으로 작용한다. 제 1 NFSG 층(506)은, 예를 들어 상술된 HDP-CVD 방법을 사용하여 증착된다. 제 1 NFSG 층(506)은 공정의 집적도를 향상시키기 위해 배리어 층(504)으로서 동일한 챔버 내에서 증착될 수도 있다. 도 3b에 도시된 것처럼 제 1 패턴화된 포토레지스트 층(508)은 제 1 포토리소그래피 중에 NFSG 층(506)을 덮는다. 제 1 에칭이 도 3c에 도시된 것처럼 하드마스크 층(504) 아래로 제 1 NFSG 층(506) 내에 제 1 세트의 갭(510)을 형성한다.
제 1 에칭 후에, 포토레지스트(508)는, 예를 들어 산화 분위기에서 애싱함으로써 벗겨진다. 갭(510)과 제 1 NFSG 층(506)은 알루미늄 또는 구리와 같은 금속 층으로 덮힌다. 구리의 경우에, 시드 층(512, 도 3c)이 갭(510)과 제 1 NFSG 층(506) 상에 증착된다. 제 1 벌크 구리 층(514)이 도 3d에 도시된 것처럼 갭(510)을 채우기 위해 증착된다. 소정의 응용에서, 배리어 층(도시 않음)이 시드 층(512)의 증착 전에 제 1 NFSG 층(506)과 갭(510) 상에 증착된다. 배리어 층은 구리와 NFSG의 상호확산을 방지한다. 구리 층(514)은, 예를 들어, 화학 기계적 연마(CMP)에 의해 평탄화된다. 구리 층(514)의 평탄화는, 예를 들어, 상호연결 구조물 내에 제 1 세트의 금속 라인(515)을 형성한다.
구리 층(514)의 평탄화 후에, 제 2 배리어 층(516), 제 2 NFSG 층(518), 제 3 배리어 층(520) 및 제 3 NFSG 층(522)이 도 3e에 도시된 것처럼 IMD 층(521)을 형성하기 위해 증착된다. 제 2 NFSG 층(518)과 제 3 NFSG 층(522)은 상술된 HDP-CVD에 의해 증착될 수도 있다. 층(518, 520, 및 522)은, IMD 층(521)을 형성하는 공정의 집적도를 향상시키기 위해 기판(500)을 제거함이 없이, 예를 들어, HDP-CVD에 의해 동일한 챔버 내에서 증착될 수도 있다. 구리 층(514)의 산화와 챔버의 오염을 방지하기 위해, 기판(500)은 제 2 FSG 층(518)을 증착하기 전에 산소 없는 고밀도의 아르곤 플라즈마 내에서 가열될 수도 있다. 제 2 리소그래피와 에칭은 도 3f에 도시된 것처럼 구리 층(514) 아래로 층(516, 518, 520 및 522)을 통해 바이어스(524)를 형성한다. 도 3g에서, 제 3 리소그래피와 에칭은 제 2 세트의 갭(526)을 형성한다. 갭(526)은 제 2 세트의 금속 라인을 형성하고 바이어스(524)는 제 2 세트의 금속 라인과 갭(510) 및 구리 층(514)에 의해 형성된 제 1 세트의 금속 라인 사이에 상호연결 세트를 형성한다. 바이어스(524)와 갭(526)은 제 2 벌크 구리 층으로 채워지며 결과적인 구조물은 도 3h에 도시된 것처럼 어닐링되고 평탄화된다. 갭(526)은 제 2 세트의 금속 라인(528)을 형성하고 바이어스(524)는 제 2 세트의 금속 라인(528)과 제 1 세트의 금속 라인(515) 사이에 상호연결(525) 세트를 형성한다.
현재로서는 구리를 에칭할 수 있는 수용할만한 방법이 없기 때문에 다마신 공정이 구리 상호연결부를 사용하는 장치에 사용된다. 다마신 공정에 의해 형성된 구조물은 갭 충진 유전체를 요구하지 않으며 일반적으로 구리 라인으로 알루미늄, 텅스텐, 티타늄 또는 다른 금속을 사용하여 형성된 유사한 구조물보다 낮은 RC 지연을 제공한다. 더욱이, 갭 충진은 중요하지 않기 때문에 더 높은 증착 속도가 다마신 공정에 사용된다. 배리어 층(506, 516 및 520)의 일부는 규소 질화물 층일 수 있다. 선택적으로, 블록(BLOK, 등록 상표, 저유전체 상수를 갖는 배리어)과 같은 규소-탄소의 저유전체 상수를 갖는 배리어 층으로서 하나 이상의 배리어 층(506, 516 및 520)을 증착하는 것이 바람직할 수도 있다. 블록(BLOK, 등록 상표)은 캘리포니아 산타 클라라 소재의 어플라이드 머티어리얼스(Applied Materials)사의 상표이다.
Ⅴ. NFSG 증착에 바람직한 변수
NFSG를 형성하는 HDP-CVD 공정 중에 질소 도펀트의 첨가는 FSG(일반적으로 USG보다 우수함)와 비교할 때 박막의 재료 특성에 다양하고 예상치 못한 유익한 효과를 갖는다. 질소를 포함하는 예상치 못한 일 효과는 증착된 박막의 유전체 상수의 감소이다. FSG 층과 NFSG 층이, 질소의 함유를 제외하고, 유사한 조건(즉, 증 착 변수와 유동 속도)에서 증착된다면, NFSG 박막이 FSG 박막에 대한 유전체 상수보다 약 5% 작은 유전체 상수를 갖는다는 것이 관찰된다. 이러한 유전체 상수의 감소는 상기 공정으로 제조된 집적회로에 대한 속도 성능에 대응하는 증가로 해석된다. 이러한 질소와 관계된 장점은 적절한 변수를 선택한 갭 충진과 다마신 공정에서 달성된다. 상술된 실시예적인 챔버 내에서 NFSG를 형성하는 이러한 두 공정 각각에 대해 최적화된 유동 속도, 압력, 온도, 및 RF 전력값을 포함하는 바람직한 변수가 표 1에 요약된다. 두 수가 유동 속도에 대해 나타나는데, 제 1 수는 챔버의 측면에서 입구를 통한 가스의 유동 속도를 나타내며 제 2 수는 챔버의 상부에서 입구를 통한 가스의 유동 속도를 나타낸다.
Figure 112001011658354-pat00001
표 1에 상세하게 설정된 가스 성분을 제공함과 함께, 헬륨이 열 전달 가스로서 바람직하게 포함되며, 헬륨의 유동은 웨이퍼 척 내의 내측 및 외측 통로를 통해 독립적으로 제어된다. 상기 표에서 질소는 N2의 형태로 첨가되지만, 선택적인 실시예에서 다른 형태로 첨가될 수도 있다. 질소 도펀트를 유입시키는 적절한 화합물로서는 N2O, NH3, 및 NF3를 포함한다.
ⅰ. 갭 충진 공정
표 1에 요약된 NFSG 층의 형성 공정에 관해 주목할 만한 다양한 특징이 있다. 이러한 공정을 평가할 때, 산소 대 총 실리사이드의 유동 속도비, R = F(O2)/[F(SiH4) + F(SiF4)]를 고려하는 것이 유용하며, 여기서 F는 유동 속도이다. FSG(질소를 포함하지 않음)를 형성하는 선행기술의 HDP-CVD 갭 충진 공정의 경우에, SiF4, SiH4, O2, 및 Ar은 일반적으로 약 5밀리토르의 압력에서 HDP 챔버로 유입된다. 이러한 갭 충진 공정에 대해 적절한 R 값은 1.35 내지 1.5 범위로 제한되는데, 이 범위를 벗어난 변화는 유해한 효과를 야기할 수 있다. 유동 속도가 조정되어 R이 이 범위 내로 떨어지면, 3.7 이하의 유전체 상수를 갖는 FSG 박막을 형성하는 것이 가능하다. 그러나, 더 낮은 R 값에서, 규소가 풍부한 박막이 형성되어, 유전체 상수의 바람직하지 않은 증가를 야기한다. 더 높은 R 값에서, 소정의 USG 박막보다 안정하지 않은 박막의 안정성은 과잉 산소의 결과로 손상된다. 또한, 상기 표로부터 분명한 것처럼, Ar의 유동은 바람직하게 갭 충진 공정에 있어서 측면 공급원을 통하지 않고 단지 HDP 챔버의 상부 공급원을 통해서만 유입된다.
특히, NFSG 공정은 수용할 수 있는 R 값에 대해 상당히 넓은 범위를 허용하며, 동시에 보다 낮은 유전체 상수를 갖는 박막을 형성한다. 가장 바람직한 실시 예에서, 표 1에 설명된 것처럼, 유전체 상수 값은 유사한 비질소 FSG 공정에 의해 형성된 값보다 약 5% 작은 3.5이며 집적회로의 작동 속도에 대응하는 장점을 제공한다. NFSG 공정에 있어서 수용할 수 있는 R 범위의 증가는 표 1에 주어진 값으로부터 분명하며, R은 1.0 내지 1.8 범위 내로 떨어진다. 이러한 확장된 범위 내에서, R은 유전체 상수의 감소와 적절한 박막 안정성의 달성 사이의 최상의 균형을 달성하기 위해 1.2 내지 1.4의 더 좁은 범위에 있는 것이 바람직하다. 예를 들어, 표 1에 표시된 가장 바람직한 변수는 R = 1.3의 값을 나타내며, 이는 선행 기술의 FSG 공정에 대한 적절한 값의 범위 외측으로 떨어진다.
표 1에 도시된 것처럼, N2의 유동 속도는 갭 충진 공정에 있어서 10 내지 60sccm, 바람직하게 20 내지 40sccm 범위에 있어야 한다. N2의 유동 속도가 약 10sccm 이하라면, 증착된 NFSG 박막의 안정성은 불량할 것이며 N2의 유동 속도가 약 60sccm을 초과한다면, 상기 공정은 과잉의 스퍼터링을 야기할 것이다. 다른 질소 함유 가스가 사용되는 바람직한 유동 속도는 이러한 가스의 화학량론에 의존하며, 당업자에게 공지된 것처럼, 적절하게 조정되어야 한다.
플루오르 농도의 증가는 유전체 상수의 감소와 상호 관련되기 때문에, 유전체 상수 값의 감소가 야기되는 기구는 박막의 플루오르 농도를 조사함으로써 이해될 수 있다. 질소 첨가의 특별한 장점은 질소의 존재로 안정한 박막의 증착을 방해함이 없이 박막 내의 플루오르 농도를 보다 크게 한다는 것이다. 푸리에 변환 적외선("FTIR") 전환 분광분석법을 사용하여 측정되며, 상기 방법은 박막 내의 모 든 플루오르 대신 규소에 결합된 플루오르를 측정한다. 플루오르의 농도를 결정하기 위해, 937㎝-1에서 Si-F 피크의 높이는 1090㎝-1에서 Si-O 직선 피크의 높이로 나누어졌다. 피크 높이비("PHR")로 정의된 상기 비는 플루오르의 농도를 정하는데 사용되었다. 유전체 상수는 수은 프로브(mercury probe)를 사용하여 측정되었다. 3.9의 유전체 상수를 갖는 열 산화물 박막이 참조용으로 사용되었다. 예를 들어, 약 1.45의 R을 갖는 선행기술의 FSG 공정에서, 플루오르의 농도는 약 3.5% FTIR(약 6.3 원자량%)이며, R = 1.3을 갖는 질소를 포함하는 NFSG 공정은 약 6% FTIR(약 12 원자량%)의 플루오르 농도를 갖는 박막을 형성한다.
또한 NFSG 공정은 선행기술의 FSG 공정과 비교해 우수한 갭 충진 성능을 갖는다. 갭 충진 효율은 실질적으로 100% 채워질 수 있는 최대 종횡비에 의해 일반적으로 정의되며, 도 4 및 도 5를 참조하여 이해될 수 있을 것이다. 종횡비는 갭의 높이 대 폭의 비로 정의된다. 집적회로의 미세구조물 치수가 감소함에 따라, 종횡비는 증가하게 되어 인접한 라인 사이에서 갭을 완전히 채우는 것은 보다 어렵게 된다. 도 4는 갭(614)을 형성하는 도전체 층인 미세구조물(612)을 갖는 기판(610)의 수직 횡단면도이다. 갭의 측벽(616)은 인접한 도전성 미세구조물(612)의 한 에지에 형성된다. 갭 충진 증착 중에, 유전체 재료(618)는 기판(610) 뿐만 아니라 도전성 미세구조물(612)의 표면(620) 상에 축적되어 도전성 미세구조물(612)의 코너(624)에 위치된 돌출부(622)를 형성한다. 유전체 층(616)이 계속 증착될 때, 돌출부(622)는 도 5에 보다 명확하게 도시된 것처럼, 유전체 층(626)이 형성되어 내부 공극(628)을 생성할 때까지 갭(614)이 채워지는 것보다 일반적으로 신속하게 성장한다. 이러한 방법에서, 유전체 층(626)은 내부 공극(628) 상에 증착을 방해하여, 장치의 제조, 작동, 및 신뢰도에 문제를 야기한다. 선행기술의 FSG 공정을 사용할 때, 100% 갭 충진이 가능한 최대 종횡비는 약 2.8 : 1이다. 이러한 최대 종횡비는 NFSG 공정을 사용할 때 3.3 : 1 이상으로 증가되며, 갭을 0.16㎛만큼 좁게 충진하는 것이 가능하다. 갭 충진 성능의 이러한 개선은 부분적으로 측벽의 아르곤 유동을 없애는 결과를 야기한다.
"갭 충진" 공정에 있어서 특정화된 변수가 다마신 공정에 사용될 수도 있다는 것에 주목해야 한다. 그러나, 후술되는 공정에 따른 다마신 공정의 높은 작업 처리 성능을 이용하는 것이 바람직하다.
ⅱ. 다마신 공정
다마신 NFSG 공정과 갭 충진 NFSG 공정의 근본적인 차이는 인가된 매우 낮은 바이어스 전력이다. 다마신 공정 중에 인가된 바이어스 전력 밀도는 3.2W/㎠ 이하, 바람직하게 1.6W/㎠이어야 한다. 0이 아닌 바이어스를 인가하는 주이유는 웨이퍼 온도에 대한 부가적인 제어를 위해 바이어스를 사용하기 때문이다. 바람직한 1.6W/㎠의 바이어스에서, 박막에 대한 증착 속도는 다마신 공정에서 유익한 7000Å/분 이상일 수 있다. 난해한 공극이 금속 라인 사이에 형성될 걱정이 없기 때문에 갭 충진 공정과 비교할 때 더 높은 증착 속도가 다마신 공정에서 일반적으로 가능하다. 최적의 유동 변수는 다마신 공정에서 어느 정도 상이하므로 산소와 실리사이드에 대한 유동 속도의 비(R)는 1.2 내지 3.0 범위, 바람직하게 1.8 내지 2.5 내에 있어야 한다. 상기 값은 증착된 박막이 너무 많은 플루오르를 포함하는 것을 방지하는 실란을 사용하지 않는 공정에서 요구되는 큰 값인 6.0 내지 7.0 범위 내의 R 값을 갖는 선행기술의 다마신 공정과 비교되어, 박막의 안정성의 감소를 방지한다. 표 1에 도시된 것처럼, 바람직한 N2의 유동 속도는 30 내지 120sccm이다. 더 낮은 유동 속도에서, 증착된 NFSG 박막의 안정성은 악영향을 받으며 더 높은 유동 속도에서, 유전체 상수는 원치 않는 값으로 상승된다. 당업자에 의해 이해되는 것처럼, 바람직한 유동 속도는 선택적으로 N2에 사용된 질소 함유 가스의 화학량론에 따라 조정될 수도 있다.
갭 충진 공정과 다마신 질소 함유 공정의 R 값의 차이는 두 공정에 사용된 인가 바이어스의 분명한 차이에 관계된다고 가정된다. 갭 충진 공정 중에 인가된 상대적으로 높은 바이어스는 저 바이어스 공정보다 높은 스퍼터링을 야기하며, 교대로 박막 표면이 O2를 포함하는 다른 종에 보다 반응성을 나타내도록 야기한다. 스퍼터링은 수소 원자를 표면 상의 Si-H 결합으로부터 이탈시켜 다른 라디칼과 반응하게 한다. 결과적으로, 이러한 결합되지 않은 결합손을 갖는 Si 결합은 다른 종과 반응하도록 이용될 수 있는데, 다른 종과 보다 반응성을 나타내는 이용가능한 산소는 규소 원자와 Si-O 결합을 형성한다. 다마신 공정에서 이러한 효과의 부재를 보상하기 위해, 더 높은 농도의 O2가 요구된다.
갭 충진 공정과 다마신 공정에 있어서, 선행기술의 FSG 박막에 대해 NFSG 박막의 안정성의 개선이 있다. 규소 산화물 박막 내의 플루오르 도펀트는 유전체 상 수를 감소시키도록 작용하지만 확산은 박막의 안정성에 악영향을 준다. 질소의 부가적인 유입은, 심지어 상대적으로 높은 플루오르 농도에서 플루오르의 확산 정도를 제한하여, 개선된 박막의 안정성이 NFSG에서 달성된다. 이러한 안정성의 개선은 금속 적층 및/또는 Si3N4 캡을 사용하여 수행되는 열적 어닐링 시험에 의해 확인된다. 갭 충진 박막에 있어서, 이러한 확인은 410 ℃에서 6 사이클 동안 NFSG/금속 및 금속/NFSG 증착 시험을 포함했다. 다마신 박막에 있어서, 이것은 450 ℃에서 2시간 동안 CMP 후에 Si3N4 캡의 어닐링을 포함했다.
본 발명에 따른 다양한 실시예를 완전히 설명했지만, 본 발명에 따른 NFSG 박막을 생산하는 다양한 동등의 또는 선택적인 방법은 당업자에게 분명할 것이다. 이러한 선택사항 및 동등물은, 다음의 청구의 범위에 개시된 것처럼, 본 발명의 범위 내에 포함되도록 의도된다.
그러므로, 본 발명에 의해 FSG를 사용하여 USG와 비교할 때 감소된 유전체 상수를 갖는 절연 재료를 제공하여 작동 속도를 증가시키고 회로 성능을 향상시켜 박막에 대해 보다 양호한 안정성을 제공할 수 있다.

Claims (34)

  1. 처리 챔버 내의 기판 상에 니트로플루오르화 규산염 유리층을 증착시키는 방법으로서,
    규소 함유 가스, 플루오르 함유 가스, 산소 함유 가스, 및 질소 함유 가스를 포함하는 가스 혼합물을 상기 챔버 내에 제공하는 단계,
    상기 가스 혼합물로부터 고밀도 프라즈마를 생성시키는 단계,
    4.8 내지 11.2 W/㎠ 범위의 바이어스 전력 밀도에서 바이어스를 상기 기판에 인가하는 단계, 및
    상기 플라즈마를 사용하여 니트로플루오르화 규산염 유리(NFSG) 층을 상기 기판 상에 증착시키는 단계를 포함하는 증착 방법.
  2. 제 1 항에 있어서,
    상기 기판에 인가된 바이어스 전력 밀도가 7.8 내지 8.8W/㎠인 증착 방법.
  3. 제 1 항에 있어서,
    상기 질소 함유 가스가 N2인 증착 방법.
  4. 제 3 항에 있어서,
    상기 N2가 20 내지 40sccm 범위의 유동 속도로 상기 챔버에 제공되는 증착 방법.
  5. 제 1 항에 있어서,
    상기 질소 함유 가스가 N2O, NH3, 및 NF3로 구성된 그룹으로부터 선택된 증착 방법.
  6. 제 1 항에 있어서,
    상기 플루오르 함유 가스가 SiF4인 증착 방법.
  7. 제 1 항에 있어서,
    상기 규소 함유 가스가 실란인 증착 방법.
  8. 제 1 항에 있어서,
    상기 산소 함유 가스의 유동 속도 대 상기 가스 혼합물 내의 모든 규소 함유 가스의 혼합된 유동 속도의 비가 1.0 내지 1.8 범위인 증착 방법.
  9. 제 8 항에 있어서,
    상기 비가 1.2 내지 1.4 범위인 증착 방법.
  10. 제 1 항에 있어서,
    상기 가스 혼합물이 불활성 가스를 더 포함하는 증착 방법.
  11. 제 10 항에 있어서,
    상기 불활성 가스가 Ar인 증착 방법.
  12. 처리 챔버 내의 기판 상에 질소 및 플루오르 도프된 규소 산화물 층을 증착시키는 방법으로서,
    SiF4, SiH4, O2, N2, 및 Ar을 포함하는 처리 가스를 상기 챔버 내로 유동시키는 단계,
    RF 공급 전력을 플라즈마에 인가함으로써 상기 처리 가스로부터 고밀도 플라즈마를 형성하는 단계,
    7.8 내지 8.8W/㎠ 인 바이어스 전력 밀도에서 바이어스를 상기 기판에 인가하는 단계,
    상기 고밀도 플라즈마를 사용하여 상기 유전체 층을 상기 기판 상에 증착시키는 단계를 포함하며,
    상기 O2의 유동 속도 대 상기 SiF4와 SiH4의 혼합된 유동 속도의 비는 1.2 내지 1.4 범위이며 N2의 유동 속도는 20 내지 40sccm 범위인 증착 방법.
  13. 처리 챔버 내의 기판 상에 박막을 증착시키는 방법으로서,
    규소 함유 가스, 플루오르 함유 가스, 산소 함유 가스, 및 질소 함유 가스를 포함하는 가스 혼합물을 상기 챔버 내에 제공하는 단계,
    상기 가스 혼합물로부터 고밀도 플라즈마를 생성시키는 단계,
    3.2W/㎠ 이하의 바이어스 전력 밀도에서 바이어스를 상기 기판에 인가하는 단계,
    상기 플라즈마를 사용하여 니트로플루오르화 규산염 유리(NFSG)층을 상기 기판 상에 증착시키는 단계를 포함하는 증착 방법.
  14. 제 13 항에 있어서,
    상기 기판에 인가된 상기 바이어스 전력 밀도가 1.1 내지 2.1W/㎠인 증착 방법.
  15. 제 13 항에 있어서,
    상기 질소 함유 가스가 N2인 증착 방법.
  16. 제 15 항에 있어서,
    상기 N2가 30 내지 120sccm 범위의 유동 속도를 갖는 증착 방법.
  17. 제 13 항에 있어서,
    상기 질소 함유 가스가 N2O, NH3, 및 NF3로 구성된 그룹으로부터 선택된 증착 방법.
  18. 제 13 항에 있어서,
    상기 플루오르 함유 가스가 SiF4인 증착 방법.
  19. 제 13 항에 있어서,
    상기 규소 함유 가스가 실란인 증착 방법.
  20. 제 13 항에 있어서,
    상기 산소 함유 가스의 유동 속도 대 상기 가스 혼합물 내의 모든 규소 함유 가스의 혼합된 유동 속도의 비가 1.2 내지 3.0 범위인 증착 방법.
  21. 제 20 항에 있어서,
    상기 비가 1.8 내지 2.5 범위인 증착 방법.
  22. 제 13 항에 있어서,
    상기 가스 혼합물이 불활성 가스를 더 포함하는 증착 방법.
  23. 제 22 항에 있어서,
    상기 불활성 가스가 Ar인 증착 방법.
  24. 제 13 항에 있어서,
    상기 NFSG 층이 상기 기판 상에 미리 형성된 배리어 층 상에 증착되는 증착 방법.
  25. 제 24 항에 있어서,
    상기 배리어 층이 규소 질화물 층인 증착 방법.
  26. 제 25 항에 있어서,
    상기 NFSG 층과 상기 규소 질화물 층이 구리 다마신 공정의 일부로서 증착되는 증착 방법.
  27. 제 26 항에 있어서,
    산소 공급원을 포함하지 않는 인 시츄 플라즈마에서 상기 기판을 가열하는 단계를 포함하며,
    상기 기판을 가열하는 단계가 상기 NFSG 층을 증착시키는 단계 전에 수행되는 증착 방법.
  28. 제 13 항에 있어서,
    상기 기판 상에 구리 층을 증착시키는 단계, 및
    산소 공급원을 포함하지 않는 인 시츄 플라즈마에서 상기 기판을 가열하는 단계를 포함하며,
    구리 층을 증착시키는 단계와 상기 기판을 가열하는 단계가 상기 NFSG 층을 증착시키는 단계 전에 수행되는 증착 방법.
  29. 처리 챔버, 플라즈마 생성 시스템, 기판 유지기, 및 가스를 상기 처리 챔버 내로 유입시키도록 형성된 가스 전달 시스템을 포함하는 기판 처리 시스템의 작동을 지시하는 컴퓨터 판독 가능 프로그램이 내장된 컴퓨터 판독 가능 저장 매체로서,
    상기 컴퓨터 판독 가능 프로그램이,
    규소 함유 가스, 플루오르 함유 가스, 산소 함유 가스, 및 질소 함유 가스를 포함하는 가스 혼합물을 상기 처리 챔버 내에 제공하는 단계,
    상기 가스 혼합물로부터 고밀도 플라즈마를 생성하는 단계,
    바이어스를 상기 기판에 인가하는 단계, 및
    상기 플라즈마를 사용하여 상기 NFSG를 상기 기판 상에 증착시키는 단계에 따라 상기 처리 챔버 내에 배열된 기판 상에 니트로플루오르화 규산염 유리(NFSG) 박막층을 형성하도록 상기 기판 처리 시스템을 작동시키는 지시어를 포함하는 컴퓨 터 판독 가능 저장 매체.
  30. 제 29 항에 있어서,
    상기 바이어스가 4.8 내지 11.2 W/㎠ 범위의 바이어스 전력 밀도를 갖는 컴퓨터 판독 가능 저장 매체.
  31. 제 29 항에 있어서,
    상기 바이어스가 3.2W/㎠ 이하의 바이어스 전력 밀도를 갖는 컴퓨터 판독 가능 저장 매체.
  32. 처리 챔버 내의 기판 상에 니트로플루오르화 규산염 유리층을 증착시키는 기판 처리 시스템으로서,
    처리 챔버를 형성하는 하우징,
    상기 처리 챔버에 작동 가능하게 결합된 고밀도 플라즈마 생성 시스템,
    기판 처리 중에 기판을 유지하도록 형성된 기판 유지기,
    규소 함유 가스, 플루오르 함유 가스, 산소 함유 가스, 및 질소 함유 가스의 공급원을 포함하고 상기 처리 챔버 내에 가스를 유입시키도록 형성된 가스 전달 시스템,
    상기 처리 챔버 내에 선택된 압력을 유지하는 압력 제어 시스템,
    상기 고밀도 플라즈마 생성 시스템, 상기 가스 전달 시스템, 및 상기 압력 제어 시스템을 제어하는 제어기, 및
    상기 기판 처리 시스템의 작동을 지시하도록 컴퓨터 판독 가능 매체 내에 구현된 컴퓨터 판독 가능 프로그램을 갖는 컴퓨터 판독 가능 매체를 포함하고, 상기 제어기에 결합된 메모리를 포함하며,
    상기 컴퓨터 판독 가능 프로그램이,
    상기 규소 함유 가스, 상기 플루오르 함유 가스, 상기 질소 함유 가스, 및 상기 산소 함유 가스의 유동을 포함하는 가스 혼합물을 유동시키도록 상기 가스 전달 시스템을 제어하는 지시어,
    상기 가스 혼합물로부터 고밀도 플라즈마를 생성하고 바이어스를 상기 기판에 인가하는 상기 고밀도 플라즈마 생성 시스템을 제어하는 지시어, 및
    상기 고밀도 플라즈마를 사용하여 니트로플루오르화 규산염 유리 층을 상기 기판 상에 증착시키는 상기 기판 처리 시스템을 제어하는 지시어를 포함하는 기판 처리 시스템.
  33. 제 32 항에 있어서,
    상기 기판에 인가된 바이어스가 4.8 내지 11.2 W/㎠ 범위의 바이어스 전력 밀도를 갖는 기판 처리 시스템.
  34. 제 32 항에 있어서,
    상기 기판에 인가된 바이어스가 3.2 W/㎠ 이하의 바이어스 전력 밀도를 갖는 기판 처리 시스템.
KR1020010027492A 2000-05-19 2001-05-19 니트로플루오르화 규산염 유리층을 증착시키는 방법 및시스템과, 그에 사용되는 컴퓨터 판독 가능 저장 매체 KR100764963B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/574,271 US6468927B1 (en) 2000-05-19 2000-05-19 Method of depositing a nitrogen-doped FSG layer
US09/574,271 2000-05-19

Publications (2)

Publication Number Publication Date
KR20010105300A KR20010105300A (ko) 2001-11-28
KR100764963B1 true KR100764963B1 (ko) 2007-10-08

Family

ID=24295397

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020010027492A KR100764963B1 (ko) 2000-05-19 2001-05-19 니트로플루오르화 규산염 유리층을 증착시키는 방법 및시스템과, 그에 사용되는 컴퓨터 판독 가능 저장 매체

Country Status (5)

Country Link
US (1) US6468927B1 (ko)
EP (1) EP1156134A3 (ko)
JP (1) JP2002134495A (ko)
KR (1) KR100764963B1 (ko)
TW (1) TW580752B (ko)

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6559026B1 (en) * 2000-05-25 2003-05-06 Applied Materials, Inc Trench fill with HDP-CVD process including coupled high power density plasma deposition
US6875674B2 (en) * 2000-07-10 2005-04-05 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device with fluorine concentration
US6709928B1 (en) * 2001-07-31 2004-03-23 Cypress Semiconductor Corporation Semiconductor device having silicon-rich layer and method of manufacturing such a device
US6667248B2 (en) * 2001-09-05 2003-12-23 Applied Materials Inc. Low-bias-deposited high-density-plasma chemical-vapor-deposition silicate glass layers
KR100497607B1 (ko) * 2003-02-17 2005-07-01 삼성전자주식회사 박막 형성 방법 및 박막 증착 장치
US20050009367A1 (en) * 2003-07-09 2005-01-13 Taiwan Semiconductor Manufacturing Co. Novel method to increase fluorine stability to improve gap fill ability and reduce k value of fluorine silicate glass (FSG) film
US20050074554A1 (en) * 2003-10-06 2005-04-07 Shiu-Ko Jangjian Method of forming inter-metal dielectric layer structure
US7352053B2 (en) * 2003-10-29 2008-04-01 Taiwan Semiconductor Manufacturing Company, Ltd. Insulating layer having decreased dielectric constant and increased hardness
US20050260356A1 (en) * 2004-05-18 2005-11-24 Applied Materials, Inc. Microcontamination abatement in semiconductor processing
US7271110B2 (en) * 2005-01-05 2007-09-18 Chartered Semiconductor Manufacturing, Ltd. High density plasma and bias RF power process to make stable FSG with less free F and SiN with less H to enhance the FSG/SiN integration reliability
TR200500923A2 (tr) * 2005-03-16 2010-02-22 T�Rk�Ye B�L�Msel Ve Tekn�K Ara�Tirma Kurumu İleri Teknoloji Uygulamaları için Küçük Dielektrik Sabitli K
KR100790237B1 (ko) * 2005-12-29 2008-01-02 매그나칩 반도체 유한회사 이미지 센서의 금속배선 형성방법
US20070205507A1 (en) * 2006-03-01 2007-09-06 Hui-Lin Chang Carbon and nitrogen based cap materials for metal hard mask scheme
KR100791677B1 (ko) 2006-10-27 2008-01-03 동부일렉트로닉스 주식회사 반도체 소자 제조를 위한 고밀도 플라즈마 화학기상증착장치
US20080211115A1 (en) * 2007-03-02 2008-09-04 Olaf Storbeck Semiconductor structure and an apparatus and a method for producing a semiconductor structure
US8283261B2 (en) * 2007-05-25 2012-10-09 Cypress Semiconductor Corporation Radical oxidation process for fabricating a nonvolatile charge trap memory device
US8940645B2 (en) 2007-05-25 2015-01-27 Cypress Semiconductor Corporation Radical oxidation process for fabricating a nonvolatile charge trap memory device
US8643124B2 (en) 2007-05-25 2014-02-04 Cypress Semiconductor Corporation Oxide-nitride-oxide stack having multiple oxynitride layers
US8633537B2 (en) 2007-05-25 2014-01-21 Cypress Semiconductor Corporation Memory transistor with multiple charge storing layers and a high work function gate electrode
US20090179253A1 (en) 2007-05-25 2009-07-16 Cypress Semiconductor Corporation Oxide-nitride-oxide stack having multiple oxynitride layers
US9449831B2 (en) 2007-05-25 2016-09-20 Cypress Semiconductor Corporation Oxide-nitride-oxide stack having multiple oxynitride layers
US7898852B1 (en) 2007-12-27 2011-03-01 Cypress Semiconductor Corporation Trapped-charge non-volatile memory with uniform multilevel programming
US8088683B2 (en) * 2008-03-31 2012-01-03 Cypress Semiconductor Corporation Sequential deposition and anneal of a dielectic layer in a charge trapping memory device
JP5224012B2 (ja) * 2010-12-08 2013-07-03 日新電機株式会社 シリコン酸窒化膜の形成方法及び半導体デバイス
US8685813B2 (en) 2012-02-15 2014-04-01 Cypress Semiconductor Corporation Method of integrating a charge-trapping gate stack into a CMOS flow

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0878408A (ja) * 1994-09-08 1996-03-22 Fujitsu Ltd 半導体装置の製造方法

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5013691A (en) 1989-07-31 1991-05-07 At&T Bell Laboratories Anisotropic deposition of silicon dioxide
JP3688726B2 (ja) * 1992-07-17 2005-08-31 株式会社東芝 半導体装置の製造方法
JPH08335579A (ja) * 1995-06-07 1996-12-17 Sony Corp フッ素を含むシリコン系酸化膜およびその製造方法
US6070551A (en) * 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US6077764A (en) * 1997-04-21 2000-06-20 Applied Materials, Inc. Process for depositing high deposition rate halogen-doped silicon oxide layer
US5869149A (en) * 1997-06-30 1999-02-09 Lam Research Corporation Method for preparing nitrogen surface treated fluorine doped silicon dioxide films
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6194038B1 (en) * 1998-03-20 2001-02-27 Applied Materials, Inc. Method for deposition of a conformal layer on a substrate
US6303518B1 (en) * 1999-09-30 2001-10-16 Novellus Systems, Inc. Methods to improve chemical vapor deposited fluorosilicate glass (FSG) film adhesion to metal barrier or etch stop/diffusion barrier layers
US6372291B1 (en) * 1999-12-23 2002-04-16 Applied Materials, Inc. In situ deposition and integration of silicon nitride in a high density plasma reactor
EP1146142A2 (en) * 2000-04-14 2001-10-17 Applied Materials, Inc. Process for forming fluorosilicate glass layers using high density plasma, for copper damascene integrated circuits

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0878408A (ja) * 1994-09-08 1996-03-22 Fujitsu Ltd 半導体装置の製造方法

Also Published As

Publication number Publication date
EP1156134A3 (en) 2006-07-19
TW580752B (en) 2004-03-21
JP2002134495A (ja) 2002-05-10
EP1156134A2 (en) 2001-11-21
US6468927B1 (en) 2002-10-22
KR20010105300A (ko) 2001-11-28

Similar Documents

Publication Publication Date Title
KR100764963B1 (ko) 니트로플루오르화 규산염 유리층을 증착시키는 방법 및시스템과, 그에 사용되는 컴퓨터 판독 가능 저장 매체
KR100743789B1 (ko) Hdp-cvd를 이용하여 장벽층을 증착하기 위한 방법및 장치
KR100817357B1 (ko) Hdp­cvd 프로세스를 이용하는 트렌치 매립
US6633076B2 (en) Methods and apparatus for producing stable low k FSG film for HDP-CVD
US6218268B1 (en) Two-step borophosphosilicate glass deposition process and related devices and apparatus
KR100696040B1 (ko) Hdp-fsg 박막과 배리어층의 접착력을 강화시키는 방법
US7037859B2 (en) Method using TEOS ramp-up during TEOS/ozone CVD for improved gap-fill
KR101027265B1 (ko) Hdp-cvd 다단계 갭충진 프로세스
JP5401309B2 (ja) ギャップ充填と共形のフィルムの適用のために低k膜を堆積させ硬化する方法
US7674727B2 (en) Nitrous oxide anneal of TEOS/ozone CVD for improved gapfill
US6413871B2 (en) Nitrogen treatment of polished halogen-doped silicon glass
KR20010062663A (ko) 고밀도 플라즈마 반응로내의 질화 실리콘의 인시튜 증착및 집적화
JP2008227511A (ja) 誘電体材料を含有するシリコンの形成過程における改良されたギャップ充填堆積
US6360685B1 (en) Sub-atmospheric chemical vapor deposition system with dopant bypass
WO2000003425A1 (en) Plasma process to deposit silicon nitride with high film quality and low hydrogen content
US6667248B2 (en) Low-bias-deposited high-density-plasma chemical-vapor-deposition silicate glass layers
US6797646B2 (en) Method of nitrogen doping of fluorinated silicate glass (FSG) while removing the photoresist layer
EP1146142A2 (en) Process for forming fluorosilicate glass layers using high density plasma, for copper damascene integrated circuits

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
G170 Re-publication after modification of scope of protection [patent]
FPAY Annual fee payment

Payment date: 20110929

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20120927

Year of fee payment: 6

LAPS Lapse due to unpaid annual fee