KR100687373B1 - 가스 분배 장치 - Google Patents

가스 분배 장치 Download PDF

Info

Publication number
KR100687373B1
KR100687373B1 KR1020007014255A KR20007014255A KR100687373B1 KR 100687373 B1 KR100687373 B1 KR 100687373B1 KR 1020007014255 A KR1020007014255 A KR 1020007014255A KR 20007014255 A KR20007014255 A KR 20007014255A KR 100687373 B1 KR100687373 B1 KR 100687373B1
Authority
KR
South Korea
Prior art keywords
gas
processing system
faceplate
channel
distributing
Prior art date
Application number
KR1020007014255A
Other languages
English (en)
Other versions
KR20010052904A (ko
Inventor
로버트 마제브스키
예-젠 카오
옌 쿤 왕
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20010052904A publication Critical patent/KR20010052904A/ko
Application granted granted Critical
Publication of KR100687373B1 publication Critical patent/KR100687373B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

어떤 실시예에서 단일체인, 다중 채널 면판(200)은 공정 챔버(100)에 가스 전달 시스템의 일부로서 공급된다. 두 개 이상의 가스 통로 세트는 면판을 통해 배열되고 공정 챔버(100) 내로 분리된 가스의 독립적인 전달을 가능케 한다. 본 발명의 일 실시예에서, 제 1 수직 채널(226) 세트를 포함하는, 제 1 가스 통로는 면판(200)을 통해서 형성된다. 제 2 수직 채널(228) 세트를 포함하는 제 2 가스 통로는 면판의 부분을 통해 형성되고 면판(200)에서 교차하는 수평 채널(222) 세트에 연결되며, 가스가 공정 챔버(100) 내로 유입되기 전에, 제 2 가스 통로는 제 1 가스 통로로부터 유체의 분리 상태를 유지시킨다.

Description

가스 분배 장치 {APPARATUS FOR DISTRIBUTING GAS}
본 출원은 1998년 6월 16일에 출원된 가출원 번호 제 60/089,413호를 우선권으로 주장한다. 본 발명은 일반적으로 반도체 기판 처리 장치 분야에 관한 것이며, 더 구체적으로는, 두 가지 이상의 가스를 처리 챔버로 유체 흐름 가능하게 분리하여 균일하게 제공하는 가스 분배 시스템에 관한 것이다.
집적 회로의 제조에서, 진공 공정 챔버는 일반적으로 반도체 기판을 처리하는데 이용된다. 진공 챔버에서 수행되는 공정은 통상적으로 기판 표면 상에 다중 금속, 유전체, 및 반도체 층의 증착 또는 에칭을 제공한다. 이러한 공정의 예는 화학 기상 증착(CVD), 물리 기상 증착(PVD), 및 에칭 공정을 포함한다. 많은 처리 챔버는 증착, 에칭, 등을 수행하는 가스 분배 시스템을 포함한다. 반도체 재료의 건식 에칭은 기판 상에 소정의 패턴 또는 구성을 형성하기 위한 재료의 소정 영역을 선택적으로 제거하는 화학 기상 전달 시스템으로 수행될 수도 있다.
처리 챔버 내에서 가스 분배 시스템의 통합(integration)을 잘 이해하기 위해서, 도 1은 통상적인 CVD 챔버(10)의 구성을 도시한 개략적인 도면이다. 챔버(10)는 일반적으로 처리 영역(12)을 형성하고 수직 이동 가능한 기판 지지 부재(14)를 수용한다. 콘테이너(20)는 챔버(10)에서 공정을 수행하는데 필요한 다양한 가스를 공급하도록 제공된다. 증발기(22)는 하나 이상의 액체 전구체(precursor)를 가열하고 증발시키도록 제공되는 반면에, 유동 제어기(24)는 가스가 챔버(10)로 전달되는 속도를 제어한다. 다양한 가스를 필요로 하는 공정에 대해, 챔버(10)는 통상적으로 상류의 예비 혼합 챔버(26)를 포함하며, 상기 공정 가스는 처리 영역(12)으로 유입되기 전에 혼합된다.
챔버(10) 내측으로의 가스 전달은 도 2에 상세히 도시된, 가스 분배 조립체(28)에 의해 수행되며, 상기 가스 분배 조립체는 가스 분기관(30), 가스 분사 덮개 판으로 공지된 가스 박스(32), 샤워헤드 조립체(34), 및 절연체(36)를 포함하며, 이들 모든 구성 요소들은 전기적으로 접지된 챔버 리드(38) 상에 장착된다. 샤워헤드 조립체(34)는 통상적으로 천공 블록커 판(40) 및 일련의 구멍(44)을 갖는 면판(42)을 포함한다. 블록커 판(40)과 면판(42)은 일반적으로 기판 표면 상으로 균일한 농도의 가스를 제공하도록 확산되거나 통과되는 편평한 원형 부재이다. 블록커 판(40)과 가스 박스(32) 사이의 공동은 공정 가스를 계속 혼합하는 부가적인 교반대로의 역할을 한다. O 형태의 링(46)은 밀폐용 시일(seal)이 가스의 누설을 방지하는데 기여하도록 다양한 부재 사이에 배열된다. 작동 중에, 공정 가스는 기판 상에 증착을 수행하기 위해 CVD 챔버(10) 내로 펌핑된다.
집적 회로의 밀도가 증가함에 따라 미세구조물(feature)의 크기가 감소하며, 플라즈마가 없는 증착에서 낮은 유전 상수를 갖는 새로운 재료가 개발되고 있기 때문에, 가스 분배 시스템의 개선이 필요하다. 예를 들어, 유전체 분야에서 이산화 규소(SiO2)는 메틸실란(SiH3CH3)과 과산화수소(H2O2)를 혼합함으로써 형성된다. 이러한 화학물질은 냉각된 기판 상에서 축합반응을 하여 다공성 산화 네트워크를 형성한다.
챔버로의 전달 중에 화학물질의 분리상태를 유지시키는 것은, 가스가 진공 챔버의 처리 영역으로 유입되기 전에 가스의 반응을 방지하기 위해 H2O2와 SiH3CH3을 이용하는데 있어 중요하다. 반응이 처리 영역의 상류에서 발생하게 하는 것은 진공 챔버 가스 분배 조립체의 면판과 블록커 판과 같은 장치 부품의 막힘을 초래한다. 가스 분배 판이 막히면, 가스는 더이상 기판 표면에 걸쳐서 균일하게 분배되지 않고 비등각의 증착 패턴이 발생하여, 결함있는 소자가 제조된다. 가스 분배 판을 세정하기 위해, 생산은 중지되며, 가스 분배 시스템은 분해되고, 수리되어, 재조립된다.
이중 채널식 전달 샤워헤드를 제공하는 한 시도가 "가스 공급 장치용 샤워헤드"라는 명칭의 미국 특허 제 5,624,494호에 설명되어 있다. 개시된 샤워헤드의 배열은 각각 분리된 가스 통로를 제공하고 계면에서 결합되는 두 개의 분리된 천공 판을 포함한다. 제 1 구멍 세트는 상부판과 바닥판 양쪽에 형성되며 상기 판들이 양 판들을 통해 연속적인 채널을 형성하도록 조립되었을때 정렬되어야 한다. 제 2 통로는 바닥판에 형성된 제 3 수직 구멍 세트에 의해 형성되고 바닥판에 형성된 수평 홈 세트에 의해 유체 흐름 가능하게 연결된다. 동심원의 가스 파이프는 반응 가스를 제 1 및 제 2 통로로 분리되게 전달하고, 가스가 기판 상에서 혼합되고 증착되는 공정 챔버로 유입되도록 루트를 정한다.
이러한 가스 판에서 발생하는 하나의 단점은 두 개의 천공 판 내에 형성된 구멍의 정렬을 달성하는 것이다. 이 정렬이 중요하나 달성하기가 어렵다. 다른 문제점은 구멍 사이의 누설을 방지하기 위해서 판 사이에 기밀식 시일을 제공하는 것이다. 결과적으로, 반응 가스는 판과 구멍 사이에 형성된 틈의 공간을 통해 이동하여 틈의 공간에 증착된다. H2O2와 SiH3CH3와 같은 반응 가스에 관계된 공정에 있어서, 최종 화합물이 가스 전달 시스템을 막고 궁극적으로 기판 상에 불균일한 증착을 초래한다. 더욱이, 상기 막힘은 샤워헤드의 최소한 세척을 필요로 하기 때문에, 기판 처리 시스템의 보수 유지 작업을 위한 시스템의 작동 중지를 초래한다. 실질적인 막힘은 상류에 배열되는 펌핑 장치의 변형을 초래하여 보수 유지 또는 교체를 요한다.
그러므로, 공정 챔버로의 분리된 가스의 유입을 제공하고 상술된 막힘에 대한 문제 없이 기판 상으로 가스를 균일하게 전달하는 가스 분배 조립체를 필요로 하게 되었다.
본 발명은 일반적으로 처리 챔버 내로 두 가지 이상의 가스를 분리되고 균일하게 분배하는 가스 분배 시스템을 갖는, 기판 처리 챔버, 장치, 및 그와 관련된 방법을 제공한다. 본 발명의 일 실시예에서, 본 발명은 단일체의 다중 채널 면판을 포함하는 공정 챔버 내로 가스를 분배하는 장치를 제공한다. 제 1 수직 채널 세트를 갖는 제 1 가스 통로는 면판을 통해 연장한다. 수평 채널 세트와 교차하는 제 2 수직 채널 세트를 갖는 제 2 가스 통로는 단일체의 면판을 통하는 제 1 가스 통로로부터 분리된다. 분리된 가스 공급원은 가스를 각각의 가스 통로로 전달한다. 면판은 플라즈마 없는 처리 챔버에서 특히 유용할 것이다. 면판은 처리 영역으로 반응 가스를 분리하여 전달하고, 처리 영역 내에서 다음의 전달 가스와 혼합되어 기판 상에 증착되며, 온도 조절 가능한 지지 부재 상에서 지지된다. 당연히, 다른 실시예도 가능하다.
본 발명의 일 실시예에서, 본 발명은 처리 챔버로 가스를 분사하는 단일체의 다중 채널 면판, 제 1 가스를 처리 챔버로 전달하는 단일체의 다중 면판을 통하는 제 1 가스 통로, 처리 챔버로 전달하기 전에 적어도 제 2 가스를 처리 챔버로 제 1 가스로부터 실질적으로 분리되게 전달하고 단일체의 다중 채널 면판을 통하는 적어도 제 2 가스 통로를 포함하는 처리 시스템 내에서 가스를 분배하는 장치를 포함한다.
본 발명의 다른 실시예에서, 본 발명은 공정 챔버 내로 가스를 분사하는 다중 채널 면판, 제 1 가스용 제 1 수직 채널 세트와 교차하는 제 1 수평 채널 세트를 포함하는 면판을 통하는 제 1 가스 통로, 및 제 1 수평 채널 세트에 대해 수직으로 오프셋(offset) 배열되고 제 1 수직 채널 세트로부터 분리된 제 2 수직 채널 세트와 교차하는 제 2 수평 채널 세트를 포함하는 면판을 통하는 적어도 제 2 가스 통로를 포함하는 처리 시스템 내로 가스를 분배하는 장치를 포함한다.
본 발명의 또다른 실시예에서, 본 발명은 공정 챔버 및 제 1 및 제 2 가스 공급원에 유체 흐름 가능하게 연결된 가스 공급관(feedthrough)을 포함하고 공정 챔버에 연결된 가스 분배 시스템, 가스 공급관의 상부측에 유체 흐름 가능하게 연결된 가스 분사 덮개판, 및 두 개 이상의 가스를 처리 챔버로 분리되게 전달하고 가스 분사 덮개판의 하부측에 연결된 단일체의 다중 채널 면판을 포함하는 반도체 처리 장치를 포함한다.
본 발명은 또한 제 1 가스 통로와 제 2 가스 통로를 갖는 단일체의 다중 채널 면판을 이용하는 단계, 제 1 가스를 제 1 가스 통로로 전달하는 단계, 제 2 가스를 제 2 가스 통로로 전달하는 단계, 및 처리 챔버로 분사하기까지 가스의 실질적인 분리 상태를 유지하는 단계를 포함하는, 공정 챔버로 두 개 이상의 가스를 분배하는 방법과 같은, 방법을 포함한다.
본 발명의 전술한 특징들, 장점들 및 목적들에 도달하는 방법이 자세히 이해될 수 있도록, 위에서 간략히 요약된 본 발명의 특정 설명에 대해서 참조 도면에 도시된 실시예를 참조했다.
그러나, 첨부된 도면은 본 발명의 일반적인 실시예를 설명하는 것이지 본 발명의 범위를 제한하는 것으로 생각해서는 안되며, 본 발명에 대해 다른 동등한 효과를 갖는 실시예가 있을 수 있다고 이해해야 한다.
도 1은 선행 기술의 CVD 챔버를 도시한 개략적인 도면.
도 2는 선행 기술의 가스 분배 시스템의 구성요소를 도시한 확대 분해도.
도 3은 공정 챔버를 도시한 부분적인 횡단면도.
도 4는 본 발명 일 실시예의 단일체의 다중 채널식 면판을 도시한 부분적인 횡단면도.
도 5는 오프셋 수직 채널을 갖는 도 4의 면판에 대한 부분적인 확대 횡단면도.
도 6은 배열된 수직 채널을 갖는 본 발명의 제 2 실시예를 도시한 부분적인 확대 횡단면도.
도 7은 수직 방향의 가스 공급관의 확대 단면도.
도 8은 서로 수직으로 오프셋된 다중 수평 층을 갖는 본 발명의 다른 실시예에 대한 저면도.
도 9는 도 8의 실시예에 대한 부분적인 사시도.
도 10은 분리 노즐을 갖는 본 발명의 다른 실시예에 대한 사시도.
도 11은 면판 주위 상에 배열되는 분리 노즐을 갖는 본 발명의 또다른 실시예에 대한 사시도.
도 12는 다중의 갈래진 분기관을 갖는 본 발명의 또다른 실시예에 대한 사시도이다.
본 발명은 일반적으로 공정 챔버 내로 두 가지 이상의 가스를 분리되고 균일하게 분배하는 가스 분배 시스템을 갖는 기판 공정 챔버를 제공한다. 본 발명은 공정 챔버와 공정 챔버에 연결된 가스 분배 시스템을 갖는 반도체 처리 장치를 포함하며, 상기 가스 분배 시스템은 제 1 및 제 2 가스 공급원에 유체 흐름 가능하게 연결된 가스 공급관, 가스 공급관의 상부측에 유체 흐름 가능하게 연결된 가스 분사 덮개판 및 가스 분사 덮개판의 하부측에 연결되고 두 가지 이상의 가스를 공정 챔버로 분리되게 전달하는 단일체의 다중 채널 면판을 포함한다. 제 1 수직 채널 세트를 갖는 제 1 가스 통로는 면판을 통해 연장한다. 수평 채널 세트와 교차하는 제 2 수직 채널 세트를 갖는 제 2 가스 통로는 단일체의 면판을 통해 제 1 가스 통로로부터 분리된다. 분리된 가스 공급원은 가스를 각각의 가스 통로로 전달한다. 당연히 다른 실시예도 가능하다.
도 3은 본 발명을 형성하는 한 실시예인 공정 챔버(100)의 횡단면도를 도시한다. 챔버(100)는 일반적으로, 처리 영역(102)을 에워싸고, 챔버 몸체(101)를 포함하며 화학적 전구체가 처리 중에 유입된다. 수직 이동 가능한 온도 조절식 기판 지지 부재(130)는 기판을 지지하기 위한 챔버 몸체(101)의 하단부를 통해 배열된다. 기판 지지 부재(130)는 지지 부재(130)의 가열 및/또는 냉각을 달성하기 위해 유체가 통과하는 채널(137)을 포함한다. 진공 펌프(129)에 연결된 환형의 진공 배출 채널(132)은 공정 영역(102)으로부터 공정 가스를 배출하기 위해 제공된다. 상부 가스 분배 조립체(104)는 가스를 챔버(100) 내로 전달하기 위해 리드(106) 상에 배열된다. 가스 분배 조립체(104)는 가스 공급관(108), 가스 분사 덮개판(110), 블록커 판(112), 및 다중 채널 면판(200)을 포함한다. 면판(200)은 가스 분배 조립체(104)의 일부분으로서 챔버(100) 내에 결합되어, 면판(200)은 처리 영역(102)의 상부 경계를 형성한다. 리드(106)는 면판(200)을 장착하고 가스 분사 덮개판(110)을 지지한다. 면판의 플랜지(194)에 위치한 O 형태의 링은 플랜지(194)와 리드(106) 사이에 시일을 제공한다. 덮개(148)는 리드(106) 상에 배열된다. 상세히 후술되는, 면판(200)은 제 1 및 제 2 가스를 가스 채널을 통해 처리 영역(102) 내로 개별적으로 전달하도록 특별히 설계된다. 일반적으로, 채널은 면판(200)에 형성된 수직 및 수평 채널 세트를 포함한다.
도 4 및 도 5는 본 발명에 따른 한 실시예인 면판(200)을 도시한 부분 횡단면도이다. 면판(200)은 상부면(210), 바닥면(212), 및 주변 환형 부분, 또는 측면벽(214)을 포함한다. 도 5의 실시예에서 화살표 A로 표시된 제 1 가스 통로는, 상부면(210)에 인접한 상부 영역(190)과 바닥면(212)에 인접한 하부 영역(235) 사이에 유체의 흐름을 제공하기 위해 면판(200)을 통해서 연장하는 제 1 수직 채널(226) 세트를 포함하고, 상부 영역(190)의 상부 경계는, 도 3에 도시된, 가스 분사 덮개판(110)에 의해 형성된다. 도 5의 실시예에서 화살표 B로 표시된 제 2 가스 통로는, 바닥면(212)을 통해서 연장하고 수평 채널(222) 세트와 하부 영역(235) 사이에 유체의 흐름을 제공하는 제 2 수직 채널(228) 세트를 포함한다. 수평 채널(222)은 상부면(210)과 바닥면(212) 사이에서 면판(200)에 채널 래버린스(labyrinth of channel)를 형성한다. 수평 채널(222)은 일반적으로 면판의 상부면(210) 및 바닥면(212)과 평행한 면에 가공, 즉, 측면벽(214)을 통해 장비로 드릴링 또는 보우링에 의해 형성될 수도 있다. 면판에 대한 장비의 경사각은 수평 채널(222) 세트의 최종 구성을 결정하지만, 장비의 크기는 채널의 직경을 결정한다. 본 출원에서, 수평은 기판 수용면(128)에 평행하게 배열된 각도의 적어도 어떤 부품을 갖는 각도를 포함하도록 의도되고 수직은 기판 수용면(128)에 수직하게 배열된 각도의 적어도 어떤 부품을 갖는 각도를 포함하도록 의도된다. 상부면(210)을 통해서 수직하게 배열된, 중앙 가스 입구, 또는 노즐(224)은 수평 채널(222)과 유체 교환을 하고, 도 3에 도시된, 도관(184)의 하부에 수용된다. 측면벽(214) 주위에 인접하는 환형 링(230)은 수평 채널(222) 세트의 첨단을 기밀 밀봉한다. 기밀 시일을 확보하는 것을 돕기 위해, 링(230) 및 측면벽(214)은 서로 용융될 수도 있다. 이와 달리, 수평 채널(222)의 첨단은 각각 막힐 수도 있다.
도 4 및 도 5는 또한, 수평 채널(222) 세트의 교차점으로부터 시작되고 제 1 수직 채널(226) 세트로부터 오프셋된 제 2 수직 채널(228) 세트를 도시한다. 그러나, 제 2 수직 채널(228) 세트는 수평 채널(222)의 어떤 지점으로부터 시작할 수 있다. 예를 들어, 도 6에서 도시된 것처럼, 제 2 수직 채널(228) 세트는 제 1 수직 채널(226) 세트와 함께 배열되거나 인접하게 도시된다.
면판(200)은 바람직하게 단일체의, 즉, 단일 재료로 가공되거나 제조되고, 구멍의 크기와 공간은 특별 분야에 따라 변할 수 있어서, 공정 챔버 내로의 균일한 전달이 달성된다. 단일 재료로 면판을 제조함으로써 분리된 판을 배열하는 데에서 발생하는 문제점을 피할 수 있고 판 사이와 분리된 채널에서의 가스 누출을 방지할 수 있다.
도 7에 수직 확대 횡단면도로 도시된, 가스 공급관(108)은 가스 분사판(110)에 장착되고 입구 분기관(150), 출구 분기관(152), 및 이들 사이에 삽입된 하우징(154)을 포함한다. 제 1 가스 튜브(156) 및 제 2 가스 튜브(158)는 두 개의 공정 가스가 통하는 분리된 루트를 제공하기 위해 하우징(154)을 통해 연장한다. 두 쌍의 포트(159)는 입구 분기관(150)과 출구 분기관(152)에 형성되어 각각의 첨단에서 제 1 가스 튜브(156)와 제 2 가스 튜브(158)를 수용한다. 가스 튜브(156, 158)는 도 3에 도시된 것처럼, 서로 평행하게 도시되지만, 동심원일 수 있어서 가스를 가스 공급관(108)을 통해 개별적으로 동축 상으로 전달한다. 입구 분기관(150)에 형성된 포트(159)는 챔버 몸체와 리드를 통해 형성된 가스 전달 채널(162, 164)과 배열된다. 가스 전달 채널(162, 164)은 챔버 몸체(101)의 바닥에서, 각각 제 1 공급 라인(120)과 제 2 공급 라인(122)에 연결된다. 제 1 콘테이너(116)와 제 2 콘테이너(118)는 가스를 제 1 공급 라인(120)과 제 2 공급 라인(122) 각각을 통해 가스 분배 조립체(104)로 공급하기 위해 상류에 위치된다. 유동 제어기(124)는 가스의 유속을 조절하기 위해 공급 라인(120, 122)에 배열된다. 증발기(126)는, 과산화수소와 같은, 액체 전구체를 증발시키기 위해 제 1 공급 라인(120)에 배열된다.
가스 공급관(108)은, 도 3에 도시된 것처럼, 한 쌍의 신속 분리 피팅(fitting, 174)으로부터 유체 전달 호스(172)에 각각 연결되는 제 1 유체 공급 튜브(168)와 제 2 유체 공급 튜브(170)를 제공한다. 유체 공급 튜브(168, 170)는 유체 입구 채널(176)과 유체 출구 채널(178)을 통해 가스 분사 덮개판(110)에 형성된 환형의 유체 통로(180)를 통해 열 전달 유체를 순환시킨다.
도 3에서 도시된 것처럼, 가스 분사 덮개판(110)을 통해 배열된 중앙 보어(182)는 동일축 상에 배열된 가스 전달관(184)을 수용한다. 가스 전달관(184)은 제 2 가스를, 가스 전달관(184)의 하단부에 수용된, 노즐(224)로 흐르게 하고, 제 2 수평 채널(222) 세트를 포함하는 제 2 가스 통로로 가스를 전달한다. 중앙 보어(182)와 가스 전달관(184)의 외측변은, 천공 블록커 판(112) 상으로 가스를 전달하는, 환형과 같은, 가스 전달 통로(186)를 형성하고, 가스는 블록커 판을 통해 제 1 수직 채널 세트로 흐른다. 나사(196)에 의해 현수 지지된 블록커 판(112)은 상부 영역(190) 내에 수평으로 배열된다. 블록커 판(112)은 상부 영역(190)을 가로질러 상부면(210) 근처에서 종결되는 가스 전달관(184)에 의해 수직으로 교차되어, 가스 전달관(184)과 상부면(210)은 맞대기 결합되고 O 형태의 링(198)에 의해 기밀 밀봉된다. "냉각기" 및 "냉각"이라는 용어가 공정에서 일반적으로 사용되나, 온도 조절의 개념에는 "가열기" 및 "가열"이라는 용어가 또한 포함된다고 이해해야 한다.
도 3은 또한 냉각제 라인(135)에 의해 기판 지지 부재(130)에 연결된 원격 작동의 냉각기(134)를 도시한다. 물, 에틸렌, 글리콜, 등과 같은 액체 냉각제는 기판 지지 부재(130)에 전달되고, 나선형 채널(137)을 통해 순환되고, 그 후에 냉각기(134)로 역 순환된다. 유체의 순환은 기판 지지 부재(130)의 온도가 조절되도록 한다. 고효율의 열교환을 확보하기 위해서, 화학적 전구체의 부식 효과에 대한 저항성 뿐만 아니라 우수한 열 전도성 때문에, 기판 지지 부재(130)는 바람직하게 알루미늄으로 제조된다.
도 3은 또한 기판 장착면(128)에 형성된 복수의 그루브(138)를 도시한다. 기판 배면의 진공 상태는 그루브(138)와 연결되는 기판 지지 부재(130)에 진공 펌프(140)를 부착함으로써 달성된다. 이와 달리, 지지 부재는 기판이 처리되도록 위치되는 홈을 포함하는 "포켓" 형의 지지 부재일 수도 있다. 더욱이, 정전기적 척 등과 같은 다른 지지 메카니즘이 처리 중에 기판을 제자리에 지지하고 유지하는데 이용될 수도 있다.
제조 공정 중에, 기판(103)은 챔버 몸체(101)에 형성된 슬릿 밸브 구멍(도시 않음)을 통해 외부 로봇(도시 않음)에 의해 기판 지지 부재(130) 상에 위치된다. 제 1 가스는 제 1 콘테이너(116)로부터 공급되고 제 2 가스는 제 2 콘테이너(118)로부터 공급된다. 제 1 및 제 2 가스는 챔버 몸체(101)에 내장된 가스 전달 채널(162, 164) 각각을 통해서 전해지고, 가스 공급관(108)을 통해 흐른다. 제 1 가스는 가스 전달 통로(186)를 통해 루트가 정해지지만, 제 2 가스는 가스 전달관(184)을 통해 루트가 정해진다. 제 1 가스는 블록커 판(112) 상의 상부 영역(190)으로 전달되고, 블록커 판(112)은 초기 분사 단계로 작용하여, 제 1 가스가 상부 영역(190)에 퍼져 블록커 판(112)의 상부면 상으로 확산되도록 한다. 제 1 가스는 구멍을 통해서 블록커 판(112)으로 이동하고, 상부면(210)에 실질적으로 균일하게 충돌하며, 결국 제 1 수직 채널(226) 세트를 통해 도 5의 화살표 "A"로 표시된 통로로 도시된 공정 영역(102)으로 이동한다. 동시에, 제 2 가스는 노즐(224)을 통해 전달되고, 도 5에 도시된 것처럼, 교차된 수평 채널(222) 세트를 포함하는, 제 2 가스 통로를 통해 확산된다. 제 2 가스는 제 2 수직 채널(228) 세트를 통해서 공정 영역(102)으로 흐르고, 제 2 가스는 제 1 가스와 반응한다. 제 2 가스의 통로는 도 5에서 화살표 "B"로 도시된다.
사용된 가스와, 온도와 압력과 같은, 선택된 특정 챔버의 조건은 소정의 반응과 박막의 조성에 의존한다. 그러나, 챔버(100)는 메틸실란과 과산화수소 사이에서와 같이, 플라즈마 없는 축합 반응에 적당하고, 반응물은 처리 영역(102)에 들어가기 전에 혼합되지 않고, 기판(103)은 바람직하게 저온에서 유지된다. 메틸실란과 과산화수소와 관계된 특정 증착 공정은 바람직하게 기판(103)이 약 -20℃ 내지 25℃ 범위에 유지되고 있는 중에 수행된다.
도 8 및 도 9는 면판(200)의 대안적인 실시예를 도시한다. 이 실시예는 다중 층 접근 방식을 포함하며, 제 1 가스 통로의 제 1 수직 채널 세트는 제 2 가스 통로의 제 2 수평 채널 세트로부터 수직으로 오프셋된다. 면판(200)은 제 2 수평 채널(316) 세트와 동일 평면상의 제 1 수평 채널(314) 세트를 갖는 것으로 도시된다. 중앙에 위치한 제 1 가스 공급 파이프(318)는 제 1 가스를 제 1 수평 채널(314) 세트로 전달하지만, 동축의 제 2 가스 공급 파이프(320)는 제 2 가스를 제 2 수평 채널(316)로 전달한다. 제 1 수직 채널(322) 세트는 제 1 수평 채널(314) 세트로부터 면판(200) 하부 영역으로 유체 흐름을 제공하기 위해 하부면(324)을 통해 형성된다. 유사하게, 제 2 수직 채널(326) 세트는 제 2 수평 채널(316) 세트로부터 면판(200) 하부 영역으로 유체 흐름을 제공하기 위해 하부면(324)에 형성된다.
도 8은 수평 채널(314, 316)이 시작하는 중앙 허브(hub; 328), 또는 공동으로부터 반경 방향으로 연장하는 수평 채널(314, 316)을 갖는 면판(200)의 저면도이다. 도 8 및 도 9의 다중층의 개념은 분리된 수직 채널과 각각 연결되는 부가적인 수평 채널 층을 단지 첨가함으로써 세 가지 이상의 가스를 개별적으로 전달할 수 있는 면판을 고안한다고 추정된다.
후자의 실시예는, 도 3에 도시된, 블록커 판(112)의 필요성을 제거하는 부가적인 장점을 갖는다. 반응 가스는 파이프(318, 320)에 의해 반경 방향의 수평 채널(314, 316)에 직접 전달되기 때문에, 블록커 판은 불필요하다. 그러므로, 도 3의 챔버(100)는 제 1 가스 공급 파이프(318)와 제 2 가스 공급 파이프(320)가 도 6에 도시된 것처럼, 출구 분기관(150)에 형성된, 분기 도관(159)에 직접 연결되도록 수정될 수도 있다.
도 10 및 도 11은 본 발명의 부가적인 실시예를 도시한다. 도 10은 면판(200)의 중앙 부분 주위에 균일하게 위치된 복수의 노즐(330, 세 개가 도시됨)을 도시한다. 이와 달리, 도 11은 면판(200)의 주변 부분 주위에 배열된 노즐(330)을 도시한다. 다중 노즐은 부가적인 분사 균일성을 촉진할 수도 있다. 단지 두 개의 패턴이 도시되었지만, 당업자는 부가적인 기하학적 구성을 인식할 것이다.
도 12는 본 발명의 부가적인 실시예인 가스 전달 시스템의 사시도이다. 다중의 갈래진 분기관(332)은 중앙 공급부로부터 가스 면판의 외측부분으로 가스를 전달하도록 제공된다. 분기관의 입구(334)는, 도 3에 도시된 것처럼, 노즐(224)과 유사한 도관(184)에 장착된다. 복수의 분기 출구 도관(336)은, 도 6에 도시된 것처럼, 제 2 가스 통로로 가스를 전달하도록 노즐에 연결된다. 처리 공정 중에, 제 1 가스는, 도 3에 도시된 것처럼, 제 1 수직 채널(226) 세트를 통해 처리 영역(102) 내로 흐른다. 동시에, 제 2 가스는 분기관 입구(334)로 흐른다. 제 2 가스는, 도 3에 도시된 것처럼, 분기 출구 도관(336)에 의해 면판(200)의 제 2 분기관으로 전달되고 제 2 수직 채널(228) 세트를 통해서 처리 영역(102)으로 균일하게 전달된다.
실시예
상술된 것처럼, 본 발명은 메틸실란과 과산화수소를 반응시켜 SiO2 박막을 형성하는데 특히 적합하다. 메틸실란과 과산화수소는 공정 챔버로 개별적으로 전달된다. 반응의 처리 단계는 다음과 같다고 믿어진다.
Figure 112000026811923-pct00001
단계 1은 실라놀 형의 화합물을 생성하기 위해, 바람직하게 약 -20℃ 내지 25℃ 범위에서, 냉각 기판 상에서 형성된다. 단계 2는 등각의 다공성 SiO2 네트워크를 얻는 축합반응을 나타낸다. 다음에 기판은 박막을 건조시키도록 가열되고 다공성 산화물을 형성한다.
전술한 설명들은 본 발명의 양호한 실시예에 관한 것이지만, 본 발명의 다른 실시예가 본 발명의 기본 범위로부터 벗어남이 없이 고안될 수도 있고, 본 발명의 범위는 다음의 청구범위에 의해 결정된다. 더욱이, 특히 청구의 범위를 포함하는, 명세서에서, 관사 "(a, 또는 the)"와 함께 "포함하는(comprising)"의 용도, 및 변화는 참조된 아이템 또는 리스트가 적어도 열거된 아이템(들) 또는 리스트(들)를 포함하고 더욱이, 표시되지 않지만, 복수의 열거된 아이템(들) 또는 리스트(들)를 포함할 수 있다는 것을 의미한다.

Claims (20)

  1. 처리 시스템 내에 가스를 분배하는 장치로서,
    a) 가스를 공정 챔버 내로 분사하는 단일체의 다중 채널 면판,
    b) 제 1 가스를 상기 공정 챔버 내로 전달하도록 상기 단일체의 다중 채널 면판을 통해 배열된 제 1 가스 통로; 및
    c) 상기 단일체의 다중 채널 면판을 통해 배열되며, 상기 공정 챔버 내로 전달되기 전에 상기 제 1 가스로부터 분리되어 상기 공정 챔버 내로 적어도 제 2 가스를 전달하는 제 2 가스 통로를 포함하는,
    처리 시스템 내에 가스를 분배하는 장치.
  2. 제 1 항에 있어서,
    상기 제 1 가스 통로는 제 1 수직 채널 세트를 포함하고, 상기 제 2 가스 통로는 상기 제 1 수직 채널 세트로부터 분리된 제 2 수직 채널 세트와 교차하는 수평 채널 세트를 포함하는,
    처리 시스템 내에 가스를 분배하는 장치.
  3. 제 1 항에 있어서,
    상기 제 1 가스를 상기 면판으로 전달하도록 상기 다중 채널 면판으로부터 상류에 있는 제 1 분기관과, 상기 제 2 가스를 상기 제 2 가스 통로로 전달하도록 상기 제 1 분기관으로부터 분리된 제 2 가스 도관을 더 포함하는,
    처리 시스템 내에 가스를 분배하는 장치.
  4. 제 3 항에 있어서,
    상기 제 1 분기관의 적어도 일부분이 상기 제 2 가스 통로로의 상기 제 2 가스 도관과 동축인,
    처리 시스템 내에 가스를 분배하는 장치.
  5. 제 2 항에 있어서,
    상기 제 2 수직 채널 세트가 상기 수평 채널과 수직으로 교차하는,
    처리 시스템 내에 가스를 분배하는 장치.
  6. 제 2 항에 있어서,
    상기 제 1 수직 채널 세트가 상기 제 2 수직 채널 세트와 정렬되는,
    처리 시스템 내에 가스를 분배하는 장치.
  7. 제 2 항에 있어서,
    상기 제 1 수직 채널 세트가 상기 제 2 수직 채널 세트로부터 오프셋되는,
    처리 시스템 내에 가스를 분배하는 장치.
  8. 제 1 항에 있어서,
    상기 제 1 가스 통로를 위한 제 1 수직 채널 세트: 및
    상기 제 1 수직 채널 세트로부터 분리된, 상기 제 2 가스 통로를 위한 제 2 수직 채널 세트를 더 포함하며,
    상기 제 1 가스 통로는 상기 제 1 수직 채널 세트와 교차하는 제 1 수평 채널 세트를 포함하며, 상기 제 2 가스 통로는 상기 제 1 수평 채널 세트로부터 수직으로 배열되고 제 2 수직 채널 세트와 교차하는 제 2 수평 채널 세트를 포함하는,
    처리 시스템 내에 가스를 분배하는 장치.
  9. 제 1 항에 있어서,
    상기 제 2 가스를 상기 제 2 가스 통로로 전달하도록 상기 제 2 가스 통로에 유체 흐름 가능하게 연결된 복수의 분리 노즐을 더 포함하는,
    처리 시스템 내에 가스를 분배하는 장치.
  10. 제 1 항에 있어서,
    다중의 갈래진 분기관에 유체 흐름 가능하게 연결된 중앙 공급부를 더 포함하며,
    상기 다중의 갈래진 분기관이 상기 제 2 가스를 상기 제 2 가스 통로에 전달하는 복수의 분리 노즐에 유체 흐름 가능하게 연결된,
    처리 시스템 내에 가스를 분배하는 장치.
  11. 제 1 항에 있어서,
    상기 면판의 주위에 배열된 시일을 더 포함하여 상기 면판의 주위에서 연장하는 상기 수평 채널을 밀봉하는,
    처리 시스템 내에 가스를 분배하는 장치.
  12. 제 1 항에 있어서,
    가스를 공정 챔버 내로 분사하는 상기 단일체의 다중 채널 면판이 반도체 처리 장치 내에 배열되며, 상기 반도체 처리 장치는,
    (a) 공정 챔버; 및
    (b) 상기 공정 챔버에 연결된 가스 분배 시스템을 포함하며,
    상기 가스 분배 시스템은,
    (ⅰ) 제 1 및 제 2 가스 공급원에 유체 흐름 가능하게 연결된 가스 공급관; 및
    (ⅱ) 상기 가스 공급관에 유체 흐름 가능하게 연결되고 상기 단일체의 다중 채널 면판에 연결되는 가스 분사 덮개판을 포함하는,
    처리 시스템 내에 가스를 분배하는 장치.
  13. 삭제
  14. 삭제
  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
KR1020007014255A 1998-06-16 1999-06-08 가스 분배 장치 KR100687373B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US8941398P 1998-06-16 1998-06-16
US09/207,780 US6148761A (en) 1998-06-16 1998-12-09 Dual channel gas distribution plate
US09/207,780 1998-12-09
US60/089,413 1998-12-09

Publications (2)

Publication Number Publication Date
KR20010052904A KR20010052904A (ko) 2001-06-25
KR100687373B1 true KR100687373B1 (ko) 2007-02-26

Family

ID=26780566

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020007014255A KR100687373B1 (ko) 1998-06-16 1999-06-08 가스 분배 장치

Country Status (7)

Country Link
US (1) US6148761A (ko)
EP (1) EP1129234B1 (ko)
JP (1) JP4564656B2 (ko)
KR (1) KR100687373B1 (ko)
DE (1) DE69903466T2 (ko)
TW (1) TW552312B (ko)
WO (1) WO1999066101A1 (ko)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2012015578A1 (en) * 2010-07-28 2012-02-02 Applied Materials, Inc. Showerhead support structure for improved gas flow
KR20140000168A (ko) * 2012-06-22 2014-01-02 노벨러스 시스템즈, 인코포레이티드 에지-중심 가스 전달을 갖는 이중 플리넘 축대칭성 샤워헤드
US11053587B2 (en) 2012-12-21 2021-07-06 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US11608559B2 (en) 2016-12-14 2023-03-21 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition

Families Citing this family (496)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6302964B1 (en) * 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
DE10007059A1 (de) * 2000-02-16 2001-08-23 Aixtron Ag Verfahren und Vorrichtung zur Herstellung von beschichteten Substraten mittels Kondensationsbeschichtung
US6559424B2 (en) 2001-01-02 2003-05-06 Mattson Technology, Inc. Windows used in thermal processing chambers
JP3946641B2 (ja) * 2001-01-22 2007-07-18 東京エレクトロン株式会社 処理装置
EP1421607A2 (en) 2001-02-12 2004-05-26 ASM America, Inc. Improved process for deposition of semiconductor films
US6818096B2 (en) * 2001-04-12 2004-11-16 Michael Barnes Plasma reactor electrode
US20040250763A1 (en) * 2002-01-11 2004-12-16 Ovshinsky Stanford R. Fountain cathode for large area plasma deposition
US6946033B2 (en) * 2002-09-16 2005-09-20 Applied Materials Inc. Heated gas distribution plate for a processing chamber
US20040052969A1 (en) * 2002-09-16 2004-03-18 Applied Materials, Inc. Methods for operating a chemical vapor deposition chamber using a heated gas distribution plate
JP3671966B2 (ja) * 2002-09-20 2005-07-13 日新電機株式会社 薄膜形成装置及び方法
US20040142558A1 (en) * 2002-12-05 2004-07-22 Granneman Ernst H. A. Apparatus and method for atomic layer deposition on substrates
WO2004088729A1 (en) * 2003-03-26 2004-10-14 Tokyo Electron Limited Chemical processing system and method
NO321668B1 (no) * 2003-04-11 2006-06-19 Norsk Hydro As Enhet for a fordele to fluider inn og ut av kanalene i en monolittisk struktur samt fremgangsmate og utstyr for a overfore masse og/eller varme mellom to fluider
US7601223B2 (en) * 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
US7537662B2 (en) * 2003-04-29 2009-05-26 Asm International N.V. Method and apparatus for depositing thin films on a surface
KR20060011887A (ko) * 2003-05-30 2006-02-03 에비자 테크놀로지, 인크. 가스 분산 시스템
JP4306403B2 (ja) * 2003-10-23 2009-08-05 東京エレクトロン株式会社 シャワーヘッド構造及びこれを用いた成膜装置
US7572337B2 (en) * 2004-05-26 2009-08-11 Applied Materials, Inc. Blocker plate bypass to distribute gases in a chemical vapor deposition system
US7622005B2 (en) 2004-05-26 2009-11-24 Applied Materials, Inc. Uniformity control for low flow process and chamber to chamber matching
JP2006128485A (ja) 2004-10-29 2006-05-18 Asm Japan Kk 半導体処理装置
JP4560394B2 (ja) * 2004-12-13 2010-10-13 長州産業株式会社 薄膜形成用分子供給装置
US20060162661A1 (en) * 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
EP1915470A4 (en) * 2005-07-29 2012-04-04 Aviza Tech Inc APPLICATION DEVICE FOR SEMICONDUCTOR PROCESSING
DE102005056322A1 (de) * 2005-11-25 2007-06-06 Aixtron Ag VPE-Reaktor mit koaxial zueinander angeordneten Quellgasrohren
US20070264427A1 (en) * 2005-12-21 2007-11-15 Asm Japan K.K. Thin film formation by atomic layer growth and chemical vapor deposition
US20070151516A1 (en) * 2006-01-03 2007-07-05 Law Kam S Chemical vapor deposition apparatus and electrode plate thereof
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
CN100451163C (zh) * 2006-10-18 2009-01-14 中微半导体设备(上海)有限公司 用于半导体工艺件处理反应器的气体分布装置及其反应器
US20080099147A1 (en) * 2006-10-26 2008-05-01 Nyi Oo Myo Temperature controlled multi-gas distribution assembly
US20080166880A1 (en) * 2007-01-08 2008-07-10 Levy David H Delivery device for deposition
US7789961B2 (en) * 2007-01-08 2010-09-07 Eastman Kodak Company Delivery device comprising gas diffuser for thin film deposition
US11136667B2 (en) * 2007-01-08 2021-10-05 Eastman Kodak Company Deposition system and method using a delivery head separated from a substrate by gas pressure
US20090095222A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas spiral channel showerhead
US7939447B2 (en) 2007-10-26 2011-05-10 Asm America, Inc. Inhibitors for selective deposition of silicon containing films
US8668775B2 (en) * 2007-10-31 2014-03-11 Toshiba Techno Center Inc. Machine CVD shower head
US20090120368A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Rotating temperature controlled substrate pedestal for film uniformity
US7655543B2 (en) 2007-12-21 2010-02-02 Asm America, Inc. Separate injection of reactive species in selective formation of films
US8373092B2 (en) * 2008-04-09 2013-02-12 The Boeing Company Purge and sealant cap for selective laser sintering build frame
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
TWI437622B (zh) * 2008-11-26 2014-05-11 Ind Tech Res Inst 氣體噴灑模組
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8486191B2 (en) 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
US8263006B2 (en) * 2009-05-31 2012-09-11 Corning Incorporated Reactor with upper and lower manifold structures
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
WO2011024995A1 (ja) * 2009-08-28 2011-03-03 京セラ株式会社 堆積膜形成装置および堆積膜形成方法
TWI385272B (zh) * 2009-09-25 2013-02-11 Ind Tech Res Inst 氣體分佈板及其裝置
JP5835722B2 (ja) 2009-12-10 2015-12-24 オルボテック エルティ ソラー,エルエルシー 自動順位付け多方向直列型処理装置
TWI452168B (zh) * 2010-06-21 2014-09-11 Hon Hai Prec Ind Co Ltd 電漿式鍍膜裝置
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8459276B2 (en) 2011-05-24 2013-06-11 Orbotech LT Solar, LLC. Broken wafer recovery system
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9109754B2 (en) 2011-10-19 2015-08-18 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
KR101907973B1 (ko) 2011-12-16 2018-10-17 주식회사 원익아이피에스 가스분사장치 및 이를 구비하는 기판처리장치
US20130220222A1 (en) * 2012-02-23 2013-08-29 Hermes-Epitek Corporation Gas Distribution Apparatus with Heat Exchanging Channels
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
TWI480417B (zh) 2012-11-02 2015-04-11 Ind Tech Res Inst 具氣幕之氣體噴灑裝置及其薄膜沉積裝置
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
KR102061749B1 (ko) * 2012-12-27 2020-01-02 주식회사 무한 기판 처리 장치
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
KR101467195B1 (ko) * 2013-05-14 2014-12-01 주식회사 아바코 가스 분사기 및 이를 포함하는 박막 증착 장치
US9677176B2 (en) 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
KR102167594B1 (ko) 2013-12-04 2020-10-19 삼성전자주식회사 기판 처리 방법 및 이를 수행하기 위한 장치
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
USD732092S1 (en) * 2014-03-19 2015-06-16 Veeco Ald Inc. Gas injection plate
USD732093S1 (en) * 2014-03-19 2015-06-16 Veeco Ald Inc. Gas tube assembly
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
KR101670382B1 (ko) * 2015-03-10 2016-10-28 우범제 퍼지가스 분사 플레이트 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9576815B2 (en) * 2015-04-17 2017-02-21 Applied Materials, Inc. Gas-phase silicon nitride selective etch
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
JP6495875B2 (ja) 2016-09-12 2019-04-03 株式会社東芝 流路構造及び処理装置
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US20190032211A1 (en) * 2017-07-28 2019-01-31 Lam Research Corporation Monolithic ceramic gas distribution plate
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
WO2019113478A1 (en) 2017-12-08 2019-06-13 Lam Research Corporation Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US20220093366A1 (en) * 2019-02-01 2022-03-24 Lam Research Corporation Showerhead for deposition tools having multiple plenums and gas distribution chambers
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
AT524110B1 (de) * 2019-05-13 2023-09-15 Russell Hughes Gaszufuhr- und Spülvorrichtung für additive Fertigungskammer und Verfahren zu deren Verwendung
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11555244B2 (en) * 2019-11-05 2023-01-17 Applied Materials, Inc. High temperature dual chamber showerhead
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
US11670492B2 (en) * 2020-10-15 2023-06-06 Applied Materials, Inc. Chamber configurations and processes for particle control
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4209357A (en) * 1979-05-18 1980-06-24 Tegal Corporation Plasma reactor apparatus
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4951603A (en) * 1988-09-12 1990-08-28 Daidousanso Co., Ltd. Apparatus for producing semiconductors
US5314724A (en) * 1991-01-08 1994-05-24 Fujitsu Limited Process for forming silicon oxide film
JPH0811718B2 (ja) * 1992-02-27 1996-02-07 大同ほくさん株式会社 ガスソース分子線エピタキシー装置
KR950020993A (ko) * 1993-12-22 1995-07-26 김광호 반도체 제조장치
GB9411911D0 (en) * 1994-06-14 1994-08-03 Swan Thomas & Co Ltd Improvements in or relating to chemical vapour deposition
JP3399124B2 (ja) * 1994-12-14 2003-04-21 ソニー株式会社 酸化膜の成膜方法および酸化膜の成膜装置
JPH08181210A (ja) * 1994-12-26 1996-07-12 Toshiba Corp 半導体装置の製造方法
JPH08181276A (ja) * 1994-12-26 1996-07-12 Toshiba Corp 半導体装置の製造方法
JP3360098B2 (ja) * 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
JPH0971866A (ja) * 1995-09-01 1997-03-18 Hitachi Ltd 減圧cvd装置
US5792269A (en) * 1995-10-31 1998-08-11 Applied Materials, Inc. Gas distribution for CVD systems
JP3360265B2 (ja) * 1996-04-26 2002-12-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US6090210A (en) * 1996-07-24 2000-07-18 Applied Materials, Inc. Multi-zone gas flow control in a process chamber

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2012015578A1 (en) * 2010-07-28 2012-02-02 Applied Materials, Inc. Showerhead support structure for improved gas flow
US8721791B2 (en) 2010-07-28 2014-05-13 Applied Materials, Inc. Showerhead support structure for improved gas flow
US10087524B2 (en) 2010-07-28 2018-10-02 Applied Materials, Inc. Showerhead support structure for improved gas flow
KR20140000168A (ko) * 2012-06-22 2014-01-02 노벨러스 시스템즈, 인코포레이티드 에지-중심 가스 전달을 갖는 이중 플리넘 축대칭성 샤워헤드
KR102110610B1 (ko) * 2012-06-22 2020-05-14 노벨러스 시스템즈, 인코포레이티드 에지-중심 가스 전달을 갖는 이중 플리넘 축대칭성 샤워헤드
US11053587B2 (en) 2012-12-21 2021-07-06 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US11608559B2 (en) 2016-12-14 2023-03-21 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US12000047B2 (en) 2016-12-14 2024-06-04 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition

Also Published As

Publication number Publication date
US6148761A (en) 2000-11-21
EP1129234B1 (en) 2002-10-09
WO1999066101A1 (en) 1999-12-23
DE69903466T2 (de) 2003-05-15
TW552312B (en) 2003-09-11
EP1129234A1 (en) 2001-09-05
DE69903466D1 (de) 2002-11-14
KR20010052904A (ko) 2001-06-25
JP4564656B2 (ja) 2010-10-20
JP2002518839A (ja) 2002-06-25

Similar Documents

Publication Publication Date Title
KR100687373B1 (ko) 가스 분배 장치
US20200149166A1 (en) Flow control features of cvd chambers
US5772771A (en) Deposition chamber for improved deposition thickness uniformity
US7479303B2 (en) Method for chemical vapor deposition (CVD) with showerhead and method thereof
US7622005B2 (en) Uniformity control for low flow process and chamber to chamber matching
KR100302609B1 (ko) 온도가변 가스 분사 장치
US5976261A (en) Multi-zone gas injection apparatus and method for microelectronics manufacturing equipment
TW201514337A (zh) 具有氣封之化學沉積室
KR20010053597A (ko) 화학 기상 증착 기화기
KR20010009968A (ko) 반도체 박막 증착 장치
JP2011228745A (ja) セラミック基体支持体
WO2006065740A2 (en) Self-cooling gas delivery apparatus under high vacuum for high density plasma applications
CN1989587A (zh) 在化学气相沉积***中绕过阻滞板分配气体
KR20060059305A (ko) 반도체 공정 장비
KR20230151975A (ko) 가스 시일링을 갖는 화학적 증착 챔버
WO2021021537A1 (en) Methods and apparatus for dual channel showerheads
US20180258531A1 (en) Diffuser design for flowable cvd
KR20010052797A (ko) 가스 분배 시스템
WO2021091715A1 (en) High temperature dual channel showerhead
KR100528029B1 (ko) 박막 증착용 샤워헤드
KR0174996B1 (ko) 마주보기 가스흐름 방식의 저압화학기상증착장치
TW202334495A (zh) 基座總成及噴淋頭總成
KR20050008945A (ko) 이중 유로형식의 가스 분사장치
KR20060040011A (ko) 반도체 제조 장치 및 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130130

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20140129

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20150129

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20151230

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20161229

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20180212

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20190207

Year of fee payment: 13

EXPY Expiration of term