KR100613674B1 - 웨이퍼 처리 장치 및 처리 방법 - Google Patents

웨이퍼 처리 장치 및 처리 방법 Download PDF

Info

Publication number
KR100613674B1
KR100613674B1 KR1020017014485A KR20017014485A KR100613674B1 KR 100613674 B1 KR100613674 B1 KR 100613674B1 KR 1020017014485 A KR1020017014485 A KR 1020017014485A KR 20017014485 A KR20017014485 A KR 20017014485A KR 100613674 B1 KR100613674 B1 KR 100613674B1
Authority
KR
South Korea
Prior art keywords
chamber
wafer
conveyance
processing
ultraviolet
Prior art date
Application number
KR1020017014485A
Other languages
English (en)
Other versions
KR20020010657A (ko
Inventor
스기우라마사히토
신리키히로시
기류히데키
아오야마신타로
Original Assignee
동경 엘렉트론 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP13468499A external-priority patent/JP4319287B2/ja
Priority claimed from JP28561299A external-priority patent/JP2001104776A/ja
Application filed by 동경 엘렉트론 주식회사 filed Critical 동경 엘렉트론 주식회사
Publication of KR20020010657A publication Critical patent/KR20020010657A/ko
Application granted granted Critical
Publication of KR100613674B1 publication Critical patent/KR100613674B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/681Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment using optical controlling means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S134/00Cleaning and liquid contact with solids
    • Y10S134/902Semiconductor wafer

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

처리 장치(100)의 진공 반송실(102) 내에는 전처리실(120)이 배치된다. 전처리실(120)은 적재대(130) 상에 적재된 웨이퍼(W)를 회전시켜 광학 센서(134)에 의해 웨이퍼(W)의 위치 맞춤을 행하는 위치 맞춤 기구(128)와, 위치 맞춤과 동시에, 웨이퍼(W) 표면에 전처리실(120)의 천정부에 끼워 맞춰진 UV 투과창(126)을 통해 UV를 조사하여, 웨이퍼(W)에 부착된 탄소를 제거하는 UV 램프(124)를 구비한다. UV는 전처리실(120) 내에 공급되는 처리 가스에도 조사되어, 처리 가스에서 생긴 활성 원자에 의해서도 탄소가 제거된다. 전처리실(120)이 진공 반송실(102) 내에 형성되기 때문에, 처리 장치(100)의 풋프린트를 삭제할 수 있다. 웨이퍼(W)의 위치 맞춤과 오염물 제거 처리를 동시에 행하기 때문에, 수율이 향상된다.

Description

웨이퍼 처리 장치 및 처리 방법{METHOD AND APPARATUS FOR PROCESSING WAFER}
본 발명은 실리콘 웨이퍼 등의 피처리체에 CVD나 에칭 등의 처리를 실시하는 처리 장치 또는 처리 방법에 관한 것으로, 더욱 상세하게는 피처리체 표면에 자외선을 조사하여 처리하는 처리 장치 또는 처리 방법에 관한 것이다.
반도체 장치의 제조 공정에서는 산화 처리 또는 성막 처리 등의 각종 처리를 복수의 진공 처리 장치를 이용하여 반도체 웨이퍼 등의 피처리체(이하, 단순히 「웨이퍼」라 함)에 처리를 실시하고 있다. 최근에는 하나의 장치 내에서 복수의 처리를 실시하는 것이 가능한, 소위 클러스터 장치화된 멀티 챔버형 처리 장치가 제안되어 있다.
이러한 멀티 챔버형 처리 장치는 진공 반송실을 중심으로 하여, 각 처리를 행하는 복수의 진공 처리실 또는 피처리체의 반입 반출을 수행하는 카세트실을 배치한 구성을 채용하고 있다.
진공 반송실에는 웨이퍼를 반송하는 반송 아암 등의 반송 기구와 함께, 웨이퍼의 위치 맞춤 기구가 배치되어 있다. 또한, 반송실 내에는 반송 아암에 의한 반송 영역도 확보하지 않으면 안된다. 그 때문에, 반송실을 중심으로 한 처리 장치의 풋프린트(footprint : 점유 설치 면적)가 커진다고 하는 문제를 안고 있었다. 특 히, 최근에는 웨이퍼의 대형화가 진행되어, 문제는 보다 심각하게 되고 있다.
또한, 처리시에 웨이퍼 표면에 유기물 등의 불순물이 부착하고 있으면 웨이퍼의 표면 처리에 지장을 초래하여, 결함품이 생길 확률이 증대한다. 그 때문에, 웨이퍼 표면에 각종의 처리를 실시하여 웨이퍼 표면을 청정하게 유지할 필요가 생긴다.
그 때문에, 처리전에, 웨이퍼 표면에 자외선을 조사하여 웨이퍼 표면에 부착된 유기물을 분해하는 전처리가 행해진다. 예컨대, 일본국 특허 공개 소58-58726호에는 플라즈마 반응로의 처리부와 언로더(unloader)와의 사이에 자외선 조사부를 설치하여, 반송 아암에 의해 유지된 웨이퍼가 통과할 때마다 웨이퍼 표면에 자외선을 조사하는 구조의 장치가 개시되어 있다.
그런데, 이 장치로는 반송 부분 전체를 하우징으로 덮고, 이 하우징 내에 웨이퍼를 반입한 후의 반송 공정에서 자외선을 조사하는 구조로 되어 있기 때문에, 장치 전체의 구조가 복잡하게 된다고 하는 문제가 있다.
또, 이 하우징에 대하여 웨이퍼를 일일이 출납하는 공정이 특별히 필요하게 되기 때문에, 전체의 공정수가 많아져, 수율(throughput)을 향상시키기가 어렵다는 문제가 있다.
본 발명은 종래의 처리 장치가 갖는 상기 문제점에 감안하여 이루어진 것으로, 본 발명의 목적은 상기 문제점 및 그 밖의 문제점을 해결하는 것이 가능한, 신규한 그리고 개량된 처리 장치를 제공하는 것이다.
상기 과제를 해결하기 위해서, 본 발명의 제1 관점에 따르면, 피처리체를 반송하는 반송 수단을 구비한 반송실과, 상기 반송실의 주위에 배치되어 상기 피처리체에 처리를 실시하는 1 또는 2이상의 진공 처리실을 구비한 웨이퍼 처리 장치로서, 상기 반송실 내에는 상기 피처리체에 자외선을 조사하여 자외선 처리를 실시하는 전처리실이 상기 반송실과 격리되어 배치되는 것을 특징으로 하는 웨이퍼 처리 장치가 제공된다.
본 발명에 따르면, 전처리실이 반송실 내에 배치되어 있기 때문에, 처리 장치의 풋프린트를 감소시킬 수 있다. 또, 종래, 전처리실이 설치되어 있던 반송실의 주위에 별도의 처리실을 배치할 수 있다.
또, 전처리실은 상기 각 처리실과 상기 반송실과의 사이의 반송로의 범위 외에 설치하기 때문에, 반송 수단의 반송 조작의 방해가 되지는 않으며, 또한 반송실 자체의 치수도 변하지 않는다. 또한, 피처리체를 반송실 내의 전처리실에서 처리실로 직접 반송할 수 있기 때문에, 전처리실이 반송실 주위에 배치되어 있는 경우보다도 반송 아암의 반송 거리를 단축할 수 있어, 수율을 향상시킬 수 있다.
또, 전처리실 내에 배치되는 부재를 줄여 전처리실을 소형화하기 위해서는 자외선을 발생시키는 자외선 발생 수단을 전처리실의 외부에 배치하여, 전처리실을 둘러싸는 벽부에 자외선을 전처리실 내에 투과하는 자외선 투과창을 설치하는 것이 바람직하다.
또한, 전처리실에, 자외선에 의해 활성화되는 처리 가스를 전처리실 내에 공급하는 가스 공급 수단을 구비해도 된다. 이러한 경우에는 피처리체에 부착된 오염물을 상기 자외선의 조사에 더하여, 처리 가스로부터 생긴 활성 원자에 의해서도 제거하는 것이 가능하게 되어, 오염물 제거 처리를 확실하게 행할 수 있다.
또, 전처리실에, 피처리체의 위치 맞춤을 행하는 위치 맞춤 수단을 구비하면, 반송실 내에 전처리실과 위치 맞춤 수단을 따로따로 배치할 필요가 없어, 반송실의 소형화에 의해, 처리 장치의 풋프린트를 더욱 작게 할 수 있다. 또, 이러한 구성을 채용하면, 피처리체의 위치 맞춤과 상기 자외선 처리를 동시에 실행하는 것이 가능하게 되어, 수율의 향상을 도모할 수 있다.
또한, 본 발명의 제2 관점에 따르면, 피처리체를 반송하는 반송 수단을 구비한 반송실과, 상기 반송실의 주위에 배치되어 상기 피처리체에 처리를 실시하는 1 또는 2 이상의 처리실을 구비한 웨이퍼 처리 장치로서, 상기 각 처리실과 상기 반송실 사이의 개구부 부근에 자외선 조사 장치가 설치되는 것을 특징으로 하는 웨이퍼 처리 장치가 제공된다.
상기 자외선 조사 장치는 상기 반송실 내의 피처리체 반송로 상측에 설치해도 된다. 또, 상기 자외선 조사 장치는, 상기 각 처리실 내의 피처리체 반송로 상측에 설치된 챔버측 자외선 조사 장치와, 상기 반송실 내의 피처리체 반송로 상측에 설치된 반송실측 자외선 조사 장치로 이루어지도록 해도 된다.
또, 본 발명의 제3 관점에 따른 처리 방법은, 처리실과 반송실 사이에서 피처리체가 상기 반송실에 설치된 반송 수단에 의해 반송되는 동안에 상기 각 처리실과 상기 반송실 사이의 개구부 부근에 설치된 자외선 조사 장치에 의해 상기 피처리체에 자외선을 조사하는 웨이퍼 처리 방법으로서, 상기 반송 수단은 상기 반송실과 상기 처리실 사이에서 상기 피처리체의 반송 동작의 개시에 따라 상기 자외선 처리 장치에 의한 자외선 조사를 온하고, 반송 동작의 종료에 따라 상기 자외선 처리 장치에 의한 자외선 조사를 오프하는 것을 특징으로 한다.
또, 본 발명의 제4 관점에 따른 처리 방법은, 피처리체가 처리실과 반송실 사이에 설치된 개폐 도어를 통과하는 동안에 상기 개구부 부근에 설치된 자외선 조사 장치에 의해 상기 피처리체에 자외선을 조사하는 웨이퍼 처리 방법으로서, 자외선 조사 장치에 의한 자외선 조사의 온/오프가 상기 개폐 도어의 개폐 동작과 동기하여 행해지는 것을 특징으로 한다.
본 발명에서는 피처리체의 반송 경로 상에 자외선 조사 장치를 배치한 구조를 채용하고 있기 때문에, 간단한 구조로 효율적으로 자외선을 조사할 수 있다. 또, 피처리체의 반송을 신속히 행하면서 자외선을 조사할 수 있다.
도 1은 본 발명의 제1의 실시예에 따른 처리 장치를 도시하는 개략적인 평면도이다.
도 2는 도 1에 도시하는 처리 장치의 전처리실을 A-A선에 따른 평면에 있어서 절단한 개략적인 단면도이다.
도 3은 본 발명의 제2 실시예에 따른 처리 장치를 도시하는 개략적인 평면도이다.
도 4는 도 3에 나타내는 처리 장치의 수평 방향의 위치 관계를 도시한 수직 단면도이다.
도 5는 본 실시예에 따른 처리 방법의 흐름을 도시한 흐름도이다.
도 6은 본 실시예에 따른 처리 방법의 각 공정을 도시한 수직 단면도이다.
도 7은 본 실시예에 따른 처리 방법의 각 공정을 도시한 수직 단면도이다.
도 8은 본 실시예에 따른 처리 방법의 각 공정을 도시한 수직 단면도이다.
도 9는 본 실시예에 따른 처리 방법의 각 공정을 도시한 수직 단면도이다.
도 10은 본 실시예에 따른 처리 방법의 각 공정을 도시한 수직 단면도이다.
도 11은 본 실시예에 따른 처리 방법의 각 공정을 도시한 수직 단면도이다.
도 12는 본 실시예에 따른 처리 방법의 각 공정을 도시한 수직 단면도이다.
도 13은 본 실시예에 따른 처리 방법의 각 공정을 도시한 수직 단면도이다.
도 14는 본 실시예에 따른 처리 방법의 각 공정을 도시한 수직 단면도이다.
도 15는 본 실시예에 따른 처리 방법의 각 공정을 도시한 수직 단면도이다.
도 16은 웨이퍼에 대한 전형적인 처리의 흐름을 도시한 흐름도이다.
도 17은 본 발명의 처리 장치의 다른 실시예를 도시한 수직 단면도이다.
이하에, 첨부 도면을 참조하면서 본 발명에 따른 처리 장치를, 멀티 챔버형 처리 장치에 적용한 적합한 실시예에 관해서, 상세히 설명한다.
(1) 제1 실시예
우선, 제1 실시예에 따른 처리 장치(100)의 구성에 관해서 개략 설명한다. 도 1에 도시한 바와 같이, 진공 반송실(102)은 기밀한 반송 용기(104) 내에 형성되어 있다. 진공 반송실(102) 내에는 웨이퍼(W)를 반송하기 위한 반송 아암(106)이 배치되어 있다. 반송 아암(106)은 수평 방향으로 회전 및 상하 이동 가능하게 구성되어 있다. 또한, 진공 반송실(102) 내에는 웨이퍼(W)의 위치 맞춤과 웨이퍼(W) 표면에 부착된 오염물의 제거 처리를 동시에 행하기 위한 전처리실(120)이 배치되어 있다. 또, 전처리실(120)의 상세한 구성에 관하여는 후술한다.
또, 진공 반송실(102)의 주위에는 웨이퍼(W)에 각종 처리를 실시하기 위한 제1∼제3 진공 처리실(108, 110, 112)과, 웨이퍼(W)를 냉각하는 냉각실(114)과, 복수의 웨이퍼(W)를 수용하는 도시되지 않은 카세트가 배치되는 제1 및 제2 카세트실(116, 118)이, 게이트 밸브(G1∼G6)를 통해 접속되어 있다. 제1 진공 처리실(108)에서는 예컨대 O2나 NO를 처리 가스로서 사용하여, 웨이퍼(W)를 600℃∼700℃ 정도로 가열함으로써, 웨이퍼(W)에 산화 처리나 질화 처리가 실시되어 게이트 산화막이 형성된다. 제2 진공 처리실(110)에서는 예컨대 Ta(OC2H5)5를 처리 가스로서 사용하여, 웨이퍼(W)를 480℃ 정도로 가열함으로써, 웨이퍼(W)에 Ta2O5 막이 형성된다. 제3 진공 처리실(112)에서는 예컨대 WF6 및 NH3를 처리 가스로서 사용하여, 웨이퍼(W)를 400 ℃ 정도로 가열함으로써, 웨이퍼(W)에 WN 막이 형성되어, 게이트 전극이 형성된다.
본 실시예에 관한 처리 장치(100)는 이상과 같이 구성되어 있다. 이어서, 전처리실(120)의 구성에 관해서 전술한다.
도 1에 도시한 바와 같이, 전처리실(120)은 웨이퍼(W)를 유지한 수축시의 반송 아암(106)의 반송 동작을 방해하지 않는 위치, 예컨대 종래 장치에서 위치 맞춤 기구가 배치되고 있었던 제1 카세트실(116)의 게이트 밸브(G5)와 제2 카세트실(118)의 게이트 밸브(G6)와의 사이의 진공 반송실(102) 내부 측벽 부근에 배치되어 있다. 상기 전처리실(120)은 도 2에 도시한 바와 같이, 예컨대 표면이 양극 산화 처리된 알루미늄제의 처리 용기(122)와 반송 용기(104)의 벽부에 의해 둘러싸여, 진공 반송실(102)로부터 기밀하게 분리되어 있다. 또한, 전처리실(120)의 측벽에는 전처리실(120) 내부와 진공 반송실(102) 내부를 개폐 가능하게 기밀하게 격리하는 게이트 밸브(G7)가 설치되어 있다. 이러한 구성에 의해, 게이트 밸브(G7) 를 개방하면, 반송 아암(106)에 의해 진공 반송실(102) 내부와 전처리실(120) 내부와의 사이에서 웨이퍼(W)를 반입 반출할 수 있다. 또한, 게이트 밸브(G7)를 폐쇄하면, 전처리실(120)이 밀폐되기 때문에, 전처리시에 웨이퍼(W)로부터 제거된 오염물을 포함하는 가스가 진공 반송실(102) 내로 흘러들어, 진공 반송실(102) 내부가 오염되는 것을 방지할 수 있다.
또, 전처리실(120) 내에는 웨이퍼(W)의 위치 맞춤을 행하는 위치 맞춤 수단으로서의 위치 맞춤 기구(128)가 설치된다. 위치 맞춤 기구(128)는 적재대(130)와 광학 센서(134)로 구성되어 있다. 적재대(130)는 적재된 웨이퍼(W)를 수평 방향으로 회전시키기 위한 것으로, 적재대(130)에 구동축(131)을 통해 접속된 구동 장치(M132)에 의해 작동한다. 또한, 적재대(130)는 구동 장치(M132)의 구동에 의해 상하 이동 가능하게 구성되어 있다. 이러한 구성에 의해, 적재대(130) 상에 적재된 웨이퍼(W)와, 후술하는 UV 램프(124)와의 거리를 적절하게 조정할 수 있다. 또, 전처리실(120) 내의 구동축(131)은 신축이 자유자재인 기밀 부재로 이루어지는 벨로즈(133)에 의해 둘러싸여 있다. 또한, 광학 센서(134)는 웨이퍼(W)에 형성된 오리엔테이션 플랫부(Wa)의 위치를 검출한다. 이러한 정보에 기초하여 위치 맞춤을 행하면, 순차 반송되는 각 웨이퍼(W)의 위치를 일정 방향으로 가지런하게 할 수 있다.
또, 전처리실(120)의 상측의 반송 용기(104) 외부에는 예컨대 172 nm∼360 nm의 자외선(이하, 「UV」라 함)을 방출하는 UV 발생 수단, 예컨대 저압 수은 램프나 무전극 램프 등의 UV 램프(124)가 배치되어 있다. 또, 전처리실(120)의 천장부를 구성하는 반송 용기(104)의 상부벽에는 UV 투과창(126)이 끼워 맞춰져 있다. UV 투과창(126)은 UV 램프(124)로부터 발생된 UV를 전처리실(120) 내에 충분히 투과 가능한 재료, 예컨대 합성 석영으로 이루어져, 웨이퍼(W) 전면에 충분히 UV를 조사할 수 있는 크기로 설정되어 있다. 이러한 구성에 의해, UV 램프(124)로부터 발생한 UV가, UV 투과창(126)을 통해 적재대(130) 상에 적재된 웨이퍼(W)의 상면에 균일하게 조사되고 UV의 에너지에 의해, 웨이퍼(W) 표면에 부착되어 있는 오염물, 예컨대 탄소 등의 유기물과 웨이퍼(W) 표면과의 화학 결합이 절단되어, 오염물이 제거된다.
또, 전처리실(120) 내의 UV 투과창(126)과 적재대(130)와의 사이에는, 복수의 가스 토출 구멍(136a)이 형성된 가스 공급부(136)가 배치되어 있다. 또, 가스 공급부(136)는 반송 아암(106)의 반송 동작을 방해하지 않는 위치에 배치되어 있는 것은 물론이다. 또한, 가스 공급부(136)는 웨이퍼(W)에 대한 UV의 조사를 방해하지 않고, 또한 처리 가스에도 UV를 조사할 수 있도록, 예컨대 UV 투과창(126)과 동일한 합성 석영으로 형성되어 있다. 이러한 구성에 의해, 가스 공급원(138)으로부터 공급되는 처리 가스, 예컨대 O2나 O3나 N2나 Cl2 등이 유량 조정 밸브(140)에서 소정 유량으로 조정한 후, 개폐 밸브(142)를 통해, 가스 토출 구멍(136a)에서 웨이퍼(W)의 전면에 균일하게 토출된다. 따라서, 상기 UV의 조사와 동시에 처리 가스를 공급하면, 처리 가스에 UV가 조사되어 활성 원자가 생겨, 그 활성 원자에 의해 웨이퍼(W) 표면에 부착된 오염물을 더욱 확실하게 제거할 수 있다. 또한, 전술한 바와 같이, UV 램프(124)가 전처리실(120)의 외부에 설치되기 때문에, 상기 활성 원자에 의한 UV 램프(124)의 손상을 방지할 수 있다.
또, 전처리실(120) 내의 가스는 전처리실(120)의 하부에 접속된 배기관(144)을 통해 진공 펌프(146)에 의해 배기되기 때문에, 전처리시에 생긴 오염물을 포함하는 가스를 신속하고 확실하게 배기할 수 있다. 그 결과, 게이트 밸브(G7)의 개방시에, 상기 오염물을 포함하는 가스에 의해서 진공 반송실(102) 내부가 오염되는 것을 방지할 수 있다. 또한, 전처리실(120) 내의 압력은 배기관(144)에 개재된 배기량 조정 밸브(148)를 조정함으로써, 적절하게 소정치로 설정된다. 또한, 전처리실(120) 내의 압력을, 진공 반송실(102) 내보다도 항상 낮게 설정해 두면, 게이트 밸브(G7) 개방시에도, 전처리실(120) 내의 가스가 진공 반송실(102) 내로 유출되는 일이 없다. 그 결과, 진공 반송실(102) 내의 오염을 확실하게 방지할 수 있다.
이어서, 도 1 및 도 2를 참조하면서, 웨이퍼(W)에 게이트 산화막 및 게이트전극을 형성하는 경우를 예로 들어, 전술한 바와 같이 구성된 처리 장치(100)의 동작에 관해서 설명한다.
우선, 반송 아암(106)에 의해, 제1 및 제2 카세트실(116, 118) 내의 어느 한쪽으로부터 진공 반송실(102) 내로 반송된 웨이퍼(W)를, 게이트 밸브(G7)가 개방된 전처리실(120) 내의 적재대(130) 상에 적재한 후, 게이트 밸브(G7)를 폐쇄한다. 계속해서, 웨이퍼(W)를 회전시켜 웨이퍼(W)의 위치 맞춤을 행한다. 동시에, 가스 토출 구멍(136a)으로부터 웨이퍼(W) 상면으로 처리 가스를 분무하는 동시에, UV 램프(124)로부터 발생된 UV를 처리 가스 및 웨이퍼(W) 상면에 조사하여, 처리 가스 로부터 생성된 활성 원자 및 UV의 에너지에 의해, 웨이퍼(W) 표면에 부착된 탄소를 제거한다.
이어서, 게이트 밸브(G7)를 개방하여, 반송 아암(106)에 의해 위치 맞춤 및 오염물 제거 처리된 웨이퍼(W)를, 전처리실(120) 내로부터 다시 진공 반송실(102) 내로 반송 한 후, 제1 진공 반송실(108) 내로 반입하여, 전술한 바와 같이 웨이퍼(W)에 산화 처리를 하여 게이트 산화막을 형성한다. 이러한 처리는 웨이퍼(W) 표면에 부착된 오염물에 의해 특히 영향을 받는 프로세스이기 때문에, 본 실시예와 같이 전처리실(120)을 제1 진공 처리실(108)로 웨이퍼(W)를 신속히 반송할 수 있는 진공 반송실(102) 내에 배치하면, 전처리가 끝난 웨이퍼(W)에 오염물이 부착하는 것을 방지할 수 있어, 상기 원하는 산화 처리를 웨이퍼(W)에 할 수 있다.
그 후, 게이트 산화막이 형성된 웨이퍼(W)는 진공 반송실(102) 내를 통해 순차적으로 제2 및 제3 진공 처리실(110, 112) 내로 반송되고 웨이퍼(W)에 Ta2O5막 및 WN막이 형성되어, 게이트 전극이 형성된다. 그리고, 게이트 전극이 형성된 웨이퍼(W)는 냉각실(114) 내에서 소정 온도까지 냉각된 후, 상기 웨이퍼(W)가 수용되어 있던 제1 또는 제2 카세트실(116, 118) 내로 다시 반송된다.
본 실시예는 이상과 같이 구성되어 있고, 진공 반송실(102) 내에 전처리실(120)을 수용했기 때문에, 종래, 전처리실이 설치된 곳에, 예컨대 Ta2O5 막을 성막하는 제2 진공 처리실(110)을 설치할 수 있어, 처리 장치(100)에서의 처리 공정수를 늘릴 수 있다. 또, 웨이퍼(W)의 위치 맞춤과 오염물 제거 처리를 동시에 행할 수 있기 때문에, 전처리 시간을 단축할 수 있다.
또한, 상기 실시예에 있어서, 웨이퍼의 위치 맞춤과 오염물 제거 처리를 동시에 행하는 전처리실을 예로 들어 설명했지만, 본 발명은 이러한 구성에 한정되는 것이 아니라, 오염물 제거 처리를 하는 전처리실과, 위치 맞춤 수단을 따로따로 반송실 내에 배치하더라도, 본 발명을 실시할 수 있다.
또, 상기 실시예에 있어서, UV 램프를 반송 용기의 외부에 배치하는 구성을 예로 들어 설명했지만, 본 발명은 이러한 구성에 한정되는 것이 아니라, 전처리실 내에 배치하더라도, 본 발명을 실시할 수 있다.
또한, 상기 실시예에 있어서, 진공 반송실의 주위에 6개의 진공 처리실 등을 배치하는 구성을 예로 들어 설명했지만, 본 발명은 이러한 구성에 한정되는 것이 아니라, 5개 이하 혹은 7개 이상의 진공 처리실을 반송실의 주위에 배치하더라도, 본 발명을 실시할 수 있다.
또한, 상기 실시예에 있어서, 스칼라형(싱글) 아암으로 이루어지는 반송 아암을 작용하는 구성을 예로 들어 설명했지만, 본 발명은 이러한 구성에 한정되는 것이 아니라, 예컨대 프로그레그 아암이나, 복수 장의 피처리체를 동시에 반송할 수 있는 배치식 아암을 채용하더라도, 본 발명을 실시할 수 있다.
(2) 제2 실시예
이어서, 본 발명의 제2 실시예에 관해 설명한다. 도 3은 본 실시예에 따른 클러스터 툴 장치를 도시하는 개략 구성도이다. 이 클러스터 툴 장치(202)는 피처 리체로서의 웨이퍼(W)에 대하여 성막 처리, 확산 처리, 에칭 처리 등의 각종 처리를 하는 처리 시스템(204)과, 이 처리 시스템(204)에 대하여 웨이퍼(W)를 반입, 반출시키는 반송 시스템(206)에 의해 주로 구성된다.
처리 시스템(204)은 탈기(脫氣) 가능하게 된 반송실(208)과, 게이트 밸브(210A∼210D)를 통해 연결된 4개의 처리실(212A∼212D)로 이루어져, 각 챔버(212A∼212D)에서 동종 혹은 이종의 열처리를 웨이퍼(W)에 대하여 실시하도록 되어 있다. 각 챔버(212A∼212D) 내에는 웨이퍼(W)를 적재하기 위한 서셉터(214A∼214D)가 각각 설치된다. 또한 반송실(208) 내에는 굽힘/신장 및 선회 가능하게 구성된 이동 탑재 아암(216)이 설치되고, 각 처리실(212A∼212D) 사이나 후술하는 로드록실 사이와 웨이퍼(W)의 교환을 행하도록 되어 있다.
한편, 반송 시스템(206)은 캐리어 카셋트를 적재하는 카세트 스테이지(218)와 웨이퍼(W)를 반송하여 교환을 행하기 위한 반송 아암(220)을 이동시키는 반송 스테이지로 이루어진다. 카세트 스테이지(218)에는 용기 적재대(224)가 설치되고, 여기에 복수, 도시한 예에 있어서는 최대 4개의 캐리어 카세트(226A∼226D)를 적재할 수 있도록 되어 있다. 각 캐리어 카세트(226A∼226D)에는 최대 예컨대 25장의 웨이퍼(W)를 등간격으로 다단으로 적재하여 수용할 수 있게 되어 있다.
반송 스테이지(222)에는 그 중심부를 길이 방향을 따라서 연장되는 안내 레일(228)이 설치되어 있고, 이 안내 레일(228)에 상기 반송 아암(220)이 슬라이드 이동 가능하게 지지되어 있다. 이 안내 레일(228)에는 이동 기구로서 예컨대 볼 나사(230)가 병설되어 있고, 이 볼 나사(230)에 상기 반송 아암(220)의 기초부(234) 가 끼워 장착되어 있다. 따라서, 이 볼 나사(230)의 단부에 설치한 구동 모터(232)를 회전 구동함으로써, 반송 아암(220)은 안내 레일(228)을 따라서 이동하게 된다.
또, 반송 스테이지(222)의 타단에는 웨이퍼(W)의 위치 결정을 하는 방향 위치 결정 장치로서의 오리엔터(236)가 설치되고, 또한, 반송 스테이지(222)의 도중에는 상기 반송실(208)과의 사이를 연결하기 위해서 탈기 가능하게 이루어진 2개의 로드록실(238A, 238B)이 설치된다. 각 로드록실(238 A, 238B) 내에는 웨이퍼(W)를 적재하는 피반송체 적재대(240A, 240B)가 설치되는 동시에, 각 로드록실(238A, 238B)의 전후에는 반송실(208) 혹은 반송 스테이지(222)에 연통하기 위한 게이트 밸브(242A, 242B 및 244A, 244B)가 각각 설치된다.
도 4는 클러스터 툴 장치(202)의 수평 방향의 위치 관계를 모식적으로 도시한 수직 단면도이다. 도 4에 도시한 바와 같이, 이 클러스터 툴 장치(202)에서는 도면에서 우단에 카세트 스테이지(218), 반송 아암(220), 로드록실(238)로 이루어지는 반송 시스템(206)이 배치되어 있고, 그 좌측에 반송실(208)이 배치되어 있다. 또한 이 반송실(208)에 인접하여 복수의 처리실(212A∼212D)이 배치되어 있지만, 도 4에서는 간략화를 위해, 반송실(208)의 좌측에 하나의 처리실(212A)만이 반송실(208)에 대해 로드록실(238)의 반대측에 배치된 것으로 하여 나타내고 있다.
도 4에 도시한 바와 같이, 처리실(212A)은 밀폐 가능한 상자형의 하우징(280)으로 구성되어 있고, 바닥부의 중앙 부근에는 웨이퍼(W)를 적재하여 처리를 실시하기 위한 서셉터(214A)가 배치되어 있다. 하우징(280) 중, 인접하는 반송실(208)에 면하는 측벽(281)의 중앙 부근에는 개구부(282)가 설치되어 있고, 이 개구부(282)를 통해 인접하는 반송실(208)과의 사이에서 웨이퍼(W)의 출납을 할 수 있게 되어 있다.
이 개구부(282)는 게이트 밸브(210)에 의해 개폐되도록 되어 있다. 또한, 하우징(280) 상부에는 흡기구(283)가 설치되어 있고, 이 흡기구(283)는 도시하지 않은 진공 펌프와 접속되어 처리실(212)을 진공을 공급할 수 있게 되어 있다.
한편, 개구부(282)와 서셉터(214)와의 사이에서 웨이퍼(W)가 반송되는 반송로의 바로 위에 맞닿는 부분에는 자외선 조사 장치(300)가 배치되어 있다. 이 자외선 조사 장치(300)는 「엑시마 램프」라 불리는, 가늘고 긴 원주형의 자외선 램프와 그 상측에 배치된 반사판으로 구성되어 있어, 자외선 램프로부터 조사된 자외선을 반송중인 웨이퍼(W) 표면에 조사하도록 되어 있다.
도 4의 중앙에 그려진 반송실(208)은 밀폐 가능한 상자형의 하우징(290)으로 구성되어 있고, 바닥부 중앙에 이동 적재 기구(291)가 배치되어 있다. 하우징(290)의 상부에는 가스 공급구(293)가 설치되어 있고, 이 가스 공급구(293)에는 도시하지 않은 질소 가스 공급계가 접속되어 있고, 이 가스 공급구(293)로부터 반송실(208) 내에 질소 가스가 공급되도록 되어 있다. 또, 하우징(290) 바닥부에는 흡기구(294)가 배치되어 있고, 이 흡기구(294)는 도시하지 않은 진공 펌프와 접속되어 반송실(208) 내를 진공 상태로 할 수 있게 되어 있다.
한편, 개구부(282)와 이동 적재 기구(291)와의 사이에서 웨이퍼(W)가 반송되는 반송로의 바로 위에 맞닿는 부분에는 자외선 조사 장치(301)가 배치되어 있다. 이 자외선 조사 장치(301)는 가늘고 긴 원주형의 자외선 램프(엑시마 램프)와 그 상측에 배치된 반사판으로 구성되어 있어, 자외선 램프로부터 조사된 자외선을 반송중인 웨이퍼(W) 표면에 반사시키게 되고 있다.
하우징(290) 중, 인접하는 로드록실(238)에 면하는 측벽(292)의 중앙 부근에는 개구부(295)가 설치되어 있고, 이 개구부(295)를 통해 인접하는 로드록실(238)과의 사이에서 웨이퍼(W)의 출납을 할 수 있게 되어 있다. 이 개구부(295)는 승강 가능한 게이트 밸브(242)에 의해 개폐되도록 되어 있다.
반송실(208)의 도면에서 우측에 배치된 로드록실(238)은 상자형 하우징(310)으로 구성되어 있고, 바닥부 중앙에 유지대(311)가 배치되어 있다. 하우징(310)의 상부에는 흡기구(312)가 설치되어 있고, 이 흡기구(312)에는 도시하지 않은 진공 펌프와 접속되어 로드록실(238) 내를 진공으로 할 수 있게 되어 있다.
하우징(310) 중, 인접하는 반송 아암(220)에 면하는 측벽(313)의 중앙 부근에는 개구부(314)가 설치되어 있고, 이 개구부(314)를 통해 인접하는 반송 기구(220)와의 사이에서 웨이퍼(W)의 출납을 할 수 있게 되어 있다. 이 개구부(314)는 승강 가능한 게이트 밸브(244)에 의해 개폐되도록 되어 있다.
또한 로드록실(238)의 도면에서 우측에는 반송 아암(220)이 배치되고 또한 도면에서 그 우측에는 카세트 스테이지(218)가 배치되어 있다.
이어서, 이 클러스터 툴 장치(202)를 운전하는 순서에 관해서 설명한다.
도 5는 본 실시예에 따른 처리 방법의 흐름을 도시한 흐름도이다.
클러스터 툴 장치(202)를 기동하면, 도시하지 않은 반송 로보트가 미처리의 웨이퍼(W)를 수용한 캐리어 카세트(226)를 카세트 스테이지(218)의 위에 적재한다.
카세트 스테이지(218) 상에 캐리어 카세트(226)가 적재되면, 이 캐리어 카세트(226) 내에 반송 아암(220)이 액세스하여, 캐리어 카세트(226) 내에서 미처리의 웨이퍼(W)를 꺼낸다(단계 1). 반송 아암(220)은 캐리어 카세트(226)로부터 꺼낸 웨이퍼(W)를 로드록실(238) 내로 반송한다(단계 2). 로드록실(238) 내에 웨이퍼(W)가 수용되면, 게이트 밸브(244)가 폐쇄되고, 탈기가 시작되어 후속의 반송실(208)이나 처리실(212)에 가까운 환경으로 유지된다. 로드록실(238) 내에서의 환경 조정이 끝나면, 게이트 밸브(242)가 열려 로드록실(238)과 반송실(208)과의 사이가 연통되어, 반송실(208)측에서 이동 탑재 아암(216)이 로드록실(238) 내로 액세스하여, 로드록실(238) 내의 웨이퍼(W)를 유지하여, 로드록실(238) 내에서 반송실(208) 내로 반입한다(단계 3).
반송실(208) 내에 웨이퍼(W)가 반입되면 게이트 밸브(242)가 닫히고, 반송실(208) 내부는 밀폐된다. 그와 동시에 이동 적재 아암(216)을 구동하는 이동 탑재 기구(291)가 작동하여 유지하고 있는 웨이퍼(W)를 처리실(212A) 쪽을 향해서 스탠바이한다(도 6). 이어서, 게이트 밸브(210)가 열리는 동시에 이동 탑재 아암(216)이 처리실(212A)의 내부를 향해서 액세스를 시작한다(단계 4). 이 때, 이동 탑재 아암(216)의 액세스 동작과 자외선 조사 장치(301A, 300A)의 온·오프 동작이 동기하고 있어, 이동 적재 아암(216) 선단에 유지된 웨이퍼(W)가 자외선 조사 장치(301A, 300A)의 바로 아래를 통과할 때에 마침 자외선 조사 장치로부터의 자외선이 웨이퍼(W) 표면에 조사되도록 되어 있다. 그 때문에, 도 7 및 도 8에 도시한 바와 같이, 웨이퍼(W)가 이동 적재 아암(216)에 유지된 상태에서 반송실(208)로부 터 처리실(212A) 내로 이동할 때의 자외선 조사 장치(301A)의 바로 아래를 통과할 때(단계 5)와, 자외선 조사 장치(300A)의 바로 아래를 통과할 때(단계 6)의 2번, 연속적으로 자외선의 조사를 받는다.
웨이퍼(W)가 자외선 조사 장치(301A, 300A)의 바로 아래 부근을 통과한 후, 또한 처리실(212A)의 안길이 측까지 이동하여 서셉터(214)의 바로 위 부근까지 운반되어 오면, 서셉터(214)에서 리프트 핀(P, P)이 상승하여 이동 적재 아암(216)으로부터 미처리의 웨이퍼(W)를 수취한다(도 9). 이동 적재 아암(216)으로부터 웨이퍼(W)를 수취하면, 이동 적재 아암(216)이 인입된 후, 리프트 핀(P, P)이 하강하여 웨이퍼(W)를 서셉터(214)상에 적재한다(단계 8).
한편, 웨이퍼(W)를 리프트 핀(P, P)으로 인도한 이동 탑재 아암(216)은 관절을 구부리면서 이동 탑재 기구(291)에 지지된 축의 주위로 회전하여 반송실(208) 내에 수용되는 방향으로 이동한다(도 10/단계 9). 이 때 이동 탑재 아암(216) 선단은 다시 자외선 조사 장치(300A, 301A)의 바로 아래를 통과하지만, 웨이퍼(W)를 유지하지 않는 상태에서 자외선 조사 장치(300A, 301A)의 바로 아래를 통과할 때는 자외선 조사 장치(300A, 301A)의 전원은 들어가지 않도록 프로그램해 놓기 때문에, 이 타이밍에는 자외선 조사 장치(300A, 301A)에 의한 자외선의 조사는 행해지지 않는다. 또, 상기 이동 적재 아암(216)이 처리실(212A) 내에 액세스하고 있는 동안에는 게이트 밸브(210)는 하강하여 개구부(282)에 의해 반송실(208)과 처리실(212A) 사이는 연통된 상태로 되어 있지만, 상기 일련의 작업중 반송실(208) 내에는 질소 가스 등의 불활성 가스가 공급되는 한편, 처리실(212A) 내에는 진공계에 의해 부압 이 작용하고 있기 때문에, 항상 반송실(208) 측에서 처리실(212A) 내부로 향하는 기체의 흐름이 형성되기 때문에 먼지나 티끌, 불순물 기타 오염물은 반송실(208) 측에서 처리실(212A) 측으로 흐르고, 결과적으로 반송실(208)과 처리실(212A) 내부는 항상 깨끗한 상태로 유지된다.
이동 적재 아암(216)의 전체가 반송실(208) 내에 수용되면 게이트 밸브(210)가 상승하여 개구부(282)를 폐쇄하여, 처리실(212A) 내부를 밀폐한다(도 11/단계 10).
이 처리실(212A) 내를 밀폐한 상태에서, 필요한 처리 환경, 예컨대, 탈기하거나, 반응성 가스로 처리실(212A) 내부를 채우는 것 등에 의해 소기의 조건을 조정한 후에 서셉터(214) 상에 적재된 웨이퍼(W)에 처리를 실시한다(도 11/단계 11).
처리실(212A) 내에서 웨이퍼(W)에 소정의 처리, 예컨대 CVD법에 의한 자연 산화막 제거 처리가 실시되고, 그 처리가 완료되면, 다시 게이트 밸브(210)가 하강하여 개구부(282)를 해방하는 동시에 이동 적재 아암(216)이 처리실(212A) 내에 액세스한다. 처리실(212A) 내에서는 리프트 핀(P, P)이 상승하여 처리가 완료된 웨이퍼(W)를 들어올린다. 이 웨이퍼(W)의 아래쪽에 이동 적재 아암(216)의 선단이 들어가, 이 상태에서 리프트 핀(P, P)이 하강함으로써 리프트 핀(P, P)에서 이동 적재 아암(216)측으로 웨이퍼(W)가 인도된다(도 12/단계 12∼15).
처리후의 웨이퍼(W)를 수취한 이동 탑재 아암(216)은 관절을 구부리면서 이동 적재 기구(291)에 지지된 회전축의 주위로 회전하여 처리실(212A) 측에서 반송실(208) 측으로 이동을 시작한다(단계 16).
처리전의 웨이퍼(W) 반입시와 마찬가지로, 이동 탑재 아암(216) 선단에 유지된 웨이퍼(W)가 자외선 조사 장치(300A, 301A)의 바로 아래를 통과하고자 하면, 이 이동 적재 아암(216)의 움직임과 자외선 조사 장치(300A, 301A)의 온·오프는 연동하고 있기 때문에, 마침 웨이퍼(W)가 통과할 때에 자외선 조사 장치(300A, 301A)의 바로 아래 부근을 통과하는 타이밍에 자외선 조사 장치(300A, 301A)로부터의 자외선이 통과하는 웨이퍼(W)에 조사된다. 그 때문에, 처리후의 웨이퍼(W)가 처리실(212A) 측에서 반송실(208) 측으로 이동할 때에 처리후의 웨이퍼(W)에 대하여 2번 연속적으로 자외선이 조사된다(도 13, 도 14/단계 17∼19).
웨이퍼(W)가 자외선 조사 장치(301A)의 바로 아래를 통과한 후, 이동 탑재 아암(216)이 완전히 반송실(208) 내로 수용되면, 게이트 밸브(210)가 상승하여 개구부(282)를 닫아, 하나의 처리실(212A)에서의 처리가 종료된다(단계 20).
하나의 처리실(212A)에서의 처리가 종료되면 이동 탑재 기구(291)가 작동하여, 그 위에 지지하고 있는 이동 적재 아암(216) 전체를 소정 각도 회전시켜, 다음 처리를 실시하기 위한 처리실, 예컨대 처리실(212B)에 대향하는 위치까지 회전한다(단계 21).
도 16은 웨이퍼(W)에 대한 전형적인 처리의 흐름을 도시한 흐름도이다.
도 16에 도시한 바와 같이, 처리실(212B)에 관해서도 상기와 같은 식으로, 반송실(208)로부터 처리실(212B) 내에 처리전의 웨이퍼(W)를 반입할 때와, 처리실(212B)에 의한 처리, 예컨대 게이트 산화막 처리후의 웨이퍼(W)를 반송실(208) 측으로 반출할 때의 2번, 자외선 조사 장치(101B, 100B)의 바로 아래 를 통과할 때에 자외선 조사를 받는다. 그 때문에, 처리실(212B)에서 웨이퍼(W)를 출납할 때에 도합 4회, 자외선의 조사를 받는다.
이하 마찬가지로, 처리실(212C, 212D)에서 후속의 처리, 예컨대, 폴리실리콘제 막 처리에 관하여도 각각 4회씩 자외선 조사를 받는다. 이와 같이 하여 일련의 처리가 완료되면, 반송실(208)에서 로드록실(238)을 경유하여 반송 아암(220)에 의해 처리후의 웨이퍼(W)가 반송되어 캐리어 카세트(226) 내에 수용된다.
이상 전술한 바와 같이, 본 실시예에 따른 처리 방법에서는 반송실(208)과 각 처리실(22)을 접속하는 각 게이트 밸브 부근에 자외선 조사 장치(300, 301)를 배치하여, 이들 자외선 조사 장치(300, 301)의 온/오프와 이동 적재 아암(216)의 동작을 동기시켜, 이동 탑재 아암(216)상에 웨이퍼(W)가 유지된 상태에서 자외선 조사 장치(300, 301)의 바로 아래의 위치를 통과할 때마다 웨이퍼(W) 표면에 자외선이 조사되는 식으로 구성으로 했기 때문에, 웨이퍼(W)의 반송 속도를 손상하지 않고서 효율적으로 자외선 조사에 의한 웨이퍼(W)의 표면 청정화 처리를 실시할 수 있다.
또한, 본 실시예의 기재는 본 발명의 범위를 한정하는 것이 아니다. 예컨대, 상기 실시예에서는 반송실(208)과 각 처리실(212A∼12D)과의 연결부에서, 반송실(208) 측과 각 처리실(212A∼12D) 측과의 양방에 자외선 조사 장치(101, 100)를 1기씩 배치했지만, 반송실(208) 측에만 배치해도 되고, 각 처리실측에만 배치해도 된다.
또한, 상기 실시예에서는 자외선 조사 장치(300, 301)의 전원 온/오프 동작 과 이동 탑재 아암의 동작을 동기시킴으로써 웨이퍼(W)가 자외선 조사 장치(300, 301)의 바로 아래를 통과할 때에 마침 웨이퍼(W) 표면에 자외선이 조사되는 구성으로 했지만, 반송실과 각 처리실과의 사이의 연통을 개폐하는 게이트 밸브의 동작과 자외선 조사 장치의 전원 온/오프 동작을 동기시킴으로써 웨이퍼(W)가 자외선 조사 장치(300, 301)의 바로 아래를 통과할 때에 때마침 웨이퍼(W) 표면에 자외선이 조사되는 구성으로 해도 된다.
또한 도 17에 도시한 바와 같이, 자외선 조사 장치(300)를 게이트 밸브(210)의 바로 위의 위치에 배치하는 것도 가능하다.
본 발명은 반도체 장치 제조 공정에 적용 가능하고, 특히 실리콘 웨이퍼 등의 피처리체에 CVD나 에칭 등의 처리를 실시하는 처리 장치 또는 처리 방법, 더욱 상세하게는 피처리체 표면에 자외선을 조사하여 처리하는 처리 장치 또는 처리 방법에 적용할 수 있다.

Claims (11)

  1. 피처리체를 반송하는 반송 수단을 구비한 반송실과, 상기 반송실의 주위에 배치되어 상기 피처리체에 처리를 실시하는 1 또는 2이상의 진공 처리실을 구비한 웨이퍼 처리 장치로서,
    상기 반송실 내에는 상기 피처리체에 자외선을 조사하여 자외선 처리를 실시하는 전처리실이 상기 반송실로부터 격리되어 배치되는 것을 특징으로 하는 웨이퍼 처리 장치.
  2. 제1항에 있어서, 상기 전처리실은 상기 각 처리실과 상기 반송실과의 사이의 반송로의 범위 밖에 설치되는 것을 특징으로 하는 웨이퍼 처리 장치.
  3. 제1항에 있어서, 상기 전처리실의 벽부의 일부에는 자외선 투과창이 설치되고, 상기 전처리실의 외부에 배치된 자외선 발생 수단에 의해 발생된 자외선을 상기 전처리실 내에 도입할 수 있는 것을 특징으로 하는 웨이퍼 처리 장치.
  4. 제1항에 있어서, 상기 전처리실은 상기 자외선에 의해 활성화되는 처리 가스를 상기 전처리실 내에 공급하는 가스 공급 수단을 구비하는 것을 특징으로 하는 웨이퍼 처리 장치.
  5. 제1항에 있어서, 상기 전처리실은 상기 피처리체의 위치 맞춤을 행하는 위치 맞춤 수단을 구비하는 것을 특징으로 하는 웨이퍼 처리 장치.
  6. 피처리체를 반송하는 반송 수단을 구비한 반송실과, 상기 반송실의 주위에 배치되어 상기 피처리체에 처리를 실시하는 1 또는 2 이상의 처리실을 구비한 웨이퍼 처리 장치로서,
    상기 각 처리실과 상기 반송실과의 사이의 개구부 부근에 자외선 조사 장치가 설치되는 것을 특징으로 하는 웨이퍼 처리 장치.
  7. 제6항에 있어서, 상기 자외선 조사 장치는 상기 반송실 내의 피처리체 반송로 상측에 설치되는 것을 특징으로 하는 웨이퍼 처리 장치.
  8. 제6항에 있어서, 상기 자외선 조사 장치는 상기 각 처리실 내의 피처리체 반송로 상측에 배치된 챔버측 자외선 조사 장치와, 상기 반송실 내의 피처리체 반송로 상측에 배치된 반송실측 자외선 조사 장치로 이루어지는 것을 특징으로 하는 웨이퍼 처리 장치.
  9. 처리실과 반송실과의 사이를 피처리체가 상기 반송실에 설치된 반송 수단에 의해 반송되는 동안에 상기 각 처리실과 상기 반송실과의 사이의 개구부 부근에 설치된 자외선 조사 장치에 의해 상기 피처리체에 자외선을 조사하는 웨이퍼 처리 방법으로서,
    상기 반송 수단은, 상기 반송실과 상기 처리실과의 사이에서, 상기 피처리체의 반송 동작의 개시에 응하여 상기 자외선 처리 장치에 의한 자외선 조사를 온(on)하고, 반송 동작의 종료에 응하여 상기 자외선 처리 장치에 의한 자외선 조사를 오프(off)하는 것을 특징으로 하는 웨이퍼 처리 방법.
  10. 처리실과 반송실과의 사이의 개구부에 설치된 개폐 도어를 피처리체가 통과하는 동안에 상기 개구부 부근에 설치된 자외선 조사 장치에 의해 상기 피처리체에 자외선을 조사하는 웨이퍼 처리 방법으로서,
    자외선 조사 장치에 의한 자외선 조사의 온(on) 또는 오프(off)가 상기 개폐 도어의 개폐 동작과 동기하여 행해지는 것을 특징으로 하는 웨이퍼 처리 방법.
  11. 제6항에 있어서, 상기 자외선 조사 장치는 상기 각 처리실 내의 피처리체 반송로의 상측에 설치되는 것을 특징으로 하는 웨이퍼 처리 장치.
KR1020017014485A 1999-05-14 2000-05-11 웨이퍼 처리 장치 및 처리 방법 KR100613674B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JPJP-P-1999-00134684 1999-05-14
JP13468499A JP4319287B2 (ja) 1999-05-14 1999-05-14 処理装置
JP28561299A JP2001104776A (ja) 1999-10-06 1999-10-06 処理装置及び処理方法
JPJP-P-1999-00285612 1999-10-06

Publications (2)

Publication Number Publication Date
KR20020010657A KR20020010657A (ko) 2002-02-04
KR100613674B1 true KR100613674B1 (ko) 2006-08-21

Family

ID=26468719

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020017014485A KR100613674B1 (ko) 1999-05-14 2000-05-11 웨이퍼 처리 장치 및 처리 방법

Country Status (3)

Country Link
US (1) US6467491B1 (ko)
KR (1) KR100613674B1 (ko)
WO (1) WO2000070666A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11482453B2 (en) 2019-06-14 2022-10-25 Samsung Electronics Co., Ltd. Method of manufacturing a semiconductor device using a thermally decomposable layer, a semiconductor manufacturing apparatus, and the semiconductor device

Families Citing this family (62)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6339028B2 (en) * 1999-04-27 2002-01-15 Stmicroelectronics, Inc. Vacuum loadlock ultra violet bake for plasma etch
KR100613674B1 (ko) * 1999-05-14 2006-08-21 동경 엘렉트론 주식회사 웨이퍼 처리 장치 및 처리 방법
US6780250B2 (en) * 2000-01-28 2004-08-24 Texas Instruments Incorporated System and method for integrated oxide removal and processing of a semiconductor wafer
TWI251506B (en) * 2000-11-01 2006-03-21 Shinetsu Eng Co Ltd Excimer UV photo reactor
US20030045098A1 (en) 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
WO2003021642A2 (en) * 2001-08-31 2003-03-13 Applied Materials, Inc. Method and apparatus for processing a wafer
JP4027072B2 (ja) * 2001-10-18 2007-12-26 松下電器産業株式会社 減圧プラズマ処理装置及びその方法
JP3778432B2 (ja) 2002-01-23 2006-05-24 東京エレクトロン株式会社 基板処理方法および装置、半導体装置の製造装置
US6919139B2 (en) 2002-02-14 2005-07-19 E. I. Du Pont De Nemours And Company Electroluminescent iridium compounds with phosphinoalkoxides and phenylpyridines or phenylpyrimidines and devices made with such compounds
KR20050033216A (ko) * 2003-10-06 2005-04-12 동부아남반도체 주식회사 고밀도 플라즈마 설비
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
JP3972126B2 (ja) * 2004-05-28 2007-09-05 独立行政法人産業技術総合研究所 紫外線発生源、紫外線照射処理装置及び半導体製造装置
JP4344886B2 (ja) * 2004-09-06 2009-10-14 東京エレクトロン株式会社 プラズマ処理装置
WO2006040132A1 (en) * 2004-10-12 2006-04-20 Infineon Technologies Richmond, Lp System and method for corrosive vapor reduction by ultraviolet light
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7166531B1 (en) 2005-01-31 2007-01-23 Novellus Systems, Inc. VLSI fabrication processes for introducing pores into dielectric materials
US7510982B1 (en) 2005-01-31 2009-03-31 Novellus Systems, Inc. Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US8454750B1 (en) * 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US20060249175A1 (en) * 2005-05-09 2006-11-09 Applied Materials, Inc. High efficiency UV curing system
US20060251827A1 (en) * 2005-05-09 2006-11-09 Applied Materials, Inc. Tandem uv chamber for curing dielectric materials
US20100270004A1 (en) * 2005-05-12 2010-10-28 Landess James D Tailored profile pedestal for thermo-elastically stable cooling or heating of substrates
US7941039B1 (en) 2005-07-18 2011-05-10 Novellus Systems, Inc. Pedestal heat transfer and temperature control
JP4806241B2 (ja) * 2005-09-14 2011-11-02 東京エレクトロン株式会社 基板処理装置及び基板リフト装置
US7905109B2 (en) * 2005-09-14 2011-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. Rapid cooling system for RTP chamber
US8398816B1 (en) 2006-03-28 2013-03-19 Novellus Systems, Inc. Method and apparatuses for reducing porogen accumulation from a UV-cure chamber
US20070267143A1 (en) * 2006-05-16 2007-11-22 Applied Materials, Inc. In situ cleaning of CVD system exhaust
US8322299B2 (en) * 2006-05-17 2012-12-04 Taiwan Semiconductor Manufacturing Co., Ltd. Cluster processing apparatus for metallization processing in semiconductor manufacturing
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US8465991B2 (en) * 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US7851232B2 (en) 2006-10-30 2010-12-14 Novellus Systems, Inc. UV treatment for carbon-containing low-k dielectric repair in semiconductor processing
US7960297B1 (en) 2006-12-07 2011-06-14 Novellus Systems, Inc. Load lock design for rapid wafer heating
US7906174B1 (en) 2006-12-07 2011-03-15 Novellus Systems, Inc. PECVD methods for producing ultra low-k dielectric films using UV treatment
US8242028B1 (en) 2007-04-03 2012-08-14 Novellus Systems, Inc. UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement
US7622162B1 (en) 2007-06-07 2009-11-24 Novellus Systems, Inc. UV treatment of STI films for increasing tensile stress
US8211510B1 (en) 2007-08-31 2012-07-03 Novellus Systems, Inc. Cascaded cure approach to fabricate highly tensile silicon nitride films
US8052419B1 (en) 2007-11-08 2011-11-08 Novellus Systems, Inc. Closed loop temperature heat up and control utilizing wafer-to-heater pedestal gap modulation
US8426778B1 (en) 2007-12-10 2013-04-23 Novellus Systems, Inc. Tunable-illumination reflector optics for UV cure system
US7935940B1 (en) 2008-01-08 2011-05-03 Novellus Systems, Inc. Measuring in-situ UV intensity in UV cure tool
US8283644B2 (en) 2008-01-08 2012-10-09 Novellus Systems, Inc. Measuring in-situ UV intensity in UV cure tool
US8288288B1 (en) 2008-06-16 2012-10-16 Novellus Systems, Inc. Transferring heat in loadlocks
US20100226629A1 (en) * 2008-07-21 2010-09-09 Solopower, Inc. Roll-to-roll processing and tools for thin film solar cell manufacturing
US9050623B1 (en) 2008-09-12 2015-06-09 Novellus Systems, Inc. Progressive UV cure
US8033771B1 (en) 2008-12-11 2011-10-11 Novellus Systems, Inc. Minimum contact area wafer clamping with gas flow for rapid wafer cooling
US20100317198A1 (en) * 2009-06-12 2010-12-16 Novellus Systems, Inc. Remote plasma processing of interface surfaces
US8616821B2 (en) 2010-08-26 2013-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated apparatus to assure wafer quality and manufacturability
JP5654807B2 (ja) * 2010-09-07 2015-01-14 東京エレクトロン株式会社 基板搬送方法及び記憶媒体
US8371567B2 (en) 2011-04-13 2013-02-12 Novellus Systems, Inc. Pedestal covers
US9997384B2 (en) 2011-12-01 2018-06-12 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for transporting wafers between wafer holders and chambers
CN104040710B (zh) 2012-01-06 2017-11-28 诺发***公司 用于均匀传热的自适应传热方法和***
CN102974573A (zh) * 2012-12-18 2013-03-20 中国科学院微电子研究所 一种对纳米图形进行紫外清洗的装置及方法
US9064807B2 (en) 2013-02-27 2015-06-23 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated platform for improved wafer manufacturing quality
FI125222B (en) * 2013-03-22 2015-07-15 Beneq Oy Apparatus for processing two or more substrates in a batch process
KR20150144585A (ko) 2014-06-17 2015-12-28 엘지전자 주식회사 태양 전지의 후처리 장치
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US10347547B2 (en) 2016-08-09 2019-07-09 Lam Research Corporation Suppressing interfacial reactions by varying the wafer temperature throughout deposition
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
KR20200086582A (ko) * 2019-01-09 2020-07-17 삼성전자주식회사 원자층 증착 장치 및 이를 이용한 박막 형성 방법

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2799471B2 (ja) * 1990-03-13 1998-09-17 東京エレクトロン株式会社 減圧処理装置

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5858726A (ja) * 1981-10-05 1983-04-07 Hitachi Ltd 半導体処理装置
US5228206A (en) * 1992-01-15 1993-07-20 Submicron Systems, Inc. Cluster tool dry cleaning system
JPH0645305A (ja) * 1992-07-22 1994-02-18 Toshiba Corp 半導体基板表面処理装置
JPH07335602A (ja) * 1994-06-06 1995-12-22 Dainippon Screen Mfg Co Ltd 基板の表面処理方法及び表面処理装置
JPH09270404A (ja) * 1996-03-31 1997-10-14 Furontetsuku:Kk 基体の処理方法
KR100218269B1 (ko) * 1996-05-30 1999-09-01 윤종용 건식 에칭기의 잔류 가스 제거 장치 및 방법
US6143081A (en) * 1996-07-12 2000-11-07 Tokyo Electron Limited Film forming apparatus and method, and film modifying apparatus and method
JPH11354514A (ja) * 1998-06-09 1999-12-24 Sony Corp クラスターツール装置及び成膜方法
US6232248B1 (en) * 1998-07-03 2001-05-15 Tokyo Electron Limited Single-substrate-heat-processing method for performing reformation and crystallization
US6204120B1 (en) * 1998-09-28 2001-03-20 Ag Associates (Israel) Ltd. Semiconductor wafer pretreatment utilizing ultraviolet activated chlorine
KR100613674B1 (ko) * 1999-05-14 2006-08-21 동경 엘렉트론 주식회사 웨이퍼 처리 장치 및 처리 방법
US6178660B1 (en) * 1999-08-03 2001-01-30 International Business Machines Corporation Pass-through semiconductor wafer processing tool and process for gas treating a moving semiconductor wafer

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2799471B2 (ja) * 1990-03-13 1998-09-17 東京エレクトロン株式会社 減圧処理装置

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11482453B2 (en) 2019-06-14 2022-10-25 Samsung Electronics Co., Ltd. Method of manufacturing a semiconductor device using a thermally decomposable layer, a semiconductor manufacturing apparatus, and the semiconductor device
US11791209B2 (en) 2019-06-14 2023-10-17 Samsung Electronics Co., Ltd. Method of manufacturing a semiconductor device using a thermally decomposable layer, a semiconductor manufacturing apparatus, and the semiconductor device

Also Published As

Publication number Publication date
KR20020010657A (ko) 2002-02-04
WO2000070666A1 (fr) 2000-11-23
US6467491B1 (en) 2002-10-22

Similar Documents

Publication Publication Date Title
KR100613674B1 (ko) 웨이퍼 처리 장치 및 처리 방법
JP3238432B2 (ja) マルチチャンバ型枚葉処理装置
CN108933097B (zh) 真空输送组件和基片处理装置
KR101383935B1 (ko) 종형 열처리 장치 및 피처리 기판 이동 탑재 방법
JP2001104776A (ja) 処理装置及び処理方法
KR20020019414A (ko) 기판 처리 장치 및 기판 처리 장치를 이용한 반도체디바이스 제조 방법
US20070196011A1 (en) Integrated vacuum metrology for cluster tool
JP4642619B2 (ja) 基板処理システム及び方法
JPH05218176A (ja) 熱処理方法及び被処理体の移載方法
KR20080053917A (ko) 기판교체장치
KR102433472B1 (ko) 진공 반송 모듈 및 기판 처리 장치
WO2008005773A2 (en) Cluster tool for advanced front-end processing
WO2000028587A1 (fr) Dispositif de traitement
JPH08339948A (ja) 真空処理装置
KR20180111592A (ko) 기판 처리 장치
JP2002261148A (ja) 処理システム及び被処理体の予熱方法
KR20210055082A (ko) 진공 처리 장치 및 기판 반송 방법
CN109314071B (zh) 十二边形传送腔室和具有十二边形传送腔室的处理***
JP2000323554A (ja) 処理装置
JP4876337B2 (ja) 処理システム
JP4319287B2 (ja) 処理装置
JP3605692B2 (ja) 搬送処理方法及び搬送処理装置
JP2001267254A (ja) 半導体製造装置
US6030459A (en) Low-pressure processing device
JP4283973B2 (ja) 基板処理装置および半導体装置の製造方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120724

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20130719

Year of fee payment: 8

LAPS Lapse due to unpaid annual fee