KR100435119B1 - 매엽식처리장치 - Google Patents

매엽식처리장치 Download PDF

Info

Publication number
KR100435119B1
KR100435119B1 KR1019960030659A KR19960030659A KR100435119B1 KR 100435119 B1 KR100435119 B1 KR 100435119B1 KR 1019960030659 A KR1019960030659 A KR 1019960030659A KR 19960030659 A KR19960030659 A KR 19960030659A KR 100435119 B1 KR100435119 B1 KR 100435119B1
Authority
KR
South Korea
Prior art keywords
gas
susceptor
heating means
processing
shower head
Prior art date
Application number
KR1019960030659A
Other languages
English (en)
Other versions
KR970008335A (ko
Inventor
쥰이치 아라미
겐지 이시카와
마사유키 기타무라
Original Assignee
동경 엘렉트론 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 동경 엘렉트론 주식회사 filed Critical 동경 엘렉트론 주식회사
Publication of KR970008335A publication Critical patent/KR970008335A/ko
Application granted granted Critical
Publication of KR100435119B1 publication Critical patent/KR100435119B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45572Cooled nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/10Heating of the reaction chamber or the substrate
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 발명은 막두께의 면내의 균일성이 우수한 피처리체에 대한 성막처리를 실시하는 것이 가능한 매 염식 처리장치에 관한 것으로서,
반도체웨이퍼의 처리를 실시하는 처리용기를 구비하고 있으며, 처리용기내에는 반도체웨이퍼가 재치되기 위한 재치면을 갖는 서셉터가 설치되어 있고, 서셉터의 재치면에 대하여 간격을 두고 샤워헤드부가 설치되어 있으며, 샤워헤드부에는 처리가스를 공급하는 처리가스공급파이프가 따로 따로 접속되어 있고, 샤워헤드부에는 복수의 가스분출구멍이 설치되어 있고, 또 서셉터에는 서셉터를 가열하는 제 1∼제 3 가열수단이 부착되어 있으며, 제 1 가열수단은 서셉터의 안쪽면측의 대략 중앙에 원판상으로 설치되고, 제 2 가열수단은 제 1 가열수단을 둘러싸도록 동심원상으로 설치되어 있으며, 제 3 가열수단은 서셉터의 둘레틀부에 설치되어 있고, 샤워헤드부의 서셉터에 대향하는 면중 복수의 가스분출구멍 이 형성된 가스분출영역의 직경은 제 3 가열수단의 직경과 실질적으로 동일하며, 또 제 1∼제 3 가열수단을 각각 독립적으로 제어 가능한 중앙제어부가 설치되어 있는 것을 특징으로 한다.

Description

매엽식(枚葉式) 처리장치{APPARATUS FOR PROCESSING INDIVIDUAL WAFERS}
본 발명은 매엽식 처리장치에 관한 것이다. 본 발명은 특히 피처리체의 표면에 성막처리를 실시하는 매엽식 처리장치에 관한 것이다.
일반적으로 반도체집적회로의 제조공정에 있어서는 반도체웨이퍼 또는 유리 기판과 같은 피처리체에 대하여 성막 및 포토리소그래피에 의한 패터닝을 반복함으로써 피처리체상에 소망하는 디바이스를 형성한다.
퍼처리체에 대한 성막처리에서 이용되는 처리장치에는 배치식 및 매엽식이 있다. 배치식의 처리장치에서는 다수의 피처리체에 한번에 처리를 실시할 수 있다. 한편 매엽식의 처리장치에서는 한개의 피처리체마다 처리를 실시할 수 있다. 이들 두가지 형태는 예를 들면 성막의 품질 또는 종류에 따라서 적절히 선택하여 이용되고 있다.
이하 반도체웨이퍼에 대한 성막처리에 이용되는 매엽식CVD장치에 대하여 설명한다. 제 1 도에 나타내는 바와 같이 CVD장치(10)는 처리용기(11)를 구비한다. 이 처리용기(11)내에는 서셉터(12)가 설치되어 있다. 이 서셉터(12)의 재치면(13)상에는 반도체웨이퍼(W)가 재치될 수 있다. 반도체웨이퍼(W)는 예를 들면 정전척과 같은 고정수단에 의해 고정될 수 있다. 이 재치면(13)의 윗쪽에는 샤워헤드부(14)가 재치면에 대하여 평행하게, 또한 대향하여 설치되어 있다. 처리가스공급원(도시하지 않음)으로부터 공급된 처리가스는 이 샤워헤드부(14)를 거쳐 처리용기(11)내에 공급된다.
성막처리는 처리용기(11)내를 처리압력으로 유지하면서, 또한 웨이퍼(W)를 처리온도로 유지하면서 상기한 샤워헤드부(14)를 통하여 처리가스를 처리 용기(11)내에 공급함으로써 실시한다. 성막처리에 의해 반도체웨이퍼의 표면상에 예를 들면 폴리실리콘 또는 실리콘옥사이드와 같은 소정의 재료로 구성되는 성막을 형성할 수 있다.
반도체디바이스의 제조에 있어서 전기적 특성이 양호한 디바이스를 많이 얻기 위해서는 양호한 특성을 갖는 성막을 형성하는 것이 필요하다. 이들 특성중 막두께의 웨이퍼면내의 균일성이 가장 중요하다.
막두께를 제어하기 위한 패러미터는 다수 존재한다. 이 패러미터는 처리압력, 처리온도, 처리가스의 공급량, 웨이퍼사이즈 및 처리장치의 각 부의 사이즈를 포함한다. 이들 패러미터는 독립되어 있는 것을 아니고 서로 관련되어 있다. 따라서 이들 패러미터의 가장 적합한 값을 일률적으로 결정하는 것은 곤란하다. 종래 가장 적합한 성막조건은 각종 장치의 설계조건 및 처리조건하에서 성막처리를 실제로 반복하여 실시한 결과로부터 구하고 있다.
현재 구경이 6인치인 웨이퍼에 대해서는 막두께의 웨이퍼면내의 균일성이 상당히 높은 성막처리가 가능하다. 그러나 근래 반도체웨이퍼는 보다 대구경화하는 경향에 있다. 반도체웨이퍼의 구경이 6인치에서 8인치나 12인치로 확대하면 6인치웨이퍼에서의 성막조건을 그대로 적용할 수 없을 가능성이 있다. 또 반도체디바이스의 고집적화 및 고밀도화의 요청에 의해 성막이 보다 얇고, 또한 보다 고품질인 것이 요구되고 있다. 그러나 종래의 6인치웨이퍼를 위한 성막장치는 이들 요청에 따르는 것이 곤란하다.
본 발명의 목적은 막두께의 면내균일성이 우수한 피처리체에 대한 성막처리를 실시하는 것이 가능한 매엽식 처리장치를 제공하는 것이다.
본 발명은 피처리체의 처리를 실시하는 처리용기와,
상기 처리용기내에 설치되어 상기 피처리체가 재치되기 위한 재치면을 갖는 지지부품과,
상기 재치면에 대하여 간격을 두고 설치된 샤워헤드부와,
상기 샤워헤드부에 처리가스를 공급하는 처리가스공급수단과,
상기 샤워헤드부에 설치된 복수의 가스분출구멍과,
상기 지지부품에 부착된 상기 지지부품을 가열하는 가열부를 구비하고,
상기 샤워헤드부의 상기 지지부품에 대향하는 면중 상기 복수의 가스분출 구멍이 형성된 가스분출영역의 최대길이가 상기 처리가스가 반응을 일으키는 온도 이상으로 가열된 가스반응영역의 최대길이와 실질적으로 동일한 것을 특징으로 하는 매엽식 처리장치를 제공한다.
또 본 발명은 피처리체의 처리를 실시하는 처리용기와,
상기 처리용기내에 설치되어 상기 피처리체가 재치되기 위한 재치면을 갖는 지지부품과,
상기 재치면에 대하여 간격을 두고 설치된 샤워헤드부와,
상기 샤워헤드부에 처리가스를 공급하는 처리가스공급수단과,
상기 샤워헤드부에 설치된 복수의 가스분출구멍과,
상기 지지부품을 복수의 영역으로 분할하여 가열하기 위한 복수의 가열수단과,
상기 복수의 가열수단을 각각 독립하여 제어하는 제어수단을 구비하는 매엽식 처리장치를 제공한다.
이하 본 발명의 실시형태를 도면을 참조하여 설명한다.
제 2 도는 본 발명의 매엽식 처리장치의 한 실시형태를 나타내는 단면도이다. 이 실시형태에 관련되는 처리장치는 저항가열방식의 매엽식 열CVD장치이다. 이CVD장치(20)는 처리용기(21)를 구비한다. 이 처리용기(21)는 기밀용기이며, 예를 들면 알루미늄으로 구성되고 원통형 또는 상자형을 이루고 있다. 이 처리용기(21)내에는 예를 들면 반도체웨이퍼와 같은 두꺼운 피처리체를 지지하기 위한 서셉터(22)가 설치되어 있다. 이 서셉터(22)는 처리용기(21)의 바닥부의 위에 예를 들면 불투명석영으로 구성된 단열재(23)를 통하여 설치되어 있다.
제 3 도에 제 2 도에 나타내는 CVD장치(20)의 서셉터(22) 근처의 부분을 나타내는 단면도를 나타낸다. 제 3 도에 나타내는 바와 같이 서셉터(22)는 서셉터메인보디(susceptor mainbody)(24)를 구비하고 있다. 서셉터메인보디(24)는 대략 원주상이며, 그 하면의 중앙부에는 오목부(25)가 형성되어 있다. 서셉터메인보디(24)는 열전도성이 우수한 재료, 예를 들면 보론나이트라이드로 구성되고, 그 표면에 pyrolytic boron nitride 및 석영으로 코팅되어 있다. 이 서셉터(22)의 두께는 10∼30cm이며, 그 직경은 반도체웨 이퍼(W)의 직경보다도 5∼20cm 크게 설정된다.
서셉터메인보디(24)의 상면, 즉 서셉터(22)의 재치면(22A)에는 피처리체를 소정의 위치에 임시로 고정하기 위한 수단으로서 정전척(26)이 설치되어 있다. 이 정전척(26)은 예를 들면 표면에 절연막이 설치된 한쌍의 카본제전극(26A) 및 (26B)로 이루어진다. 이 정전척(26)의 전극(26A) 및 (26B)에는 제 2 도에 나타내는 바와 같이 직류전원(27)이 스위치(28)를 통하여 전기적으로 접속되어 있다. 즉 이 실시형태에서는 정전척(26)으로서 양전극타입의 것이 이용되고 있다. 이와 같은 구성으로 이루어지는 정전척(26)에 있어서, 스위치(28)를 ON상태로 하면 고압직류전류가 직류전원(27)으로부터 전극(26A) 및 (26B)에 인가되어, 이들 전원(26A) 및 (26B)의사이에 쿨롱력 이 발생하고, 이 쿨롱력에 의해 반도체웨이퍼(W)가 정전척(26)에 의해 지지된다.
또 서셉터메인보디(24)에는 제 3 도에 나타내는 바와 같이 가열수단(29)이 설치되어 있다. 가열수단(29)은 제 4 도에 나타내는 바와 같이 제 1∼제 3 가열수단으로 나뉘어져 있다. 제 1 가열수단(29A)은 서셉터메인보디(24)의 하면의 대략 중앙부에 대략 원판상으로 설치되어 있다. 또 제 2 가열수단(29B)은 제 1 가열수단(29A)과 같은 중심을 갖는 링이며 제 1 가열수단(29A) 및 제 2 가열수단(29B)의 사이에는 간격을 두고 제 1 가열수단(29A)을 둘러싸도록 설치되어 있다. 또한 제 3 가열수단(29C)이 서셉터메인보디(24)의 측벽면에 대략 원통상으로 설치되어 있다. 이들 제 1∼제 3 가열수단(29A∼29C)은 예를 들면 얇은 판상의 카본과 같은 저항발열체로 구성되어 있다.
제 1∼제 3 가열수단(29A∼29C)은 각각 다른 가열수단구동부(30A∼30C)에 접속되어 있다. 가열수단구동부(30A∼30C)에는 예를 들면 마이크로컴퓨터로 이루어지는 중앙제어부(31)가 접속되어 있다.
이와 같은 구성으로 이루어지는 서셉터(24)의 외주에는 대략 원통형의 단열재(32)(33)가 이중으로 설치되어 있다. 또 서셉터(22)의 상면측이며 서셉터(22)의 외주부상단과 단열재(32)(33)의 상단에 걸쳐서 서셉터(22)의 둘레방향을 따라서 링상의 단열재(34)가 설치되어 있다. 이 단열재(34)는 예를 들면 불투명석영으로 구성되고, 또 분할이 가능해져 있다. 단열재(31)의 상면과 반도체웨이퍼(W)의 상면의 수평레벨은 대략 동일해지도록 설정되어 있다. 이에 따라 후술하는 바와 같이 샤워헤드부(35)를 통하여 처리가스를 공급한 경우에 처리가스에 치우친 흐름을 발생시키는 것이 방지된다.
서셉터(22)에는 반도체웨이퍼(W)를 재치면(22A)과 웨이퍼반송아암의 사이에서 수수하기 위한 3개의 부셔핀 등(도시하지 않음)도 구비하고 있다.
처리용기(21)의 상부에는 샤워헤드부(35)가 설치되어 있다. 샤워헤드부(35)는 상기한 서셉터(24)의 재치면(22A)에 대향하여, 또한 대략 병행하여 설치되어 있다. 샤워헤드부(35)는 예를 들면 알루미늄으로 구성된 양단이 폐쇄된 대략 원통상의 광주리체이다. 이 샤워헤드부(35)의 내부는 제 5 도에 나타내는 바와 같이 동심원상으로 융벽(36A) 및 (36B)이 설치되어 분할되고 3개의 가스실(37A∼37C)이 형성되어 있다. 이 가스실(37A∼37C)에는 제 2 도에 나타내는 바와 같이 각각 독립적으로 가스공급관(38)(39)(40)이 접속되어 있다. 이들 가스공급관(38)(39)(40)에는 처리가스로서의 실란(SiH4)가스 및 아르곤(Ar)가스 및 클리닝가스로서의 CIF3가스를 공급하기 위한 가스공급원(41)(42)(43)이 분기관을 통하여 접속되어 있다. 가스공급관(38)(39)(40)과 가스공급원(41)(42)(43)의 사이에는 매스프로콘트롤러(44A∼44C)가 각 가스공급관(38)(39)(40)의 각각에 대응하여 설치되어 있다. 이들 매스프로콘트롤러(44A∼44C)는 가스공급관(38)(39)(40)에 대한 가스공급량을 개별적으로 관리할 수 있다. 또한 가스공급원(41)(42)(43)의 가스의 출력측에는 가스공급관(38)(39)(40)에 대한 가스공급량을 총괄하여 제어할 수 있는 개폐밸브(45A∼45C)가 설치되어 있다.
샤워헤드부(35)의 외부틀부 근처에는 융벽(46)이 설치되고, 이에 따라 제 5 도에 나타내는 바와 같이 냉각쟈켓(47)이 형성되어 있다. 이 냉각쟈켓(47)에는 도시하지 않는 예를 들면 물과 같은 냉매를 공급하는 수단이 접속되어 있다. 냉각쟈켓(47)에 의해 샤워헤드부(35)는 성막을 받지 않는 온도, 예를 들면 60℃ 이하로 냉각된다.
샤워헤드부(35)의 하부, 즉 서셉터(22)의 재치면(2?A)에 대향하는 부분에는 상기한 가스실(37A∼37C)에 공급된 처리가스를 분출시키기 위한 복수의 가스분출구멍(48)이 형성되어 있다. 가스분출구멍(48)의 직경은 예를 들면 0.5∼3mm이며, 보다 구체적으로는 2mm이다. 제 5 도는 샤워헤드부(35)의 하면을 나타내는 평면도이다. 샤워헤드부(35)의 하면에는 가스분출구멍(48)이 井자형상으로 형성되어 있다. 이들 가스분출구멍(48)의 행 및 열방향의 피치는 예를 들면 5∼10mm이고, 보다 구체적으로는 8mm이며, 대략 균일하다. 따라서 가스분출구멍(48)은 단위면적당 개구율이 동일해지도록 형성되어 있다. 바꾸어 말하면 가스분출구멍(48)의 직경이 같은 경우에는 가스분출구멍(48)은 단위면적당의 수가 같아지도록 형성되어 있다.
또 샤워헤드부(35)의 하면의 가스분출구멍(48)이 형성된 영역(이하 가스분출영역이라 한다)의 직경(L1)은 상기한 제 1∼제 3 가열수단(29A∼29C)에 의해 가열된 서셉터(22)에 의하여 원료가스가 반응을 일으키는 온도 이상으로 가열된 서셉터(22)의 상측의 공간영역(이하 가스반응영역이라 한다)(49)의 직경(L2)과 동일해지도록 설정되어 있다. 이 가스반응영역(49)의 직경(L2)은 이 실시형태에서는 제3 가열수단(29C)의 외부직경에 상당하고, 따라서 서셉터(22)의 외부직경에 상당한다. 이 예에서는 L1=L2=20.5cm로 설정되어 있다.
여기에서 서셉터(22) 및 샤워헤드부(35)의 수평단면의 형상이 어느쪽이나 원형이기 때문에 가스분출영역 및 가스반응영역도 원형이다. 따라서 가스분출영역 및 가스반응영역의 직경(L1) 및 (L2)은 이들 영 역의 최대 길이라고 할 수도 있다.
한편 처리용기(21)의 바닥부에는 예를 들면 냉매로서 냉각수가 흐르는 바닥부냉각쟈켓(50)이 설치되어 있다. 냉각쟈켓(50)에 의해 처리용기(21)의 바닥부가 성막을 받지 않을 정도로 냉각된다. 또 처리용기(21)의 측벽에는 웨이퍼(W)를 반입 및 반출할 때에 개폐되는 게이트밸브(51)가 설치되어 있다. 또 처리용기(21)에는 도시하지 않는 진공펌프에 접속된 배기구(52)가 설치되어 있다.
이상과 같은 구성으로 이루어지는 CVD장치(29)의 동작에 대하여 설명한다.
이 CVD장치(20)에서는 서셉터(22)의 열용량이 비교적 커서 온도의 승강을 신속히 실시하는 것이 어렵다. 그래서 CVD장치(20)의 운전중은 제 1 가열수단(29A∼29C)에 전류를 인가하여 서셉터(22)를 가열한다.
도시하지 않는 로드록챔버로부터 미리 설정된 프로그램에 따라서 운반되어 온 반도체웨이퍼(W)를 게이트밸브(51)를 통하여 도시하지 않는 반송아암에 의해 처리용기(21)내에 반입한다. 반입된 반도체웨이퍼(W)를 서셉터(22)의 재치면(22A)의 소정 위치에 재치한다. 여기에서 정전척(26)의 한쌍의 카본전극(26A) 및 (26B)에 고압직류전류를 직류전원(27)으로부터 인가하고 카본전극(26A) 및 (26B)의 사이에발생한 쿨롱력에 의해 웨이퍼(W)를 지지한다.
이와 같이 반도체웨이퍼(W)가 서셉터(22)상에 재치된 상태에 있어서, 웨이퍼(W)의 온도를 소정의 프로세스온도, 예를 들면 약 650℃로 유지하면서 캐리어가스로서의 아르곤가스와 함께 원료가스로서의 실란가스를 샤워헤드부(35)로부터 처리용기(21)내에 공급하고 처리용기(21)내를 소정의 프로세스압력, 예를 들면 약 10Torr로 유지한다. 이에 따라 반도체웨이퍼(W)의 표면에 폴리실리콘막이 형성된다. 이와 같이 하여 반도체웨이퍼(W)에 대한 성막이 실시된다.
소정 장수의 반도체웨이퍼(W)의 처리를 실시한 후 처리용기(21)내에 부착한 성막을 제거하여 파티클의 발생을 방지하기 위해 클리닝을 실시한다. 즉 서셉터(22)의 재치면(22A)의 위에 클리닝용의 더미웨이퍼를 재치한 후 샤워헤드부(35)로부터 클리닝가스로서의 ClF3가스를 처리용기(21)내에 공급하여 실시된다. 이 클리닝은 정기적으로 실시된다. 이 클리닝시에도 샤워헤드부(35)의 냉각쟈켓(47)및 처리용기(21)의 바닥부의 냉각쟈켓(50)에 의해 샤워헤드부(35) 및 처리용기(21)의 바닥부는 성막이 일어나지 않는 온도로 유지한다.
이상과 같은 성막처리공정에 있어서, CVD장치(20)에서는 상기한 바와 같이 샤워헤드부(35)의 하면의 가스분출영역의 직경(L1)이 가스반응영역(49)의 직경(L2)과 동일해지도록 설정되어 있다. 상기한 바와 같이 제 1 가열수단(29A∼29C)에 의해 반도체웨이퍼(W)를 소정의 프로세스온도로 가열하면서 원료가스를 공급한 경우에 성막반응이 일어나는 것은 제 1 가열수단(29A∼29C)에 의해 가열되는서셉터(22)의 위의 영역의 범위내이다. 따라서 직경 (L1)과 (L2)를 동일하게 하는 것, 즉 처리가스가 공급되는 영역과 가스반응영역(49)을 동일하게 함으로써 성막처리공정에서 단위면적당의 가스공급량과 가스소비량을 실질적으로 동일하게 할 수 있다. 이 결과 반도체웨이퍼(W)의 성막의 막두께의 면내균일성을 향상시킬 수 있다. 여기에서 가스분출영역의 직경(L1)과 가스반응영역의 직경(L2)은 반드시 완전히 동일할 필요는 없고 ±5%의 범위내이면 좋다.
이하 가스분출영역의 직경(L1)이 가스반응영역(49)의 직경(L2)과 동일한 경우와 막두께의 면내균일성의 관계를 증명하기 위해 실시한 시물레이션의 결과에 대하여 설명한다.
이 시물레이션온 제 7 도에 나타내는 원통축대칭모델을 상정했다. 이 모델에서는 샤워헤드부(35)와 웨이퍼(W)의 간격(G)을 40mm로 설정했다. 또 프로세스조건은 이하와 같다.
처리가스: SiH4
캐리어가스: H2
성막종류: 폴리실리콘막
처리가스농도: 2vol. %
압력 : 665Pa(5Torr)
유량: 500SCCM
프로세스온도: 600∼800℃
또한 프로세스온도는 웨이퍼(W)의 면내에서 균일하다.
이와 같은 모델에 있어서 샤워헤드부(35)의 가스분출영역의 직경(L1)을 280, 216, 200 및 184의 4가지로 변경했다. 한편 이 모델에 있어서의 가스반응영역의 직경(L2)에 상당하는 웨이퍼(W)의 직경을 200mm로 했다.
상기한 바와 같은 모델을 이용한 각 직경(L1)에서의 막두께분포를 제 12 도에 나타낸다.
이 결과에서 가스분출영역의 직경(L1)과 가스반응영역의 직경(L2)이 동일한 경우, 즉 제 10 도에 나타내는 경우에 성막의 웨이퍼면내의 균일성이 가장 높은 것을 알았다. 이러한 결과는 매엽식의 CVD장치에서의 프로세스압력이 고압력이기 때문에 제 13 도에 나타내는 바와 같이 가스류의 유속이 매우 늦고 가스의 확산속도가 가스분포에 미치는 영향이 높기 때문이다.
또 이 실시형태의 CVD장치(20)에서는 상기한 바와 같이 샤워헤드부(35)의 하면에는 가스분출구멍(48)이 井자형상으로 형성되어 있다. 이들 가스분출구멍(48)의 행 및 열방향의 피치는 대략 균일하다. 따라서 가스분출구멍(48)은 단위면적당 개구율이 동일해지도록 형성되어 있다. 이에 따라 가스분출구멍(48)으로부터 분출되는 가스공급량이 웨이퍼(W)의 면내에 있어서 균일해진다. 이 결과 반도체웨이퍼(W)의 성막의 막두께의 면내균일성을 향상할 수 있다.
샤워헤드부(35)로부터 가스를 공급한 경우에 있어서, 가스가 웨이퍼(W)의 표면상에 공급되고나서 웨이퍼(W)의 반지름방향의 가스의 유속분포는 가스공급구의 반지름에 의해 결정된다. 제 14 도에 나타내는 바와 같이 가스분출구의 반지름을 rO, 웨이퍼(W)의 반지름을 rw로 하고, 가스가 유량(Q)에서 공급되고 있다고 정의한다. 여기에서 rw〉rO로 한 경우의 웨이퍼(W)의 면내의 웨이퍼(W)의 중심으로부터의 거리가 r인 지점의 반지름방향의 유속(Ur)은 r〈rO인 경우에는 웨이퍼(W)의 면내에 균일하게 가스가 공급되어 있을 때의 단위면적당의 가스공급량을 Qe로 하면 다음의 식(1)의 관계가 성립한다.
여기에서 가스공급구에서의 유속을 U로 하면 다음의 식(2)이 성립한다.
따라서 식(1) 및 (2)에서 식(3)이 도출된다.
또 r의 위치에서의 웨이퍼(W)의 중심으로부터 공급된 총가스유량(Qr)은 다음의 식(4)에 의해 나타내어진다.
여기에서 웨이퍼(W)의 반지름방향의 단위단면적(2πr)을 통과하는 유량, 즉 유속(Ur)은 아래식(5)에 나타내는 바와 같이 된다.
따라서 r〈rO인 경우에는 반지름방향의 유속은 r에 비례하여 증가한다
다음으로 rO〈r인 경우에는 가스가 공급되지 않는다. 이 때문에 유속(Ur)은 아래식(6)에 나타내는 바와 같이 된다.
따라서 r에 반비례하여 유속(U1)이 감소한다. 이상의 것에서 가스공급구의 직경에 대소에 따라서 가스의 유동상태가 크게 바뀌는 것을 알 수 있다.
다음으로 가스의 유속과 가스웨이퍼간의 열전달의 관계에 대하여 고찰한다. 웨이퍼(W)의 면내온도가 대략 균일한 경우 웨이퍼(W)의 면상을 반지름 방향에 일정한 유속(Ur)으로 흐르는 가스와 웨이퍼(W)의 열전달계수(hr)는 경험적으로 이하의 식(7) 및 (8)로 나타내어진다.
여기에서 hr: 열전달계수, λ: 가스의 열전달률
여기에서 Re=Urr: 레이놀즈수
Pr=: 프란틀수
Nu: 누셀트수
: 동점도
식(7) 및 (8)에서 아래식(9)이 도출된다.
식(9)에서 유속(Ur)이 일정하면 열전달계수(hr)는 r에 대하여로 작아진다. 따라서 웨이퍼(W)의 중심과 단부에서 열전달계수(hr)에 큰 차가 발생한다. 바꾸어 말하면 웨이퍼(W)의 중심으로부터 단부를 향하여 온도경계층이 두꺼워진다. 또 그 열전달계수의 차는 유량에 크게 의존한다.
그러나 웨이퍼(W)의 직경보다도 가스공급구의 직경이 큰 경우 (rO〈r에는 유속(Ur)이 r에 대하여 일차함수적으로 증가한다. 이 때문에 식(9)의는 일정하게 되고 웨이퍼(W)의 면상에서의 열전달계수(hr)는 일정하게 된다. 바꾸어 말하면 웨이퍼(W)의 면상에 균일한 두께의 온도경계층이 형성되어 있다. 따라서 웨이퍼(W)의 중심과 단부에서는 어떠한 가스유량이어도 동일한 열전달계수가 되는 것을 알 수 있다.
이상의 추론은 가스공급구로부터 단위면적당의 유량이 같게 공급되는 것이 전제로 성립하고 있다. 따라서 가스공급구는 복수의 가스분출구멍을 구비한 샤워헤드부가 아니면 안된다. 또 상기한 바와 같이 가스분출구멍은 샤워헤드부(35)에 단위면적당의 개구율이 동일해지도록 형성되는 것이 필요하다. 상기 설명에서는 균일한 온도경계층을 실현하는 것에 착안하고 있다. 그러나 온도경계층이 균일하면 웨이퍼(W)의 면상에서의 속도경계층 및 농도경계층도 균일한 것은 명백하다.
여기에서 단위면적당의 개구율이 동일하다는 것은 완전히 동일한 경우만은 아니고 ±5%의 범위내의 오차는 허용될 수 있다.
이 실시형태에서는 샤워헤드부(35)에 가스분출구멍(48)이 井자형상으로 형성되어 있는 경우에 대하여 설명했지만 단위면적당의 개구율이 동일하면 이에 한정되는 것은 아니다. 즉 제 15 도에 나타내는 바와 같이 샤워헤드부(101)의 하부에는 가상선으로 나타내어지는 원(102∼105)으로 나타내는 위치에 동일구경의 가스분출구멍이 동심원상으로 배열하고 있다. 각 원(102∼105)의 사이는 동일한 간격(P)이 설치되어 있다. 또 동일한 원의 위의 가스분출구멍은 둘레방향으로 대략 동일한 피치로 나열해 있다.
또 원(102∼105)의 위에 형성된 가스분출구멍의 갯수는 원(102∼105)의 원주와 정비례관계에 있다. 즉 가장 내측의 원(102)의 원주가 L이고 가장 외측의 원(105)으로 나타내어지는 원의 원주가 5L인 경우 원(102)의 위에 형성된 가스분출구멍의 수가 n이면 원(105)의 위에 형성된 가스분출구멍의 수는 5n이다.
일반적으로 서셉터(22)는 그 반지름방향에 따라서 방열량이 달라 있다. 즉 서셉터(22)의 둘레틀부는 다른 부분보다도 방열량이 많아지고 있다. 따라서 서셉터(22)의 둘레틀부의 온도는 다른 부분보다도 온도가 낮아진다. 이와 같은 방열량의 흐트러짐은 웨이퍼(W)의 성막의 막두께의 면내균일성을 저하시키는 원인이 되어 있다.
이와 같은 문제를 해결하기 위해 이 실시형태의 CVD장치(20)에서는 제 3 도에 나타내는 바와 같이 서셉터메인보디(24)의 주위에 단열재(32)(33)가 설치되고서셉터(22)의 둘레틀부로부터의 방열량을 저감하게 되어 있다. 그러나 이에 따라서는 해당 방열량의 차를 완전히 해소할 수는 없다. 그래서 이 실시형태의 CVD장치(20)에서는 제 3 도에 나타내는 바와 같이 서셉터(22)에 있어서 서셉터메인보디(24)에 동심원상으로 분할된 제 1∼제 3 가열수단(29A∼29C)이 설치되어 있다. 또 제 1∼제 3 가열수단(29A∼29C)에는 제 2 도에 나타내는 바와 같이 가열수단구동부(30A∼30C)가 각각 독립적으로 접속되어 있다. 그리고 가열수단구동부(30A∼30C)는 중앙제어부(31)에 의해 독립적으로 제어 가능하게 되어 있다. 이에 따라 서셉터(22)는 동심원상으로 3개의 존으로 분할되고, 각각의 존에 대하여 독립하여 온도제어하는 것이 가능하다. 이와 같이 서셉터(22)는 존마다 분할하여 온도제어하는 것이 가능하기 때문에 서셉터(22)의 온도를 고정밀도로 제어할 수 있다.
이와 같은 구성에 의해 서셉터(22)의 둘레틀부, 즉 제 3 가열수단(29C)에 대응하는 존의 온도를 제 1 가열수단(29A) 및 제 2 가열수단(29B)에 대응하는 다른 존의 온도보다도 높게 설정할 수 있다. 이에 따라 서셉터(22)에 그 중앙부보다도 둘레틀부의 온도가 조금 높아지는 온도분포를 적극적으로 발생시킬 수 있다. 이 때문에 서셉터(22)의 둘레틀부의 온도보상이 이루어지고 서셉터(22)의 둘레틀부 및 중앙부의 방열량의 차에 기인하는 웨이퍼(W)의 면내온도의 불균일을 시정할 수 있다. 이 결과 웨이퍼(W)의 성막의 막두께의 면내균일성의 향상이 달성된다.
이 실시형태에서는 서셉터(22)를 3개의 존으로 분할하여 가열하고 있는데, 더욱 가열수단의 수를 늘림으로써 예를 들면 4개 또는 5개와 같이 보다 많은 존으로 분할하여 가열하는 것도 가능하다. 이 경우 서셉터(22)의 온도를 보다 고정밀도로 제어할 수 있다.
또 제 1∼제 3 가열수단(29A∼29C)에 대응하는 존의 각각에 열전쌍을 설치하고 각 존에서의 온도를 검출하는 것도 가능하다.
또한 이 실시형태의 CVD장치(20)에서는 제 3 도에 나타내는 바와 같이 서셉터메인보디(24)의 둘레틀부에 그 중앙부보다도 두꺼운 다리부(24A)를 설치하고, 이 다리부(24A)의 측벽면을 덮도록 제 3 가열수단(29C)을 설치하고 있다. 이에 따라 제 3 가열수단(29C)의 부착면적을 크게 하여 서셉터메인보디(24)의 다리부(24A)에 가해지는 열량을 그 중앙부보다도 많게 하고 있다. 이와 동시에 서셉터메인보디(24)의 다리부(24A)의 열용량을 그 중앙부보다도 크게 하고 있다. 이에 따라 서셉터(22)의 둘레틀부에서의 방열량을 저감하고, 또한 서셉터(22)의 둘레틀부에서의 방열에 의한 열손실을 보충하고 있다.
또 이 실시형태의 CVD장치(20)에서는 서셉터메인보디(24)의 중앙부의 두께(L3)와 다리부(24A)의 두께(L4)를 동일하게 함으로써 단열재(23)에 접촉하는 다리부(24)의 바닥면의 면적을 작게 하여 다리부(24)로부터의 열손실을 낮게 할 수 있다.
이 실시형태의 CVD장치(20)에 있어서, 제 5 도에 나타내는 바와 같이 샤워헤드부(35)의 내부는 동심원상의 3개의 가스실(37A∼37C)로 분할되고, 각 가스실(37A∼37C)에는 처리가스를 각각 독립적으로 공급할 수 있다. 또 각 가스실(37A∼37C)에 대한 처리가스의 공급량은 매스프로콘트롤러(44A∼44C)에 의해 각각 독립적으로제어할 수 있다. 따라서 처리용기(21)내의 가스반응영역(49)을 3개의 존으로 나누고, 또한 존마다 공급량을 바꾸어서 처리가스를 공급할 수 있다. 이 결과 내부가 구분되어 있지 않은 종래 구조의 샤워헤드부와 달리 웨이퍼(W)에 대한 단위면적당의 가스공급량을 존마다 임의로 변경하는 것이 가능하기 때문에 여러가지 프로세스 및 성막종류에 대하여 면내 균일성의 높은 성막을 실시하는 것이 가능하다.
상기한 실시형태에서는 원료가스로서 실란가스를 이용했지만, 이에 한정되는 것은 아니고, 예를 들면 디실란과 같은 다른 원료가스를 이용할 수 있다. 또 캐리어가스에 대해서도 아르곤가스외에 예를 들면 질소, 헬륨, 크세논과 같은 비활성가스를 이용할 수 있다.
또 상기한 실시형태에서는 발열저항체를 이용한 가열에 대하여 설명했지만, 램프조사에 의한 가열과 같은 다른 가열이어도 좋다.
또 상기한 실시형태에서는 저항가열식의 CVD장치를 예로 들어서 설명했지만, 본 발명은 다른 열처리장치에도 적용 가능하다.
또한 상기한 실시형 태에서는 피처리체로서 반도체웨이퍼를 예로 들어서 설명했지만, 피처리체는 이에 한정되는 것은 아니고 유리 기판이나 고분자기판이어도 좋다.
제 1 도는 종래의 매엽식 처리장치를 나타내는 개략구성도.
제 2 도는 본 발명의 한 실시형태에 관련되는 CVD장치를 나타내는 단면도.
제 3 도는 제 2 도에 나타내는 CVD장치의 서셉터 근처의 부분을 나타내는 단면도.
제 4 도는 제 2 도에 나타내는 CVD장치의 서셉터에 설치된 가열수단을 나타내는 평면도.
제 5 도는 제 2 도에 나타내는 CVD장치의 샤워헤드부를 나타내는 단면도.
제 6 도는 제 2 도에 나타내는 CVD장치의 샤워헤드부를 나타내는 평면도.
제 7 도는 본 발명의 효과를 증명하기 위해 실시한 시뮬레이션에서의 원통축대칭모델을 나타내는 설명도.
제 8 도∼제 11 도는 본 발명의 효과를 증명하기 위해 실시한 시뮬레이션의 결과를 나타내는 설명도.
제 12 도는 웨이퍼중심으로부터의 거리(r)와 폴리실리콘의 퇴적속도(D/R)와 관계를 나타내는 특성도.
제 13 도는 웨이퍼중심으로부터의 거리와 프로세스가스의 관계를 나타내는 특성도.
제 14 도는 가스개구부의 반지름과 웨이퍼(W)면상에서의 유속의 관계를 나타내는 개략도.
제 15 도는 샤워헤드부의 가스분출구멍의 배열의 다른 예를 나타내는 평면도이다.
※ 도면의 주요부분에 대한 부호의 설명
10, 20: CVD장치 11, 21: 처리용기
13, 22A: 재치면 14, 35: 샤워헤드부
22: 서셉터 24: 서셉터메인보디
26: 정전척 29: 가열수단
38, 39, 40: 가스공급관 41, 42, 43: 가스공급원
44A∼44C: 매스프로콘트롤러 47, 50: 냉각쟈켓
48: 가스분출구멍 49: 가스반응영역

Claims (12)

  1. 피처리체의 처리를 실시하는 처리용기와,
    상기 처리용기내에 설치되어 상기 피처리체가 재치되기 위한 재치면을 갖는 서셉터와,
    상기 재치면에 대하여 간격을 두고 설치된 샤워헤드부와,
    상기 샤워헤드부에 처리가스를 공급하는 처리가스공급수단과,
    상기 서셉터에 대면하는 상기 샤워헤드부에 설치되고, 평면 둘레틀을 갖는 가스 분출영역으로 정의되는 영역내에 배열된 복수의 가스분출구멍과,
    상기 서셉터에 부착되어 상기 서셉터를 가열하고, 상기 서셉터상에서 상기 재치면을 향하도록 사이에 샌드위치된 상기 서셉터의 보디와 함께 배치된 내측 가열기 및 상기 처리가스가 적어도 반응을 시작하는 온도까지 가열되는 가스 반응영역의 평면둘레틀로 정의되는 평면둘레틀을 갖고, 상기 서셉터의 측벽을 둘러싸기 위해 상기 측벽상에 배열된 외측 가열기로 구성된 가열수단;을 구비하고,
    상기 가스분출영역 및 상기 가스 반응영역의 상기 평면둘레틀은 서로 실질적으로 동일한 형상이고, 최대 길이가 실질적으로 동일하고, 서로 실질적으로 동심인 것을 특징으로 하는 매엽식 처리장치.
  2. 피처리체의 처리를 실시하는 처리용기와,
    상기 처리용기내에 설치되어 상기 피처리체가 재치되기 위한 재치면을 갖는서셉터와,
    상기 재치면에 대하여 간격을 두고 설치된 샤워헤드부와,
    상기 샤워헤드부에 처리가스를 공급하는 처리가스공급수단과,
    상기 서셉터에 대면하는 상기 샤워헤드부에 설치되고, 평면둘레틀을 갖는 가스 분출영역으로 정의되는 영역내에 배열된 복수의 가스분출구멍과,
    상기 서셉터에 부착되어 상기 서셉터를 가열하고, 상기 처리가스가 적어도 반응을 시작하는 온도까지 가열되는 가스 반응영역의 평면둘레틀을 정의하고, 상기 가스 분출영역 및 상기 가스반응영역의 상기 평면둘레틀은 서로 실질적으로 동일한 형상이고, 최대 길이가 실질적으로 동일하고, 서로 실질적으로 동심인 가열수단; 구비하고,
    상기 샤워헤드부는 상부판, 바닥판 및 상기 상부판과 바닥판 사이에 연장된 다수의 용벽을 구비하고, 상기 샤워헤드부내에서 복수의 가스실이 동심을 이루도록 하기 위하여 상기 용벽은 동심원상에 배열되고,
    상기 처리가스공급수단은 상기 각 가스실에 직접 연결된 균등 공급관을 구비하고, 상기 균등 공급관은 상기 처리 가스의 공급비율에 대해 서로 독립되도록 제어 가능한 것을 특징으로 하는 매엽식 처리장치.
  3. 피처리체의 처리를 실시하는 처리용기와,
    상기 처리용기내에 설치되어 상기 피처리체가 재치되는 재치면을 갖는 지지부재와,
    상기 재치면에 대하여 간격을 두고 설치된 샤워헤드부와,
    상기 샤워헤드부에 처리가스를 공급하는 처리가스공급수단과,
    상기 샤워헤드부의 하면에 설치되어 상기 재치면에 대향하는 복수의 가스분출구멍과,
    상기 처리용기에 설치된 배기구에 접속된 진공펌프를 구비하고, 상기 샤워헤드부의 상기 하면의 상기 복수의 가스분출구멍이 형성된 가스분출영역과 상기 처리가스가 반응을 일으키는 상기 지지부재의 상측의 가스반응영역은 평면도외륜곽에 있어서, 형상 및 최대길이가 서로 실질적으로 동일하고, 또한 서로 실질적으로 동심상으로 배치되는 것을 특징으로 하는 매엽식 처리장치.
  4. 제 3 항에 있어서,
    상기 재치면의 아래쪽에 배치되어 상기 지지부재를 가열하는 가열수단을 추가로 구비하는 것을 특징으로 하는 매엽식 처리장치.
  5. 제 4 항에 있어서,
    상기 가열부는 복수의 가열수단을 갖고, 상기 복수의 가열수단은 상기 지지부재와 같은 점을 중심으로 하여 동심상으로 간격을 두고 배치되는 것을 특징으로 하는 매엽식 처리장치.
  6. 제 5 항에 있어서,
    상기 가열수단은 상기 지지부재의 둘레틀부에 설치되어 상기 지지부재의 측벽면을 덮는 가열수단을 구비하는 것을 특징으로 하는 매엽식 처리장치.
  7. 제 6 항에 있어서,
    상기 복수의 가열수단을 따로 따로 독립하여 제어하는 제어수단을 추가로 구비하는 것을 특징으로 하는 매엽식 처리장치.
  8. 제 7 항에 있어서,
    상기 제어수단은 상기 지지부재의 둘레틀부에 설치된 가열수단에 의해 가열되는 부분의 온도가 다른 가열수단에 의해 가열되는 부분의 온도보다도 높아지도록 상기 복수의 가열수단을 제어하는 것을 특징으로 하는 매엽식 처리장치.
  9. 제 8 항에 있어서,
    상기 복수의 가스분출구멍은 상기 가스분출영역내에 단위면적당의 개구율이 실질적으로 동일해지도록 형성되는 것을 특징으로 하는 매엽식 처리장치.
  10. 제 9 항에 있어서,
    상기 샤워헤드부의 내부는 복수의 가스실로 분할되는 것을 특징으로 하는 매엽식 처리장치.
  11. 제 10 항에 있어서,
    상기 복수의 가스실에 처리가스를 공급하는 처리가스공급계가 따로 따로 접속되는 것을 특징으로 하는 매엽식 처리장치.
  12. 제 3 항에 있어서,
    상기 처리용기의 저부에 설치된 냉각쟈켓을 추가로 구비하는 것을 특징으로 하는 매엽식 처리장치.
KR1019960030659A 1995-07-27 1996-07-26 매엽식처리장치 KR100435119B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP95-210973 1995-07-27
JP7210973A JPH0945624A (ja) 1995-07-27 1995-07-27 枚葉式の熱処理装置

Publications (2)

Publication Number Publication Date
KR970008335A KR970008335A (ko) 1997-02-24
KR100435119B1 true KR100435119B1 (ko) 2004-08-06

Family

ID=16598203

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019960030659A KR100435119B1 (ko) 1995-07-27 1996-07-26 매엽식처리장치

Country Status (4)

Country Link
US (1) US5958140A (ko)
JP (1) JPH0945624A (ko)
KR (1) KR100435119B1 (ko)
TW (1) TW300319B (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101000093B1 (ko) * 2008-07-22 2010-12-09 엘아이지에이디피 주식회사 기판 처리용 가스 공급장치 및 이를 이용한 기판 처리장치
KR20220163902A (ko) * 2018-03-29 2022-12-12 삼성전자주식회사 가스 분배 판을 갖는 플라즈마 처리 장치

Families Citing this family (172)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10284360A (ja) * 1997-04-02 1998-10-23 Hitachi Ltd 基板温度制御装置及び方法
KR100458294B1 (ko) * 1997-12-30 2005-02-23 주식회사 하이닉스반도체 반도체소자의장벽금속층형성방법
US6225601B1 (en) * 1998-07-13 2001-05-01 Applied Komatsu Technology, Inc. Heating a substrate support in a substrate handling chamber
DE69931278T2 (de) * 1998-11-13 2007-03-29 Mattson Technology Inc., Fremont Vorrichtung und verfahren zur thermischen behandlung von halbleitersubstraten
US6230651B1 (en) * 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing
JP2000277521A (ja) * 1999-03-26 2000-10-06 Kobe Steel Ltd 半導体ウェーハの高温高圧処理方法及び装置
JP2000286267A (ja) 1999-03-31 2000-10-13 Tokyo Electron Ltd 熱処理方法
JP2001023959A (ja) * 1999-07-05 2001-01-26 Mitsubishi Electric Corp プラズマ処理装置
US6466426B1 (en) * 1999-08-03 2002-10-15 Applied Materials Inc. Method and apparatus for thermal control of a semiconductor substrate
JP4487338B2 (ja) * 1999-08-31 2010-06-23 東京エレクトロン株式会社 成膜処理装置及び成膜処理方法
US6342691B1 (en) * 1999-11-12 2002-01-29 Mattson Technology, Inc. Apparatus and method for thermal processing of semiconductor substrates
US6345150B1 (en) * 1999-11-30 2002-02-05 Wafermasters, Inc. Single wafer annealing oven
US8048806B2 (en) 2000-03-17 2011-11-01 Applied Materials, Inc. Methods to avoid unstable plasma states during a process transition
US8617351B2 (en) 2002-07-09 2013-12-31 Applied Materials, Inc. Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
JP2002025912A (ja) * 2000-07-04 2002-01-25 Sumitomo Electric Ind Ltd 半導体製造装置用サセプタとそれを用いた半導体製造装置
US6939434B2 (en) * 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US7166524B2 (en) 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US7223676B2 (en) 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
US7294563B2 (en) * 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US7037813B2 (en) 2000-08-11 2006-05-02 Applied Materials, Inc. Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage
US7288491B2 (en) 2000-08-11 2007-10-30 Applied Materials, Inc. Plasma immersion ion implantation process
US7094670B2 (en) * 2000-08-11 2006-08-22 Applied Materials, Inc. Plasma immersion ion implantation process
US7320734B2 (en) 2000-08-11 2008-01-22 Applied Materials, Inc. Plasma immersion ion implantation system including a plasma source having low dissociation and low minimum plasma voltage
US7183177B2 (en) 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US7303982B2 (en) 2000-08-11 2007-12-04 Applied Materials, Inc. Plasma immersion ion implantation process using an inductively coupled plasma source having low dissociation and low minimum plasma voltage
US7465478B2 (en) 2000-08-11 2008-12-16 Applied Materials, Inc. Plasma immersion ion implantation process
US7137354B2 (en) * 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
DE10043601A1 (de) * 2000-09-01 2002-03-14 Aixtron Ag Vorrichtung und Verfahren zum Abscheiden insbesondere kristalliner Schichten auf insbesondere kristallinen Substraten
US6492625B1 (en) 2000-09-27 2002-12-10 Emcore Corporation Apparatus and method for controlling temperature uniformity of substrates
US7563328B2 (en) * 2001-01-19 2009-07-21 Tokyo Electron Limited Method and apparatus for gas injection system with minimum particulate contamination
EP1361604B1 (en) * 2001-01-22 2009-03-18 Tokyo Electron Limited Device and method for treatment
JP5079949B2 (ja) * 2001-04-06 2012-11-21 東京エレクトロン株式会社 処理装置および処理方法
US7161121B1 (en) * 2001-04-30 2007-01-09 Lam Research Corporation Electrostatic chuck having radial temperature control capability
KR100400044B1 (ko) * 2001-07-16 2003-09-29 삼성전자주식회사 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드
KR100427996B1 (ko) * 2001-07-19 2004-04-28 주식회사 아이피에스 박막증착용 반응용기 및 그를 이용한 박막증착방법
JP3990881B2 (ja) * 2001-07-23 2007-10-17 株式会社日立製作所 半導体製造装置及びそのクリーニング方法
US6676760B2 (en) * 2001-08-16 2004-01-13 Appiled Materials, Inc. Process chamber having multiple gas distributors and method
US6962732B2 (en) 2001-08-23 2005-11-08 Applied Materials, Inc. Process for controlling thin film uniformity and products produced thereby
JP3886424B2 (ja) * 2001-08-28 2007-02-28 鹿児島日本電気株式会社 基板処理装置及び方法
CN1881089A (zh) * 2001-08-28 2006-12-20 Nec液晶技术株式会社 在气体环境中执行曝光处理的基片处理***
US20030070620A1 (en) * 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
WO2003041140A1 (en) * 2001-11-05 2003-05-15 Eugene Technology Co., Ltd. Apparatus of chemical vapor deposition
KR100450068B1 (ko) * 2001-11-23 2004-09-24 주성엔지니어링(주) Cvd 장치의 멀티섹터 평판형 샤워헤드
KR100425031B1 (ko) * 2001-12-20 2004-03-30 주성엔지니어링(주) 웨이퍼 피데스탈 히터
JP4148346B2 (ja) * 2002-02-07 2008-09-10 東京エレクトロン株式会社 熱処理装置
US6861094B2 (en) * 2002-04-25 2005-03-01 Micron Technology, Inc. Methods for forming thin layers of materials on micro-device workpieces
US7160577B2 (en) 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
KR100515052B1 (ko) * 2002-07-18 2005-09-14 삼성전자주식회사 반도체 기판상에 소정의 물질을 증착하는 반도체 제조 장비
US7084078B2 (en) 2002-08-29 2006-08-01 Micron Technology, Inc. Atomic layer deposited lanthanide doped TiOx dielectric films
JP3671966B2 (ja) * 2002-09-20 2005-07-13 日新電機株式会社 薄膜形成装置及び方法
TW587139B (en) * 2002-10-18 2004-05-11 Winbond Electronics Corp Gas distribution system and method for the plasma gas in the chamber
US7169231B2 (en) * 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
US6926775B2 (en) 2003-02-11 2005-08-09 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US20040163765A1 (en) * 2003-02-25 2004-08-26 Ans Co., Ltd. Plasma reactor for manufacturing electronic components
KR100904361B1 (ko) * 2003-03-28 2009-06-23 도쿄엘렉트론가부시키가이샤 기판의 온도제어방법 및 시스템
US7718930B2 (en) 2003-04-07 2010-05-18 Tokyo Electron Limited Loading table and heat treating apparatus having the loading table
DE10320597A1 (de) * 2003-04-30 2004-12-02 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden von Halbleiterschichten mit zwei Prozessgasen, von denen das eine vorkonditioniert ist
JP2007525822A (ja) * 2003-05-30 2007-09-06 アヴィザ テクノロジー インコーポレイテッド ガス分配システム
US20040261923A1 (en) * 2003-06-25 2004-12-30 Burns Steven M. Clean atmosphere heat treat for coated turbine components
US20050067146A1 (en) * 2003-09-02 2005-03-31 Thayer John Gilbert Two phase cooling system method for burn-in testing
US20050067147A1 (en) * 2003-09-02 2005-03-31 Thayer John Gilbert Loop thermosyphon for cooling semiconductors during burn-in testing
US7129731B2 (en) * 2003-09-02 2006-10-31 Thermal Corp. Heat pipe with chilled liquid condenser system for burn-in testing
US7013956B2 (en) 2003-09-02 2006-03-21 Thermal Corp. Heat pipe evaporator with porous valve
JP4235076B2 (ja) * 2003-10-08 2009-03-04 東京エレクトロン株式会社 半導体製造装置および半導体製造方法
JP2005123532A (ja) * 2003-10-20 2005-05-12 Tokyo Electron Ltd 成膜装置及び成膜方法
KR100513920B1 (ko) * 2003-10-31 2005-09-08 주식회사 시스넥스 화학기상증착 반응기
WO2005055297A1 (ja) * 2003-12-03 2005-06-16 Tokyo Electron Limited 半導体処理装置
JP4180637B2 (ja) 2004-03-26 2008-11-12 株式会社日立国際電気 半導体製造装置および半導体装置の製造方法
US7708859B2 (en) * 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
US20070066038A1 (en) 2004-04-30 2007-03-22 Lam Research Corporation Fast gas switching plasma processing apparatus
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
JP4550507B2 (ja) * 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
KR100587688B1 (ko) * 2004-07-28 2006-06-08 삼성전자주식회사 화학 기상 증착 장치
US7601649B2 (en) 2004-08-02 2009-10-13 Micron Technology, Inc. Zirconium-doped tantalum oxide films
KR101309334B1 (ko) * 2004-08-02 2013-09-16 비코 인스트루먼츠 인코포레이티드 화학적 기상 증착 반응기용 멀티 가스 분배 인젝터
US7081421B2 (en) 2004-08-26 2006-07-25 Micron Technology, Inc. Lanthanide oxide dielectric layer
US20060043067A1 (en) * 2004-08-26 2006-03-02 Lam Research Corporation Yttria insulator ring for use inside a plasma chamber
US7494939B2 (en) 2004-08-31 2009-02-24 Micron Technology, Inc. Methods for forming a lanthanum-metal oxide dielectric layer
US20060124169A1 (en) 2004-12-09 2006-06-15 Tokyo Electron Limited Gas supply unit, substrate processing apparatus, and supply gas setting method
US7560395B2 (en) 2005-01-05 2009-07-14 Micron Technology, Inc. Atomic layer deposited hafnium tantalum oxide dielectrics
KR100721576B1 (ko) * 2005-04-06 2007-05-23 삼성에스디아이 주식회사 유기 전계 발광 소자 제조 방법
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
KR100731164B1 (ko) * 2005-05-19 2007-06-20 주식회사 피에조닉스 샤워헤드를 구비한 화학기상 증착 방법 및 장치
US7572695B2 (en) 2005-05-27 2009-08-11 Micron Technology, Inc. Hafnium titanium oxide films
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US7410910B2 (en) 2005-08-31 2008-08-12 Micron Technology, Inc. Lanthanum aluminum oxynitride dielectric films
DE102005056322A1 (de) * 2005-11-25 2007-06-06 Aixtron Ag VPE-Reaktor mit koaxial zueinander angeordneten Quellgasrohren
US20070151668A1 (en) 2006-01-04 2007-07-05 Tokyo Electron Limited Gas supply system, substrate processing apparatus, and gas supply method
US7896967B2 (en) * 2006-02-06 2011-03-01 Tokyo Electron Limited Gas supply system, substrate processing apparatus and gas supply method
US7892358B2 (en) * 2006-03-29 2011-02-22 Tokyo Electron Limited System for introducing a precursor gas to a vapor deposition system
US20070234955A1 (en) * 2006-03-29 2007-10-11 Tokyo Electron Limited Method and apparatus for reducing carbon monoxide poisoning at the peripheral edge of a substrate in a thin film deposition system
US20070231489A1 (en) * 2006-03-29 2007-10-04 Tokyo Electron Limited Method for introducing a precursor gas to a vapor deposition system
US20070249173A1 (en) * 2006-04-21 2007-10-25 Applied Materials, Inc. Plasma etch process using etch uniformity control by using compositionally independent gas feed
US8187415B2 (en) * 2006-04-21 2012-05-29 Applied Materials, Inc. Plasma etch reactor with distribution of etch gases across a wafer surface and a polymer oxidizing gas in an independently fed center gas zone
US8226769B2 (en) 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
JP5069452B2 (ja) * 2006-04-27 2012-11-07 アプライド マテリアルズ インコーポレイテッド 二重温度帯を有する静電チャックをもつ基板支持体
US7431859B2 (en) * 2006-04-28 2008-10-07 Applied Materials, Inc. Plasma etch process using polymerizing etch gases with different etch and polymer-deposition rates in different radial gas injection zones with time modulation
US8231799B2 (en) * 2006-04-28 2012-07-31 Applied Materials, Inc. Plasma reactor apparatus with multiple gas injection zones having time-changing separate configurable gas compositions for each zone
US20070254483A1 (en) * 2006-04-28 2007-11-01 Applied Materials, Inc. Plasma etch process using polymerizing etch gases and an inert diluent gas in independent gas injection zones to improve etch profile or etch rate uniformity
US7541292B2 (en) * 2006-04-28 2009-06-02 Applied Materials, Inc. Plasma etch process with separately fed carbon-lean and carbon-rich polymerizing etch gases in independent inner and outer gas injection zones
US7540971B2 (en) 2006-04-28 2009-06-02 Applied Materials, Inc. Plasma etch process using polymerizing etch gases across a wafer surface and additional polymer managing or controlling gases in independently fed gas zones with time and spatial modulation of gas content
JP5157100B2 (ja) * 2006-08-04 2013-03-06 東京エレクトロン株式会社 成膜装置及び成膜方法
US20080078746A1 (en) * 2006-08-15 2008-04-03 Noriiki Masuda Substrate processing system, gas supply unit, method of substrate processing, computer program, and storage medium
KR100849929B1 (ko) * 2006-09-16 2008-08-26 주식회사 피에조닉스 반응 기체의 분사 속도를 적극적으로 조절하는 샤워헤드를구비한 화학기상 증착 방법 및 장치
US7879401B2 (en) * 2006-12-22 2011-02-01 The Regents Of The University Of Michigan Organic vapor jet deposition using an exhaust
US7789961B2 (en) * 2007-01-08 2010-09-07 Eastman Kodak Company Delivery device comprising gas diffuser for thin film deposition
US11136667B2 (en) * 2007-01-08 2021-10-05 Eastman Kodak Company Deposition system and method using a delivery head separated from a substrate by gas pressure
US20080166880A1 (en) * 2007-01-08 2008-07-10 Levy David H Delivery device for deposition
JP4515474B2 (ja) * 2007-02-21 2010-07-28 株式会社日立国際電気 基板処理装置および半導体デバイスの製造方法
JP4515475B2 (ja) * 2007-02-21 2010-07-28 株式会社日立国際電気 基板処理装置および半導体デバイスの製造方法
JP5034594B2 (ja) * 2007-03-27 2012-09-26 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP4972444B2 (ja) * 2007-03-30 2012-07-11 株式会社ニューフレアテクノロジー 気相成長装置及び気相成長方法
US8440259B2 (en) * 2007-09-05 2013-05-14 Intermolecular, Inc. Vapor based combinatorial processing
US8398770B2 (en) * 2007-09-26 2013-03-19 Eastman Kodak Company Deposition system for thin film formation
US8211231B2 (en) * 2007-09-26 2012-07-03 Eastman Kodak Company Delivery device for deposition
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US7976631B2 (en) * 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
JP5192214B2 (ja) * 2007-11-02 2013-05-08 東京エレクトロン株式会社 ガス供給装置、基板処理装置および基板処理方法
US9263298B2 (en) * 2008-02-27 2016-02-16 Tokyo Electron Limited Plasma etching apparatus and plasma etching method
WO2009125951A2 (en) * 2008-04-07 2009-10-15 Sosul Co., Ltd. Plasma processing apparatus and method for plasma processing
US20100071614A1 (en) * 2008-09-22 2010-03-25 Momentive Performance Materials, Inc. Fluid distribution apparatus and method of forming the same
JP5250408B2 (ja) * 2008-12-24 2013-07-31 新光電気工業株式会社 基板温調固定装置
KR101110080B1 (ko) * 2009-07-08 2012-03-13 주식회사 유진테크 확산판을 선택적으로 삽입설치하는 기판처리방법
US20110097489A1 (en) * 2009-10-27 2011-04-28 Kerr Roger S Distribution manifold including multiple fluid communication ports
US9034142B2 (en) * 2009-12-18 2015-05-19 Novellus Systems, Inc. Temperature controlled showerhead for high temperature operations
JP5194036B2 (ja) * 2010-01-27 2013-05-08 株式会社日立国際電気 基板処理装置、半導体デバイスの製造方法およびクリーニング方法
JP5194047B2 (ja) * 2010-04-14 2013-05-08 株式会社日立国際電気 基板処理装置、半導体デバイスの製造方法およびクリーニング方法
US20110256692A1 (en) * 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
US8986451B2 (en) 2010-05-25 2015-03-24 Singulus Mocvd Gmbh I. Gr. Linear batch chemical vapor deposition system
US9869021B2 (en) 2010-05-25 2018-01-16 Aventa Technologies, Inc. Showerhead apparatus for a linear batch chemical vapor deposition system
US9169562B2 (en) 2010-05-25 2015-10-27 Singulus Mocvd Gmbh I. Gr. Parallel batch chemical vapor deposition system
CN106884157B (zh) 2011-03-04 2019-06-21 诺发***公司 混合型陶瓷喷淋头
JP5902896B2 (ja) 2011-07-08 2016-04-13 東京エレクトロン株式会社 基板処理装置
US9887071B2 (en) * 2011-12-16 2018-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-zone EPD detectors
US9162236B2 (en) * 2012-04-26 2015-10-20 Applied Materials, Inc. Proportional and uniform controlled gas flow delivery for dry plasma etch apparatus
US9976215B2 (en) * 2012-05-01 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor film formation apparatus and process
JP6157061B2 (ja) * 2012-05-11 2017-07-05 東京エレクトロン株式会社 ガス供給装置及び基板処理装置
JP2014003234A (ja) * 2012-06-20 2014-01-09 Tokyo Electron Ltd プラズマ処理装置、及びプラズマ処理方法
KR101936348B1 (ko) * 2012-09-17 2019-01-08 삼성전자주식회사 급속 온도 변환이 가능한 테스트 핸들러 및 그를 이용한 반도체 소자의 테스트 방법
US9536710B2 (en) * 2013-02-25 2017-01-03 Applied Materials, Inc. Tunable gas delivery assembly with internal diffuser and angular injection
JP6027490B2 (ja) * 2013-05-13 2016-11-16 東京エレクトロン株式会社 ガスを供給する方法、及びプラズマ処理装置
WO2014189650A1 (en) * 2013-05-22 2014-11-27 Singulus Technologies Mocvd, Inc. Showerhead apparatus for a linear batch chemical vapor deposition system
JP6199619B2 (ja) * 2013-06-13 2017-09-20 株式会社ニューフレアテクノロジー 気相成長装置
JP6153401B2 (ja) * 2013-07-02 2017-06-28 株式会社ニューフレアテクノロジー 気相成長装置および気相成長方法
JP5917477B2 (ja) 2013-11-29 2016-05-18 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
KR101560623B1 (ko) * 2014-01-03 2015-10-15 주식회사 유진테크 기판처리장치 및 기판처리방법
EP3100298B1 (en) 2014-01-27 2020-07-15 Veeco Instruments Inc. Wafer carrier having retention pockets with compound radii for chemical vapor deposition systems
KR102268959B1 (ko) * 2014-03-31 2021-06-24 삼성디스플레이 주식회사 원자층 증착 장치 및 이를 이용한 원자층 증착 방법
US10741365B2 (en) 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
JP6444641B2 (ja) * 2014-07-24 2018-12-26 株式会社ニューフレアテクノロジー 成膜装置、サセプタ、及び成膜方法
JP6370630B2 (ja) * 2014-07-31 2018-08-08 株式会社ニューフレアテクノロジー 気相成長装置および気相成長方法
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10266414B2 (en) * 2015-06-16 2019-04-23 Hemlock Semiconductor Operations Llc Susceptor arrangement for a reactor and method of heating a process gas for a reactor
JP6573559B2 (ja) * 2016-03-03 2019-09-11 東京エレクトロン株式会社 気化原料供給装置及びこれを用いた基板処理装置
US9716005B1 (en) 2016-03-18 2017-07-25 Applied Materials, Inc. Plasma poisoning to enable selective deposition
JP6068738B1 (ja) * 2016-09-16 2017-01-25 キヤノンアネルバ株式会社 加熱装置、基板加熱装置および半導体デバイスの製造方法
KR102096700B1 (ko) * 2017-03-29 2020-04-02 도쿄엘렉트론가부시키가이샤 기판 처리 장치 및 기판 처리 방법
CN107761077B (zh) * 2017-10-20 2019-12-03 京东方科技集团股份有限公司 一种镀膜方法、装置以及pecvd设备
JP7038563B2 (ja) 2018-02-15 2022-03-18 東京エレクトロン株式会社 基板処理装置、流量制御方法及び流量制御プログラム
CN110872688A (zh) * 2018-08-29 2020-03-10 北京铂阳顶荣光伏科技有限公司 一种加热装置、镀膜设备、温度控制方法及***
TWI709203B (zh) * 2018-09-11 2020-11-01 大陸商北京北方華創微電子裝備有限公司 腔室冷卻裝置及半導體加工設備
KR102641752B1 (ko) * 2018-11-21 2024-03-04 삼성전자주식회사 가스 주입 모듈, 기판 처리 장치, 및 그를 이용한 반도체 소자의 제조방법
JP2022537038A (ja) * 2019-06-18 2022-08-23 ラム リサーチ コーポレーション 基板処理システム用の縮径キャリアリングハードウェア
US11542604B2 (en) * 2019-11-06 2023-01-03 PlayNitride Display Co., Ltd. Heating apparatus and chemical vapor deposition system
JP7364547B2 (ja) * 2020-09-25 2023-10-18 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US20240131534A1 (en) * 2021-06-06 2024-04-25 Kyocera Corporation Shower plate
CN113699509B (zh) * 2021-10-27 2022-02-01 苏州长光华芯光电技术股份有限公司 一种半导体生长设备及其工作方法
US11940819B1 (en) * 2023-01-20 2024-03-26 Applied Materials, Inc. Mass flow controller based fast gas exchange

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0383894A (ja) * 1989-08-24 1991-04-09 Mitsubishi Electric Corp 気相成長装置
JPH04211115A (ja) * 1990-01-26 1992-08-03 Fujitsu Ltd Rfプラズマcvd装置ならびに該装置による薄膜形成方法

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4741801A (en) * 1981-07-17 1988-05-03 Plasma Physics Corp. Glow discharge method and apparatus and photoreceptor devices made therewith
JPS59124140A (ja) * 1982-12-29 1984-07-18 Fujitsu Ltd 静電吸着装置
JPS6332930A (ja) * 1986-07-25 1988-02-12 Tokyo Electron Ltd アッシング装置
JPS63160355A (ja) * 1986-12-24 1988-07-04 Seiko Instr & Electronics Ltd 静電チヤツク
JPH0397222A (ja) * 1989-09-11 1991-04-23 Kokusai Electric Co Ltd 枚葉式cvd装置
US5127988A (en) * 1989-12-27 1992-07-07 Yoshida Kogyo K.K. Process for the surface treatment of conductive material
JPH0521393A (ja) * 1991-07-11 1993-01-29 Sony Corp プラズマ処理装置
JP2758755B2 (ja) * 1991-12-11 1998-05-28 松下電器産業株式会社 ドライエッチング装置及び方法
US5273588A (en) * 1992-06-15 1993-12-28 Materials Research Corporation Semiconductor wafer processing CVD reactor apparatus comprising contoured electrode gas directing means
JP2798570B2 (ja) * 1992-12-01 1998-09-17 京セラ株式会社 静電チャック
JP2749759B2 (ja) * 1993-06-23 1998-05-13 信越化学工業株式会社 静電チャック付セラミックスヒーター
JPH07273101A (ja) * 1994-03-31 1995-10-20 Tokyo Electron Ltd 枚葉式熱処理装置

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0383894A (ja) * 1989-08-24 1991-04-09 Mitsubishi Electric Corp 気相成長装置
JPH04211115A (ja) * 1990-01-26 1992-08-03 Fujitsu Ltd Rfプラズマcvd装置ならびに該装置による薄膜形成方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101000093B1 (ko) * 2008-07-22 2010-12-09 엘아이지에이디피 주식회사 기판 처리용 가스 공급장치 및 이를 이용한 기판 처리장치
KR20220163902A (ko) * 2018-03-29 2022-12-12 삼성전자주식회사 가스 분배 판을 갖는 플라즈마 처리 장치
KR102561491B1 (ko) 2018-03-29 2023-07-31 삼성전자주식회사 가스 분배 판을 갖는 플라즈마 처리 장치

Also Published As

Publication number Publication date
TW300319B (ko) 1997-03-11
JPH0945624A (ja) 1997-02-14
US5958140A (en) 1999-09-28
KR970008335A (ko) 1997-02-24

Similar Documents

Publication Publication Date Title
KR100435119B1 (ko) 매엽식처리장치
US20080283086A1 (en) Substrate processing apparatus and cleaning method therefor
KR100539343B1 (ko) 웨이퍼 지지 시스템
KR0166973B1 (ko) 반도체 웨이퍼 처리장치 및 방법
US5938850A (en) Single wafer heat treatment apparatus
US5702531A (en) Apparatus for forming a thin film
WO1990013687A2 (en) Apparatus and method for treating flat substrates under reduced pressure
JPH03287770A (ja) 枚葉式常圧cvd装置
US6403479B1 (en) Process for producing semiconductor and apparatus for production
US7314526B1 (en) Reaction chamber for an epitaxial reactor
US6007633A (en) Single-substrate-processing apparatus in semiconductor processing system
US6656838B2 (en) Process for producing semiconductor and apparatus for production
TWI797524B (zh) 有針對性的加熱控制系統
JPH0794419A (ja) 半導体処理装置
JPH0587128B2 (ko)
KR20110130631A (ko) 박막처리장치 및 이를 이용하는 박막처리공정의 기판가열방법
TW202141666A (zh) 具有局部化的流動控制的面板
US20130068164A1 (en) Heating unit and film-forming apparatus
JPH0582450A (ja) 半導体装置製造用気相反応装置
JP2963145B2 (ja) Cvd膜の形成方法及び形成装置
JPS634615A (ja) 半導体装置製造装置
KR101943313B1 (ko) 기판 처리 장치 및 시스템
JP2002141290A (ja) 半導体製造装置
TW202215907A (zh) 高傳導度製程套件
JP2000208424A (ja) 処理装置とその方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20090525

Year of fee payment: 6

LAPS Lapse due to unpaid annual fee