KR100363992B1 - 반도체 장치의 제조 방법 - Google Patents

반도체 장치의 제조 방법 Download PDF

Info

Publication number
KR100363992B1
KR100363992B1 KR1020000005370A KR20000005370A KR100363992B1 KR 100363992 B1 KR100363992 B1 KR 100363992B1 KR 1020000005370 A KR1020000005370 A KR 1020000005370A KR 20000005370 A KR20000005370 A KR 20000005370A KR 100363992 B1 KR100363992 B1 KR 100363992B1
Authority
KR
South Korea
Prior art keywords
pattern
mask
film
hard mask
forming
Prior art date
Application number
KR1020000005370A
Other languages
English (en)
Other versions
KR20000057910A (ko
Inventor
이마이기요타카
Original Assignee
닛폰 덴키(주)
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 닛폰 덴키(주) filed Critical 닛폰 덴키(주)
Publication of KR20000057910A publication Critical patent/KR20000057910A/ko
Application granted granted Critical
Publication of KR100363992B1 publication Critical patent/KR100363992B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

본 발명은 소정의 패턴을 형성하는데 사용되는 패턴 형성막 상에 하드 마스크 재료막을 형성한 다음, 상기 하드 마스크 재료막 상에 포토레지스트막을 형성하는 단계와, 위상 시프터를 갖는 제1 마스크를 사용하여 제1 노광을 수행하고 현상을 행하는 단계와, 형성된 레지스트 패턴을 마스크로서 사용하여 상기 하드 마스크 재료막을 에칭하는 단계와, 형성된 하드 마스크 패턴을 커버하도록 포토레지스트막을 형성하는 단계와, 상기 하드 마스크 패턴의 필요한 부분만을 커버하는 포토레지스트의 부분을 노광 및 현상 후에 잔류하게 할 수 있는 패턴을 갖는 제 2 마스크를 사용하여 제 2 노광을 수행하고 현상을 행하는 단계와, 상기 포토레지스트의 소정 부분으로 커버되지 않는 하드 마스크의 불필요한 부분을 에칭에 의해 제거하는 단계와, 잔류하는 하드 마스크 패턴을 마스크로서 사용하여 상기 패턴 형성막을 에칭하는 단계를 구비하는 반도체 장치의 제조방법에 관한 것이다. 본 발명에 의하면, 신뢰성이 높고 소자 특성이 우수한 반도체 장치가 높은 수율로 제조될 수 있다.

Description

반도체 장치의 제조 방법{Manufacturing method of semiconductor device}
본 발명은 반도체 장치의 제조방법에 관한 것이며, 보다 상세히는 위상 시프트 마스크를 사용한 패턴 형성 방법에 관한 것이다.
반도체 장치의 고집적화를 달성하기 위하여, 포토리소그래피 단계에서는 미세하고 보다 조밀한 패턴의 형성을 추구하고 있다. 따라서, 일반적으로 축소 투영 노광법이 포토리소그래피 단계에서 노광을 위해 통상 사용되고 있지만, 해상 한계를 보다 상승시키고 보다 미세하고 조밀한 패턴을 형성하기 위해 위상 시프트 방법이 사용되고 있다.
위상 시프트 방법의 기본적인 원리는 레벤손 타입의 위상 시프트 방법에 의해 선과 공간(L/S ; line and space) 패턴을 형성하는 경우를 예로 들어 이하에서 간단히 설명한다. 이 경우에, 라인이 차광 재료로 투명 기판상에 형성되고 위상 시프터가 모든 개구 부분(공간 부분)에 배치된 위상 시프트 마스크를 사용한다. 이 마스크의 개구 부분을 통과한 광은 렌즈를 통해 진행하여 웨이퍼상에 놓인 레지스트막상에 상을 형성한다. 인접한 두 개의 개구 부분 사이의 간격이 상당히 좁아지면, 위상 시프터를 갖지않는 통상의 마스크가 사용될 때는, 인접한 두 개의 개구 부분으로부터 진행하는 회절광은 위상이 동일하기 때문에, 그들 상호 간섭을 통해 상기 인접한 두 개의 개구 부분의 상은 서로 분리할 수 없게 된다. 이와 대조적으로, 위상 시프트 마스크에서는 인접한 각 개구로부터의 두 개의 광의 위상차는 180 도이므로 두 개의 광은 서로 간섭하고 회절광이 파괴되어 인접한 두 개의 개구 부분의 상이 서로 분리한다.
이러한 종류의 위상 시프트 방법은 L/S 패턴등의 반복 패턴, 고립 패턴, 불규칙 패턴(random patterns) 등에 사용되고 DRAM(Dynamic Random Access Memory)의 비트선과 CMOS(Complementary Metal-Oxide-Semiconductor)의 게이트 패턴을 포함하는 다양한 반도체 장치의 제조에 적용된다. 예를 들면, SPIE(Society of Photo-optical Instrumentation Engineering)의 회보 3051 권, 342 내지 351 페이지(1997)에는 위상 시프트 방법이 0.16 ㎛의 CMOS 게이트 패턴을 얻도록 적용되는 연구가 보고되어 있다.
위상 시프트 방법에 의해 미세한 게이트 패턴을 형성하는 일반적인 방법이 이하에 설명되어 있다.
이 방법에 있어서, 개별적인 노광을 위해 도 2a 및 2b에 별개로 도시된 두 개의 상이한 마스크를 사용하여 두 개의 노광이 행해지며, 이들 두 개의 마스크를 통한 노광으로부터 얻어진 합성상으로 게이트 패턴이 웨이퍼상에 형성된다. 도 2a는 라인용 차광부(22)와 위상 시프터부(23)가 투명 기판(21)상에 형성된 위상 시프트 마스크(마스크 A)의 구성도이다. 라인용 차광부(22)에 도시된 패턴이 형성하고자 하는 패턴이다. 도 2b는 마스크 A로 제1 노광이 수행된 후에, 형성하고자 하는 게이트 패턴의 위치에 배치된 포지티브 레지스트의 부분을 제 2 노광에 대해 보호하기 위해 보호용 차광부(24)가 형성된 다른 마스크(마스크 B)의 구성도이다.
도 3a, 3b 및 3c는 전술된 마스크 A, 마스크 B 또는 양쪽을 사용하여 웨이퍼상에 놓인 포지티브 레지스트상에 전사된 패턴을 도시한다. 도 3a는 마스크 A를 사용하여 제1 노광에 의해 얻어진 전사 패턴을 도시한다. 링형 패턴이 전사되며, 라인용 차광부(22)에 의해 형성된 것 이외의 패턴도 전사되어 있다. 각 링형 패턴의 부분은 각 위상 시프터부의 에지에 의해 형성된다. 이것은 광 진폭이 위상 시프터부(23) 주위의 에지에서 약해지므로, 광이 실질적으로 차단된다. 도 3b는 마스크 A를 사용하는 제1 노광에 의해 전사된 패턴과 마스크 B를 사용하는 제 2 노광에 의해 전사된 패턴 사이의 위치결정 관계를 도시한다. 제 2 노광시에, 마스크 B는 형성하고자 하는 게이트 패턴의 위치에 배치된 레지스트 부분이 보호용 차광부(24)에 의해 잘 보호되도록 정렬되어야 한다. 도 3c는 얻어진 레지스트 패턴을 도시하며, 제1 노광후에 제 2 노광이 수행된 다음 이어서 현상이 행해진다. 차광부에 의해 제1 노광에서 전사된 패턴의 불필요한 부분에 제 2 노광에서 광의 조사가 적용되므로, 그 부분의 레지스트는 현상에 의해 제거됨으로써 소정의 레지스트 패턴이 형성된다.
다음에, 상술된 두 개의 다른 마스크를 사용하여 레지스트 패턴이 형성되고 게이트 패턴이 실리콘 기판상에 형성되는 방법이 설명된다. 도 4는 이 형성방법을 도시하는 개략적인 단면도의 두 개의 세트이다. 도 4 (a1) 내지 (a4)는 도 3b의 선 A-A'를 따라 취한 단면도이고 도 4 (b1) 내지 (b4)는 도 3b의 선 B-B'를 따라 취한단면도이다.
먼저, 실리콘 기판(101)상에는 게이트 산화막(102)이 형성되고 그 위에 폴리실리콘막(103)이 형성된다. 또한, 그위에는 포지티브 포토레지스트막(105)이 형성된다. 그 다음에 마스크 A(106)를 통해 이 실리콘 기판에 제1 노광이 적용된다[도 4 (a1), (b1)]. 마스크 A에서 위상 시프터부(23)의 에지부와 라인용 차광부(22)에 대응하는 레지스트 영역은 광이 조사되지 않으므로 도 3a에 도시된 비노광 영역의 링형 패턴이 전사된다.
다음에, 마스크 B(107)는 그 전사 패턴이 도 3b에 도시된 위치결정 관계를 따르도록 정렬되고, 그 후 이 마스크 B를 통해 제 2 노광이 수행된다[도 4 (a2), (b2)]. 이 제 2 노광에 의해, 마스크 A에서 위상 시프터부(23)의 에지부에 의해 야기된 비노광 영역의 패턴 부분이 또한 조사된다.
이어서, 현상을 수행함으로써, 도 3c에 도시된 형상을 따르는 레지스트 패턴(109)이 형성된다[도 4 (a3), (b3)]. 그 다음, 마스크로서 레지스트 패턴을 사용하여 폴리실리콘막(103)이 에칭된 후에 여분으로 되는 레지스트(109)가 제거됨으로써 상기 레지스트 패턴(109)에 대응하는 게이트 패턴(110)이 형성된다[도 4 (a4), (b4)].
그러나, 상술된 종래의 방법은 다음의 문제점을 갖고 있다. 즉, 패턴의 공간이 협소해짐에 따라, 패턴의 얇은 부분이 현저하게 된다. 패턴의 최종 치수가 설계치로부터 매우 크게 변화되면 그 때문에 양품률 감소, 신뢰성 저하, 소자 특성의 저하 등과 같은 다양한 문제점이 유발된다.
그러한 패턴의 얇은 부분이 발생하는 이유는 상술된 제조방법의 경우를 예로 들어 설명되었다. 도 3b에서, 패턴의 간격 또는 라인(W1)간의 거리가 협소해지면, 마스크 A와 마스크 B 사이의 마진(W2)이 작게된다. 마진(W2)이 이와 같이 상당히 작아질때는, 보호되어야 하는 비노광 레지스트부(108)가 벗어난 광에 의해 영향을 받기 쉽게 된다. 이어서, 현상을 수행한 후에 형성된 레지스트 패턴의 폭(W3)이 축소되거나, 다른 말로 패턴의 얇은 부분이 발생된다.
본 발명의 목적은 패턴을 형성하는 단계에서, 협소한 간격을 갖는 미세한 패턴에 있어서도 패턴의 얇은 부분이 생기지 않고 실제적으로 설계 치수를 갖는 패턴이 형성될 수 있는 반도체 장치의 제조방법을 제공하는데 있다.
본 발명은 소정의 패턴을 형성하는데 사용되는 패턴 형성막상에 하드 마스크 재료막을 형성한 다음, 상기 하드 마스크 재료막상에 포토레지스트막을 형성하는 단계와, 위상 시프터를 갖는 제1 마스크를 사용하여 제1 노광을 수행하고 현상을 행하는 단계와, 형성된 레지스트 패턴을 마스크로서 사용하여 상기 하드 마스크 재료막을 에칭하는 단계와, 형성된 하드 마스크 패턴을 커버하도록 포토레지스트막을 형성하는 단계와, 상기 하드 마스크 패턴의 필요한 부분만을 커버하는 포토레지스트의 부분을 노광 및 현상 후에 잔류하게 할 수 있는 패턴을 갖는 제 2 마스크를 사용하여 제 2 노광을 수행하고 현상을 행하는 단계와, 상기 포토레지스트의 소정 부분으로 커버되지 않는 하드 마스크의 불필요한 부분을 에칭에 의해 제거하는 단계와, 잔류하는 하드 마스크 패턴을 마스크로서 사용하여 상기 패턴 형성막을 에칭하는 단계를 구비하는 반도체 장치의 제조방법에 관한 것이다.
또한, 본 발명은 소정의 패턴을 형성하는데 사용되는 패턴 형성막상에 하드 마스크 재료막을 형성한 다음, 상기 하드 마스크 재료막상에 포토레지스트막을 형성하는 단계와, 후공정에 사용되는 제1 마스크의 전사 패턴에 대응하는 레지스트 영역내에서 필요한 부분에는 노광시키지 않고 불필요한 부분에 노광시키는 패턴을 갖는 제 2 마스크를 사용하여 제1 노광을 수행하고 현상을 행하는 단계와, 형성된 레지스트 패턴을 마스크로서 사용하는 하드 마스크 재료막을 에칭하는 단계와, 레지스트 패턴을 제거한 후에 형성된 하드 마스크 패턴을 커버하도록 포토레지스트막을 형성하는 단계와, 위상 시프터를 갖는 제1 마스크를 사용하여 제 2 노광을 수행하고 현상을 행하는 단계와, 형성된 레지스트 패턴을 마스크로서 사용하여 하드 마스크의 불필요한 부분을 에칭에 의해 제거하는 단계와, 상기 레지스트 패턴을 제거한 후에 형성된 하드 마스크 패턴을 마스크로서 사용하여 상기 패턴 형성막을 에칭하는 단계를 구비하는 반도체 장치의 제조방법에 관한 것이다.
본 발명에 의하면, 신뢰성이 높고 소자 특성이 우수한 반도체 장치가 높은 양품률로 생산될 수 있다.
도 1은 본 발명에 따른 위상 시프트 마스크를 사용하여 게이트 패턴을 형성하는 방법의 단계를 설명하는 개략적인 두 개의 세트의 단면도.
도 2a 및 2b는 본 발명에 따른 게이트 패턴의 형성에 사용되는 마스크의 구성도.
도 3a, 3b 및 3c는 도 2a와 2b에 도시된 하나 또는 두 개의 마스크를 통한 노광에 의해 웨이퍼상에 형성된 전사 패턴을 도시하는 도면.
도 4는 위상 시프트 마스크를 사용하여 게이트 패턴을 형성하는 방법의 단계를 설명하는 개략적인 두 개의 세트의 단면도.
도 5a 및 5b는 본 발명에 따른 게이트 패턴의 형성에 사용되는 마스크의 구성도.
도 6a, 6b 및 6c는 도 5a와 5b에 도시된 하나 또는 두 개의 마스크를 통한 노광에 의해 웨이퍼상에 형성된 전사 패턴을 도시하는 도면.
<도면의주요부분에대한부호의설명>
1 : 실리콘 기판 2 : 산화막
5 : 레지스트 패턴 6 : 마스크 A
7 : 마스크 B 8 : 하드 마스크
9 : 보호용 레지스트 10 : 게이트 패턴
21 : 투명 기판 22, 122 : 라인용 차광부
23, 123 : 위상 시프터부 24, 124 : 보호용 차광부
게이트 패턴 형성의 경우를 예로 들어, 본 발명의 바람직한 실시예가 이하에 설명된다.
위상 시프터를 갖는 마스크 또는 위상 시프트 마스크가 본 발명에 사용되지만, 레벤손 타입 및 크롬레스 타입(chromeless-type)의 위상 시프트 마스크가 본발명에 매우 적합하다. 본 실시예에 있어서는, 레벤손 타입의 위상 시프트 마스크가 사용되는 예를 설명한다.
본 발명에 사용된 하드 마스크는 드라이 에칭에 의해 패턴 형성되는 패턴 형성 재료막상에 형성되는 무기 마스크 재료막이며, 이 하드 마스크 재료막은 상기 패턴 형성 재료막에 대하여 충분히 작은 에칭 속도를 갖는 막으로 구성되므로, 충분히 큰 에칭 선택비를 갖는다. 레지스트가 상기 패턴 형성 재료막에 대해 충분히 큰 에칭 선택비를 갖지 않으면, 상기 레지스트는 하드 마스크 재료막이 마스크로서 레지스트 패턴을 사용하여 먼저 패턴 형성되고, 이어서 이 형성된 하드 마스크 패턴을 마스크로서 사용함으로써, 상기 패턴 형성 재료막이 다시 에칭을 통해 패턴 형성하게 된다. 이 경우에 있어서, 하드 마스크 재료막이 마스크로서 사용되는 레지스크 패턴으로 에칭될 때는 하드 마스크 재료의 에칭 속도가 레지스트와 비교하여 충분히 클 것이 요구된다.
본 실시예에서는, 전술된 종래의 방법에 사용된 것처럼 도 2a 및 2b에 도시된 동일한 두 개의 개별 마스크를 사용하였다. 도 2a는 라인용 차광부(22)와 위상 시프터부(23)가 유리 기판등의 투명 기판(21)상에 형성된 위상 시프트 마스크(마스크 A)의 구성도이다. 상기 차광부(22)는 크롬, 산화크롬 등의 차광 재료로 형성된다. 위상 시프터부(23)는 실리콘 산화막 등의 기판과 다른 재료로 형성되거나 위상 시프터부에서 기판의 두께를 변화시킴으로써 시프터부가 통과하는 광의 위상차를 유도할 수 있게 한다. 또한, 라인용 차광부(22)에 나타나는 패턴이 형성하고자 하는 패턴이다. 도 2b는 보호용 차광부(24)가 형성하고자 하는 게이트 패턴에 대응하는 하드 마스크의 부분만을 커버하도록 레지스트 패턴을 형성하기 위해 형성되는 다른 마스크(마스크 B)의 구성도이다.
도 3a, 3b 및 3c는 전술된 마스크 A, 마스크 B 또는 양쪽을 사용하여 웨이퍼상에 전사된다. 도 3a는 마스크 A를 사용하여 제1 노광에 의해 얻어진 전사 패턴을 도시한다. 링형 패턴이 전사되며, 라인용 차광부(22)에 의해 형성된 것과 다른 패턴이 또한 전사된다. 각 링형 패턴의 부분은 각 위상 시프터부의 에지에 의해 형성된다. 이것은 위상 시프터부(23) 주위의 에지부에서 광의 진폭이 약해지게 하므로, 광이 실질적으로 차단된다. 도 3b는 마스크 A를 사용하여 제1 노광에 의해 전사된 패턴과 마스크 B를 사용하여 제 2 노광에 의해 전사된 패턴 사이의 위치결정 관계를 도시한다. 제 2 노광시에, 마스크 B는 형성하고자 하는 게이트 패턴에 대응하는 하드 마스크부의 부분만을 레지스트 패턴이 적절하게 형성될 수 있도록 정렬되어야 한다. 도 3c는 최종적으로 형성하고자 하는 게이트 패턴에 대응하는 하드 마스크 패턴을 도시한다. 이하에서 언급되는 바와 같이, 광을 차단하여 제1 노광에서 전사된 패턴에 대응하여 형성된 하드 마스크의 불필요한 부분은 소정의 레지스트로 커버되지 않고 에칭에 의해 제거됨으로써 전술한 하드 마스크 패턴이 형성된다.
다음에, 상술한 두 개의 다른 마스크를 사용하여 레지스트 패턴과 하드 마스크 패턴을 형성하고 실리콘 기판상에 게이트 패턴을 형성하는 방법을 설명한다. 도 1은 이 형성 방법의 단계를 도시하는 개략적인 단면도의 두 개의 세트이다. 도 1 (a1) 내지 (a4)는 도 3b의 선 A-A'을 따라 취한 단면도이고 도 1 (b1) 내지 (b4)는도 3b의 선 B-B'를 따라 취한 단면도이다.
먼저, 실리콘 기판(1)상에는 게이트 산화막(2)이 형성되고 그 위에 폴리실리콘막(3)이 형성된다. 또한, 하드 마스크를 형성하는데 사용되는 실리콘 산화막(4)이 그 위에 형성된 다음에 포지티브 레지스트막이 형성된다.
그 후, 마스크 A(6)를 통해 이 실리콘 기판에 제1 노광이 적용되고, 이어서 현상이 수행된다. 이것에 의해, 마스크 A에서 위상 시프터부(23)의 에지부와 라인용 차광부(22)에 대응하는 레지스트 영역에는 광이 조사되지 않으므로 도 3a에 도시된 링형 레지스트 패턴(5)이 형성된다 [도 1 (a2), (b1)].
다음에, 이 레지스트 패턴(5)을 마스크로서 사용하여 실리콘 산화막(4)이 에칭됨으로써 하드 마스크(8)가 폴리실리콘막(3)상에 형성된다[도 1 (a2), (b2)].
다음에, 포지티브 포토레지스트막이 그위에 형성된 후, 마스크 B(7)는 그 전사 패턴이 도 3b에 도시된 위치결정 관계로 되도록 정렬된 다음, 마스크 B를 통한 제 2 노광이 수행되고 이어서 현상이 행해진다. 이것에 의해, 형성되고자 하는 게이트 패턴에 대응하는 하드 마스크의 부분만이 보호용 레지스트(9)로 커버된다[도 1 (a3), (b3)].
다음에, 이 보호용 레지스트로 커버되지 않는 하드 마스크의 다른 부분은 에칭에 의해 제거되고 그 후 보호용 레지스트(9)가 제거됨으로써, 형성하고자 하는 게이트 패턴에 대응하는 도 3c에 도시된 소정의 하드 마스크 패턴(8)이 얻어진다[도 1 (a4), (b4)].
최종적으로, 마스크로서 사용되는 이 하드 마스크 패턴(8)을 갖는 폴리실리콘막(3)에 에칭을 행함으로써, 상기 하드 마스크 패턴(8)에 대응하는 게이트 패턴(10)이 형성된다[도 1 (a5), (b5)]. 게이트 패턴상에 잔류하는 여분의 하드 마스크는 에칭에 의해 용이하게 제거된다.
다음에, 다른 실시예로서, 본 발명이 SRAM(Static Random Access Memory)의 메모리 셀 영역내에 게이트 패턴의 형성에 적용되는 일예가 설명된다.
도 5a 및 5b는 사용된 마스크의 구성도이다. 도 5a는 라인용 차광부와 위상 시프터부(123)가 투명 기판상에 형성되는 라인 형성용 마스크 A를 도시하고, 도 5b는 보호용 차광부(124)가 투명 기판상에 형성되는 마스크 B를 도시한다. 또한, 도 5b의 파선은 완성된 게이트 패턴의 위치를 지시한다.
도 6a, 6b 및 6c는 도 5a 및 5b에 도시된 마스크로 형성된 전사 패턴을 도시하는 도면이다. 도 6a는 마스크 A로 형성된 전사 패턴을 도시하고 도 6b는 마스크 B로 형성된 전사 패턴을 도시한다. 상술된 실시예와 동일한 방법으로, 도 6c에 도시된 소정의 게이트 패턴이 마스크 A와 마스크 B 양쪽을 사용하여 패턴 형성을 통해 형성된다.
종래의 방법에서는, 보호용 차광부(124)의 개구부(125)에 대응하는 영역을 따라 라인 부분(p)에 얇은 부분이 보인다. 이와 대조적으로, 본 발명에서는 기판내에 설계된 패턴이 얻어진다.
본 발명에서는, 형성하고자 하는 게이트 패턴에 대응하는 하드 마스크 패턴의 부분이 레지스트로 커버되기 때문에, 그 형상은 하드 마스크 패턴 형성 단계에서 소정의 변화를 받지 않는다. 그러므로, 이 하드 마스크 패턴을 마스크로 사용하여 형성된 게이트 패턴은 얇은 부분 등의 형상과 관련한 문제가 생기지 않으며, 결과적으로 실제적으로 설계 치수를 갖는 게이트 패턴이 얻어진다.
또한, 본 발명의 다른 실시예로서, 상술된 각각의 실시예에서 마스크 A로 노광하는 단계와 마스크 B로 노광하는 단계는 순서가 역으로 배열될 수 있다. 다른 말로, 하드 마스크 재료막으로서 실리콘 산화막이 폴리실리콘막상에 형성된 다음 포토레지스트막이 상기 실리콘 산화막상에 형성된다. 다음에, 후공정에 사용되는 마스크 A의 전사 패턴에 대응하는 레지스트 영역에서 필요한 부분을 노광시키지 않고 불필요한 부분을 노광시키는 패턴을 갖는 마스크 B를 사용하여 제1 노광이 수행되고, 이어서 현상이 수행된다. 이어서, 상기 실리콘 산화막은 형성된 레지스트 패턴을 마스크로서 사용하여 에칭된다. 다음에, 상기 레지스트 패턴이 제거된 후, 실리콘 산화막의 형성된 패턴을 커버하도록 포토레지스트막이 형성된다. 다음에, 마스크 A를 갖는 제 2 노광과 현상이 수행된다. 다음에, 형성된 레지스트 패턴을 마스크로서 사용하여 에칭에 의해 실리콘 산화막의 불필요한 부분이 제거된다. 이어서, 레지스트 패턴이 제거된 후, 실리콘 산화막의 형성된 패턴을 마스크로서 사용하여 폴리실리콘막이 에칭된다.
또한, 포지티브 레지스트가 상기 설명에 이용되었지만, 패턴에 따라서 네가티브 레지스트가 사용될 수 있다.
상술된 본 발명의 제조방법은 L/S 패턴, 고립 패턴, 불규칙 패턴 등의 반복 패턴을 포함하는 다양한 패턴의 형성에 사용될 수 있다. 특히 L/S 패턴과 그 조합 패턴 및 그들의 반복 패턴의 형성에 매우 적절하며, DRAM, SRAM, CMOS 등의 다양한반도체 장치에서 모든 종류의 패턴을 형성하는데 매우 유용하며, 비트 라인과 게이트 패턴의 형성을 포함한다.
본 발명에 의하면, 신뢰성이 높고 소자 특성이 우수한 반도체 장치가 높은 t수율로 제조될 수 있다.

Claims (12)

  1. 반도체 장치를 제조하는 방법에 있어서,
    소정의 패턴을 형성하는데 사용되는 패턴 형성막 상에 하드 마스크 재료막을 형성한 후, 상기 하드 마스크 재료막 상에 포토레지스트막을 형성하는 단계와,
    위상 시프터를 갖는 제1 마스크를 사용하여 제1 노광을 수행한 후 현상을 행하는 단계와,
    형성된 레지스트 패턴을 마스크로 사용하여 상기 하드 마스크 재료막을 에칭하는 단계와,
    형성된 하드 마스크 패턴을 커버하도록 포토레지스트막을 형성하는 단계와,
    상기 하드 마스크 패턴의 요구되는 부분만을 커버하는 포토레지스트의 부분을, 상기 노광 및 현상 후에 잔류할 수 있게 하는 패턴을 갖는 제2 마스크를 사용하여 제2 노광을 수행하고 현상을 행하는 단계와,
    상기 포토레지스트의 어떤 부분으로도 커버되지 않는 상기 하드 마스크의 요구되지 않는 부분을 에칭에 의해 제거하는 단계와,
    잔류한 하드 마스크 패턴을 마스크로 사용하여 상기 패턴 형성막을 에칭하는 단계를 포함하는 반도체 장치 제조 방법.
  2. 반도체 장치를 제조하는 방법에 있어서,
    소정의 패턴을 형성하는데 사용될 패턴 형성막 상에 하드 마스크 재료막을 형성한 후, 상기 하드 마스크 재료막 상에 포토레지스트막을 형성하는 단계와,
    후공정에 사용될 제1 마스크의 전사 패턴에 대응하는 레지스트 영역내에서 요구되는 부분은 노광시키지 않고 요구되지 않는 부분은 노광시키는 패턴을 갖는 제2 마스크를 사용하여 제1 노광을 수행한 후 현상을 행하는 단계와,
    형성된 레지스트 패턴을 마스크로 사용하여 하드 마스크 재료막을 에칭하는 단계와,
    상기 레지스트 패턴을 제거한 후, 형성된 하드 마스크 패턴을 커버하도록 포토레지스트막을 형성하는 단계와,
    위상 시프터를 갖는 제1 마스크를 사용하여 제2 노광을 수행한 후, 현상을 행하는 단계와,
    형성된 레지스트 패턴을 마스크로 사용하여 상기 하드 마스크의 요구되지 않는 부분을 에칭에 의해 제거하는 단계와,
    상기 레지스트 패턴을 제거한 후, 형성된 하드 마스크 패턴을 마스크로 사용하여 상기 패턴 형성막을 에칭하는 단계를 포함하는 반도체 장치 제조 방법.
  3. 제1항에 있어서, 상기 제1 마스크는 레벤손(Levenson) 타입 위상 시프트 마스크인, 반도체 장치 제조 방법.
  4. 제2항에 있어서, 상기 제1 마스크는 레벤손(Levenson) 타입 위상 시프트 마스크인, 반도체 장치 제조 방법.
  5. 제1항에 있어서, 상기 소정의 패턴은 선과 공간(line and space)의 조합 패턴인, 반도체 장치 제조 방법.
  6. 제2항에 있어서, 상기 소정의 패턴은 선과 공간(line and space)의 조합 패턴인, 반도체 장치 제조 방법.
  7. 제1항에 있어서, 상기 소정의 패턴은 게이트 패턴인, 반도체 장치 제조 방법.
  8. 제2항에 있어서, 상기 소정의 패턴은 게이트 패턴인, 반도체 장치 제조 방법.
  9. 제1항에 있어서, 상기 패턴 형성막은 폴리실리콘막인, 반도체 장치 제조 방법.
  10. 제2항에 있어서, 상기 패턴 형성막은 폴리실리콘막인, 반도체 장치 제조 방법.
  11. 제1항에 있어서, 상기 하드 마스크 재료막은 실리콘 산화막인, 반도체 장치 제조 방법.
  12. 제2항에 있어서, 상기 하드 마스크 재료막은 실리콘 산화막인, 반도체 장치 제조 방법.
KR1020000005370A 1999-02-05 2000-02-03 반도체 장치의 제조 방법 KR100363992B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2909299A JP3257593B2 (ja) 1999-02-05 1999-02-05 半導体装置の製造方法
JP99-029092 1999-02-05

Publications (2)

Publication Number Publication Date
KR20000057910A KR20000057910A (ko) 2000-09-25
KR100363992B1 true KR100363992B1 (ko) 2002-12-11

Family

ID=12266722

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020000005370A KR100363992B1 (ko) 1999-02-05 2000-02-03 반도체 장치의 제조 방법

Country Status (4)

Country Link
US (1) US6573027B1 (ko)
JP (1) JP3257593B2 (ko)
KR (1) KR100363992B1 (ko)
TW (1) TW462073B (ko)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6749970B2 (en) * 2001-12-11 2004-06-15 Advanced Micro Devices, Inc. Method of enhancing clear field phase shift masks with border regions around phase 0 and phase 180 regions
US6675369B1 (en) * 2001-12-11 2004-01-06 Advanced Micro Devices, Inc. Method of enhancing clear field phase shift masks by adding parallel line to phase 0 region
JP4342767B2 (ja) 2002-04-23 2009-10-14 富士通マイクロエレクトロニクス株式会社 半導体装置の製造方法
DE10260755B4 (de) 2002-12-23 2007-09-06 Infineon Technologies Ag Verfahren zur Bildung eines Strukturelementes auf einem Wafer mittels einer Maske und einer ihr zugeordneten Trim-Maske
US7142282B2 (en) * 2003-10-17 2006-11-28 Intel Corporation Device including contacts
US7132327B2 (en) * 2004-05-25 2006-11-07 Freescale Semiconductor, Inc. Decoupled complementary mask patterning transfer method
JP2006310376A (ja) * 2005-04-26 2006-11-09 Renesas Technology Corp 半導体集積回路装置の製造方法
JP2006332123A (ja) * 2005-05-23 2006-12-07 Toshiba Corp 半導体装置の製造方法
JP2007123342A (ja) * 2005-10-25 2007-05-17 Nec Electronics Corp 半導体装置の製造方法。
JP2007149768A (ja) * 2005-11-24 2007-06-14 Nec Electronics Corp 半導体装置の製造方法
JP2007299960A (ja) * 2006-04-28 2007-11-15 Toshiba Corp 半導体装置及びその製造方法
JP4237216B2 (ja) 2006-10-05 2009-03-11 Necエレクトロニクス株式会社 半導体装置の製造方法
JP2008091825A (ja) * 2006-10-05 2008-04-17 Nec Electronics Corp 半導体装置の製造方法
JP4891962B2 (ja) * 2008-09-17 2012-03-07 株式会社東芝 半導体装置の製造方法
US8404403B2 (en) * 2010-06-25 2013-03-26 Intel Corporation Mask design and OPC for device manufacture
US8741776B2 (en) * 2012-02-07 2014-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning process for fin-like field effect transistor (finFET) device
WO2016132816A1 (ja) * 2015-02-19 2016-08-25 日本碍子株式会社 光学デバイスの製造方法
US10727045B2 (en) * 2017-09-29 2020-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing a semiconductor device

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04107457A (ja) * 1990-08-28 1992-04-08 Oki Electric Ind Co Ltd レジストパターン形成方法
KR970007433A (ko) * 1995-07-28 1997-02-21 배순훈 비디오 카세트 레코더의 검색 방법
KR19980068052A (ko) * 1997-02-14 1998-10-15 김광호 반도체 장치의 제조방법

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR970007433B1 (en) 1988-10-27 1997-05-08 Lg Semicon Co Ltd Double photo treating process using image reverse photoresist
JP3053099B2 (ja) 1989-12-01 2000-06-19 株式会社日立製作所 パターン構造を有する素子の製造方法
JP2798796B2 (ja) 1990-07-18 1998-09-17 沖電気工業株式会社 パターン形成方法
JPH04155812A (ja) 1990-10-19 1992-05-28 Hitachi Ltd パタン形成方法
JPH04337732A (ja) 1991-05-15 1992-11-25 Hitachi Ltd パターン形成法
JP2974821B2 (ja) 1991-06-19 1999-11-10 沖電気工業株式会社 パターン形成方法
JP3082396B2 (ja) 1992-01-29 2000-08-28 日本電気株式会社 半導体装置の製造方法
US5419988A (en) * 1992-08-07 1995-05-30 Dai Nippon Printing Co., Ltd. Photomask blank and phase shift photomask
JP3234084B2 (ja) * 1993-03-03 2001-12-04 株式会社東芝 微細パターン形成方法
US5770350A (en) * 1993-11-09 1998-06-23 Lg Semicon Co. Ltd. Method for forming pattern using multilayer resist
GB2291207B (en) * 1994-07-14 1998-03-25 Hyundai Electronics Ind Method for forming resist patterns
US5573890A (en) 1994-07-18 1996-11-12 Advanced Micro Devices, Inc. Method of optical lithography using phase shift masking
JP2638561B2 (ja) 1995-05-10 1997-08-06 株式会社日立製作所 マスク形成方法
JPH1032156A (ja) * 1996-07-15 1998-02-03 Mitsubishi Electric Corp 位相シフトマスクを用いた露光装置およびパターン形成方法
US5821169A (en) * 1996-08-05 1998-10-13 Sharp Microelectronics Technology,Inc. Hard mask method for transferring a multi-level photoresist pattern
US6562544B1 (en) 1996-11-04 2003-05-13 Applied Materials, Inc. Method and apparatus for improving accuracy in photolithographic processing of substrates
JPH117120A (ja) * 1997-06-18 1999-01-12 Sony Corp マスクパターン作成方法およびマスクパターン作成装置並びにマスク作成装置
JP3119202B2 (ja) 1997-06-23 2000-12-18 日本電気株式会社 マスクパターン自動発生方法およびマスク
EP0911697A3 (en) * 1997-10-22 1999-09-15 Interuniversitair Microelektronica Centrum Vzw A fluorinated hard mask for micropatterning of polymers
TW382807B (en) * 1997-12-01 2000-02-21 United Microelectronics Corp Method for fabricating DRAM capacitor
US6271154B1 (en) * 1998-05-12 2001-08-07 Advanced Micro Devices, Inc. Methods for treating a deep-UV resist mask prior to gate formation etch to improve gate profile
US6204187B1 (en) * 1999-01-06 2001-03-20 Infineon Technologies North America, Corp. Contact and deep trench patterning
US6365509B1 (en) * 2000-05-31 2002-04-02 Advanced Micro Devices, Inc. Semiconductor manufacturing method using a dielectric photomask
JP4646367B2 (ja) * 2000-08-25 2011-03-09 ルネサスエレクトロニクス株式会社 半導体装置の製造方法および半導体装置
US6436810B1 (en) * 2000-09-27 2002-08-20 Institute Of Microelectronics Bi-layer resist process for dual damascene

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04107457A (ja) * 1990-08-28 1992-04-08 Oki Electric Ind Co Ltd レジストパターン形成方法
KR970007433A (ko) * 1995-07-28 1997-02-21 배순훈 비디오 카세트 레코더의 검색 방법
KR19980068052A (ko) * 1997-02-14 1998-10-15 김광호 반도체 장치의 제조방법

Also Published As

Publication number Publication date
TW462073B (en) 2001-11-01
KR20000057910A (ko) 2000-09-25
JP2000227652A (ja) 2000-08-15
JP3257593B2 (ja) 2002-02-18
US6573027B1 (en) 2003-06-03

Similar Documents

Publication Publication Date Title
KR100363992B1 (ko) 반도체 장치의 제조 방법
US5523186A (en) Split and cover technique for phase shifting photolithography
US5308741A (en) Lithographic method using double exposure techniques, mask position shifting and light phase shifting
US7494765B2 (en) Method for patterning photoresist pillars using a photomask having a plurality of chromeless nonprinting phase shifting windows
US7737016B2 (en) Two-print two-etch method for enhancement of CD control using ghost poly
US5403682A (en) Alternating rim phase-shifting mask
US7859645B2 (en) Masks and methods of manufacture thereof
US5837426A (en) Photolithographic process for mask programming of read-only memory devices
US6376130B1 (en) Chromeless alternating reticle for producing semiconductor device features
KR0166497B1 (ko) 위상반전 마스크 및 그 제조방법
US6440613B1 (en) Method of fabricating attenuated phase shift mask
US7033947B2 (en) Dual trench alternating phase shift mask fabrication
US5942355A (en) Method of fabricating a phase-shifting semiconductor photomask
KR100620652B1 (ko) 반도체 소자의 위상 반전 마스크 제조방법
US6858355B2 (en) Mask and method for defining a guard ring pattern
CN210835580U (zh) 光掩膜板
EP0567419A2 (en) A shifter-based rim phase shifting structure and process to fabricate the same
KR100207528B1 (ko) 하프톤형 위상반전마스크 및 그 제조방법
JP2005513519A (ja) 位相が0°の領域に平行なラインを追加することによって、クリアなフィールドの位相シフト・マスクを改善する方法
KR100284069B1 (ko) 반도체소자용 노광마스크 및 그 제조방법
JP3344098B2 (ja) ハーフトーン方式位相シフトマスク及びレジスト露光方法
JP2734396B2 (ja) 露光マスク
KR19980065703A (ko) 하프톤형 위상 반전 마스크 및 그 제조방법
KR100298192B1 (ko) 포토바이어스개선을위한포토마스크
US7033709B2 (en) Method and structure for fabricating patterns on phase shift mask for the manufacture of semiconductor wafers

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee