KR100330616B1 - 초임계유체에의한잔사의제거방법 - Google Patents

초임계유체에의한잔사의제거방법 Download PDF

Info

Publication number
KR100330616B1
KR100330616B1 KR1019970046980A KR19970046980A KR100330616B1 KR 100330616 B1 KR100330616 B1 KR 100330616B1 KR 1019970046980 A KR1019970046980 A KR 1019970046980A KR 19970046980 A KR19970046980 A KR 19970046980A KR 100330616 B1 KR100330616 B1 KR 100330616B1
Authority
KR
South Korea
Prior art keywords
supercritical fluid
residue
precision surface
residues
rie
Prior art date
Application number
KR1019970046980A
Other languages
English (en)
Other versions
KR19980032377A (ko
Inventor
로라 베쓰 로드만
진-좡 우
케네쓰 존 맥쿨로우
로버트 죠셉 퍼텔
Original Assignee
포만 제프리 엘
인터내셔널 비지네스 머신즈 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 포만 제프리 엘, 인터내셔널 비지네스 머신즈 코포레이션 filed Critical 포만 제프리 엘
Publication of KR19980032377A publication Critical patent/KR19980032377A/ko
Application granted granted Critical
Publication of KR100330616B1 publication Critical patent/KR100330616B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02101Cleaning only involving supercritical fluids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0021Cleaning by methods not provided for in a single other subclass or a single group in this subclass by liquid gases or supercritical fluids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S134/00Cleaning and liquid contact with solids
    • Y10S134/902Semiconductor wafer

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Weting (AREA)

Abstract

본 발명은 에칭(etching)된 정밀 표면(precision surface)으로부터 잔사를 제거하기에 충분한 적당한 조건하에서 정밀 표면을 초임계 유체 또는 액체 CO2에 노출시킴을 포함하는, 반도체 샘플과 같은 정밀 표면으로부터 잔사를 제거하는 방법을 제공한다. 초임계 유체 또는 액체 CO2와 함께 극저온 에어로졸을 사용할 수도 있다.

Description

초임계 유체에 의한 잔사의 제거 방법{RESIDUE REMOVAL BY SUPERCRITICAL FLUIDS}
본 발명은 반응성 이온 에칭(RIE)과 같은 에칭 공정에 적용된, 반도체 샘플과 같은 정밀 표면으로부터 잔사를 제거하는 방법에 관한 것이다. 구체적으로는, 본 발명은 정밀 표면으로부터 잔사를 제거하기에 충분한 조건하에서 정밀 표면을 초임계 유체에 노출시킴을 포함하는, 반도체 샘플과 같은 정밀 표면상에서의 에칭 공정에 의해 형성된 잔사를 제거하는 방법을 제공한다. 본원에서는 정밀 표면을 초임계 유체에 노출시킨 후 극저온 에어로졸을 사용하는 임의의 단계를 고려할 수도 있다. 본 발명에서는, 반도체 샘플과 같은 정밀 표면으로부터 잔사를 제거하는 진보된 반도체 제조 공정의 바람직한 양태에서, 종래 기술에서와 같이 용매 및 산을 사용할 필요가 없다.
본 발명의 또다른 실시태양에서는, 반도체 샘플과 같은 정밀 표면을 우선 반응성 이온 에칭(RIE)과 같은 에칭 공정에 적용시킨 후 이로부터 잔사를 제거하기 위한 용매로서 액체 CO2를 사용한다. 본 실시태양은 정밀 표면으로부터 잔사를 제거하기에 충분한 조건하에서 에칭된 잔사를 함유하는 정밀 표면을 액체 CO2에 노출시킴을 포함한다. 본원에서는 액체 CO2로 처리한 후에도 남아있는 잔사를 제거하기 위해 극저온 에어로졸을 사용하는 임의의 단계를 또한 고려한다.
진보된 반도체 제조 분야에서, 패턴을 갖도록 노출된 포토레지스트와 블랭킷 금속 또는 절연 필름을 함유하는 반도체 웨이퍼와 같은 반도체 샘플을 염소 및/또는 불소 등을 함유하는 기체 혼합물을 사용하는 반응성 이온 에칭(RIE) 공정에 노출시킴은 잘 공지되어 있다. 이러한 에칭 공정의 목적은 필름에 패턴을 넣기 위한 것이다. 이어서 포토레지스트를 전형적으로는 산소 플라즈마내에서 스트립핑시킨다. 고수율을 달성시키기 위해서 남아있는 잔사를 종종 화학 약품 및/또는 용매에 의해 제거할 필요가 있다.
예를 들면, 반도체 웨이퍼상에 배선을 구성하는데에는 Al 금속 에칭이 가장 통상적으로 사용된다. 반도체 웨이퍼를 세척하고 헹굼에도 불구하고 원치않는 잔사들이 여전히 금속 라인의 상부 및 측벽상에 남아있다. 금속 라인의 상부 및 측벽에 남아있는 원치않는 잔사는 탄소, 수소, 실리콘, 알루미늄, 불소, 염소, 산소 원소를 포함한다고 보고되어 있다. 이러한 잔사(이하 "RIE 잔사"라고 칭함)는 금속 라인 사이에 "단락"(shorts)을 유발할 정도로 충분히 전도성인 것으로 알려져 있다. 더욱이, RIE 잔사는 또한 금속 라인과 이를 덮는 절연체 사이에 접착 문제점을 유발할 수도 있다. 금속 라인상의 잔사는 반도체 샘플의 부식을 유발할 수도 있다. 폴리실리콘 라인 또는 산화물 비아상의 RIE 잔사는 수율 손실이라는 문제점을 유발한다. 따라서, 진보된 반도체 제조 분야에서는 RIE 잔사를 반도체 샘플로부터 제거하기 위한 화학적으로 안전하고 쉬운 방법을 개발하는 것에 대한 지대한 관심이 있어왔다.
진보된 반도체 제조 공정에 있어서 원치않는 RIE 잔사를 제거하는데 전형적으로 사용되는 현행 방법은 에칭된 반도체 샘플을 산욕에 침지시킴을 포함한다.
상기 및 기타 목적은 우선 에칭 공정에 적용된 정밀 표면으로부터 잔사를 제거하기 위한 용매로서 초임계 유체 또는 액체 CO2를 사용하는 본 발명에 의해 충족된다.
초임계 유체 CO2와 같은 초임계 유체가 현재 기판상에 레지스트 패턴층을 현상시키는 반도체 가공 공정에서 사용되고 있다는 것은 중요하다. 이러한 공정은 예를 들면 니시가와(Nishikawa) 등에게 허여된 미국 특허 제 4,944,837 호에 개시되어 있다. 구체적으로는, 니시가와 등은 레지스트 필름을 표면층상에 침착시키는 단계; 표면층에 부착되고 예정된 패턴의 잠상을 갖는 레지스트 필름을 전처리하여 전처리된 레지스트 필름을 만드는 단계; 및 전처리된 레지스트 필름을 가공하여 패턴화된 레지스트 필름을 만드는 단계를 포함하는, 기판상에 형성된 표면층상에 예정된 패턴을 갖는 패턴화된 레지스트 필름을 형성하는 방법을 제공한다. 니시가와 등의 개시내용에 따라서, 가공 단계는 전처리된 레지스트 필름을 기판과 함께 초임계 대기에 도입시키고, 초임계 대기중에서 전처리된 필름을 현상시켜 선택적으로 전처리된 필름을 제거함을 포함한다.
반도체 제조 공정에서 초임계 유체를 사용하는 또다른 예는 모리타(Morita) 등에게 허여된 미국 특허 제 5,185,296 호 및 제 5,304,515 호에 개시되어 있다. 상기 두 특허 모두의 개시내용에서, 반도체 기판의 표면에 유전성 박막 또는 그의 패턴을 형성하는데에는 초임계 유체가 사용된다. 반도체 기판의 표면상에 패턴 레지스트 필름을 현상시키기 위해서, 전술된 니시가와 등의 특허에서와 마찬가지로, 모리타 등의 두 특허에서도 초임계 유체가 사용된다.
지거(Ziger) 등의 논문["Compressed Fluid Technology: Application to RIE-Developed Resists", AICHE Journal, Vol.33, No.10, 1978년 10월]에서는, 주 유기 중합체로부터 비휘발성 실록산 분자를 추출해내는 마이크로리쏘그래피에서 초임계 유체 CO2가 사용된다.
종래 기술에서 초임계 유체를 사용함에도 불구하고, 잔사를 함유하는 반도체 샘플과 같은 정밀 표면으로부터 잔사를 제거하는데 초임계 유체를 사용하는 것은 개시되어 있지 않다.
본 발명의 목적은 RIE 잔사를 반도체 샘플로부터 제거하기 위한 화학적으로 안전하고 쉬운 방법을 개발하고자 하는 것이다.
도 1은 본 발명에서 반도체 샘플과 같은 정밀 표면으로부터 잔사를 제거하는데 사용되는 장치의 개략도이다.
도 2는 시험을 위해 수개의 샘플로 쪼개진, RIE 잔사를 함유하는 200㎜ 반도체 웨이퍼(wafer)의 금속 라인 구조를 예시하는 SEM이다.
도 3은 40℃ 및 5840psi에서 2시간동안 초임계 유체 CO2에 노출된 후의 도 2의 웨이퍼의 제 1 조각의 SEM(배율 50K, 해상도 600㎚)이다.
도 4는 80℃ 및 5840psi에서 2시간동안 초임계 CO2에 노출된 후의 도 2의 웨이퍼의 제 2 조각의 SEM(배율 50K, 해상도 600㎚)이다.
도 5는 40℃ 및 5840psi에서 30분동안 초임계 유체 CO2에 노출된 후의 도 2의 웨이퍼의 제 3 조각의 SEM(배율 35K, 해상도 857㎚)이다.
도 6은 40℃ 및 5840psi에서 1시간동안 초임계 유체 CO2에 노출된 후의 도 2의 웨이퍼의 제 4 조각의 SEM(배율 60K, 해상도 500㎚)이다.
도 7은 40℃ 및 5840psi에서 2시간동안 초임계 CO2에 노출된 후의 도 2의 웨이퍼의 제 5 조각의 SEM(배율 60K, 해상도 500㎚)이다.
도 8은 산화물 필름에 에칭된 비아를 갖는 200㎜ 반도체 웨이퍼의 제 1 조각을 그 측면에서부터 보여주는 SEM(5KV, 배율 60K, 해상도 600㎚)이다.
도 9는 초임계 유체에서 가공된 후, 산화물 필름에서 에칭된 비아를 갖는 도 8의 200㎜ 반도체 웨이퍼의 제 2 조각을 그 측면에서부터 보여주는 SEM(10KV, 배율 60K, 해상도 500㎚)이다.
본 발명은 정밀 표면으로부터 잔사를 제거하기에 충분한 조건하에서 잔사를 함유하는 정밀 표면을 초임계 유체에 노출시킴을 포함하는, 정밀 표면으로부터 잔사를 제거하기 위한 개선된 방법을 제공한다. 정밀 표면을 제조 또는 변형시키는 공정중에서 표면이 화학적 에칭 또는 이온 에칭 또는 레이저 에블레이션(laser ablation)과 같은 물질 제거 공정에 적용됨으로써 표면상에 잔사가 생성된다는 것이 중요하다. 생성된 잔사는 에칭된 표면상에 존재하거나 에칭되지 않은 표면에 인접하게 존재할 수 있다. 이어서 표면을 초임계 유체에 노출시킴으로써 잔사를 표면으로부터 제거한다.
잔사를 반도체 샘플과 같은 정밀 표면으로부터 제거하기 위해 초임계 유체를 사용할 경우에는 종래 기술에서와 같이 크롬 인산을 함유하는 발암성 욕 등을 사용할 필요가 없어진다. 따라서, 본 발명은 이러한 잔사를 함유하는 정밀 표면으로부터 에칭액 잔사를 제거하는 효율적이고 안전한 수단을 제공한다.
본원에서 사용된 용어 "정밀 표면"이란 공동(cavity) 또는 트랜치(trench) 또는 채널(channel)과 같은 평평한 표면 아래에 일정한 형태를 갖는 물질 및 메사(mesa)와 같은 융기된 형태를 갖는 물질을 말한다. 이러한 유형의 표면을 세척하는 일은 잔사의 종류에 따라 달라져야 하고 표면 형태(크기)를 변경시키지 않아야 한다. 정밀 표면은 반도체 샘플, 금속, 중합체, 절연체를 포함하나 이에 국한되지는 않는다.
본원에서 사용된 용어 "초임계 유체"란 특정 화합물의 압력-온도 다이아그램에서 임계 온도(TC) 이상 및 임계 압력(Pc) 이상의 조건하에 있는 물질을 말한다. 초임계 유체의 이론에 대한 완전한 설명을 보려면 문헌[Kirk-Othmer Encyclopedia of Chemical Technology, 3d, 증보판 pp.872-893]을 참조하도록 한다. 본 발명에서 사용되는 바람직한 초임계 유체는 CO2로서, 이는 단독 또는 Ar, NH3, N2, CH4, C2H4, CHF3, C2H6, n-C3H8, H2O, N2O 등과 같은 또다른 첨가제와의 혼합물로서 사용될 수 있다. 하나이상의 CFx작용성 그룹을 함유하는 계면활성제를 초임계 유체와 함께 사용할 수 있다.
본 발명의 또다른 실시태양에서는, 잔사를 에칭된 정밀 표면으로부터 제거하기 위한 2단계 공정이 제공된다. 본 발명의 본 실시태양과 관련하여, 우선 정밀 표면으로부터 상기 잔사를 분리시키기에 충분한 조건하에서 잔사를 함유하는 에칭된 정밀 표면을 초임계 유체에 노출시킨 후, 노출된 정밀 표면을 극저온 에어로졸과 접촉시켜 초임계 유체 처리에 의해서 정밀 표면으로부터 제거되지 않은 잔여 잔사를 제거한다.
본 발명의 세 번째 실시태양에서는, 액체 CO2를 사용하여 잔사를 함유하는 에칭된 정밀 표면으로부터 잔사를 제거한다. 본 발명의 본 실시태양에 따라서, 정밀 표면으로부터 상기 잔사를 제거하는데 충분한 조건하에서 잔사를 함유하는 에칭된 정밀 표면을 액체 CO2에 노출시킨다.
본 발명의 네 번째 실시태양에서는, 2단계 공정에서 액체 CO2와 극저온 에어로졸을 사용하여 에칭된 정밀 표면으로부터 잔사를 제거한다. 본 발명의 본 실시태양에서는, 잔사를 함유하는 에칭된 정밀 표면을 적당한 조건하에서 액체 CO2에 노출시킨 후, 액체 CO2처리 단계에 의해 정밀 표면으로부터 완전히 제거되지 않은 잔여 잔사를 제거하기에 충분한 조건하에서 노출된 정밀 표면을 극저온 에어로졸과 접촉시킨다.
본 발명의 첫 번째 실시태양에 따라서, 초임계 유체를 사용하여 에칭된 정밀 표면상에 존재하는 잔사를 정밀 표면으로부터 제거한다. 구체적으로는, 잔사를 제거하기에 충분한 조건하에서 정밀 표면을 초임계 유체에 노출시킴으로써 에칭된 정밀 표면으로부터 잔사를 제거한다.
정밀 표면을 초임계 유체에 노출시키기 전에 우선 에칭시키는 것이 중요하다. 당해 분야의 숙련자들에게 잘 공지된 방법을 사용하여 정밀 표면을 에칭시킬 수 있다. 정밀 표면을 에칭시키기에 적합한 방법은 반응성 이온 에칭(RIE), 이온 빔 에칭(IBE), 플라즈마 에칭, 레이저 에블레이션 등을 포함하나 이에 국한되는 것은 아니다. 상기 에칭 방법중에서도, 본 발명에서는 RIE가 특히 바람직하다. 전형적으로는, 종래 기술에서는 Cl 또는 F 등을 함유하는 기체를 사용하여 RIE를 수행시킨다.
정밀 표면을 에칭시킨 후 남은 잔사는 탄소, 수소, 실리콘, 알루미늄, Ti, Ta, W, Pt, Pd, Ir, Cr, 불소, 염소, 산소중 하나이상을 함유할 수 있다.
전술된 바와 같이, 용어 "정밀 표면"이란 동공, 트랜치 및/또는 채널이 있는 표면을 함유하는 물질을 말한다. 본 발명에서 사용하기에 적합한 정밀 표면은 반도체 샘플, Al, Si, W, Ti, Ta, Pt, Pd, Ir, Cr, Cu 및 Ag와 같은 금속, 폴리이미드 및 폴리아미드 등과 같은 중합체, 및 절연체 등을 포함하나 이에 국한되는 것은 아니다. 상기 정밀 표면중에서 본 발명에서는 반도체 샘플이 특히 바람직하다.
후술될 설명은 RIE 반도체 샘플에 해당하는 것이지만 전술된 임의의 에칭 방법에 의해 에칭될 수도 있는 기타 유형의 정밀 표면에도 적용가능하다는 것을 알아야 한다. 예를 들면 후술될 설명은 또한 IBE 절연체, 레이저 에블레이션 처리된 중합체 등에도 적용된다.
도 1은 본 발명에서 RIE 잔사를 함유하는 반도체 샘플로부터 RIE 잔사를 제거하는데 사용될 수 있는 장치(10)의 개략적인 도면이다. 장치(10)는 반도체 샘플(16)이 위치된 샘플 대역(14)을 갖는 처리실(process chamber)(12)을 포함한다. 처리실(12)은 가열기 재킷(heater jacket)(18)에 둘러싸여져 있고, 임의로 교반기(20)를 함유한다. 또한, 처리실은 유입관(22), 유출관(24) 및 열전쌍(thermocouple)(26)을 갖는다. 유입관(22)은 초임계 유체 또는 그의 혼합물을 처리실(12)로 공급하기 위한 기체 실린더(30)와 접속된 고압 펌프 시스템(28)을 갖는다. 열전쌍(26)은 또한 RIE 잔사 제거 공정의 온도를 모니터링하고 제어하는데 사용되는 가열기 제어기(32)와 접속되어 있다. 장치(10)는 또한 유출관(24)을통해 처리실(12)을 빠져나가는 초임계 유체를 수거 및/또는 정제하기 위한 저장고(34)를 포함할 수도 있다. 이러한 물질은 도관(35)을 통해 처리실로 재순환될 수도 있다. 기체 실린더(30)는 가압된 액체를 함유한다. 초임계 유체란 용어는 두가지 상의 물질이 서로 평형을 이루어 동일해져 하나의 상을 형성하는 그의 임계점보다 위에 있는 물질의 상태, 즉 임계 온도(TC) 이상 및 임계 압력(Pc) 이상에 있는 물질의 상태를 말한다. RIE 잔사를 반도체 샘플로부터 제거할 수 있는, CO2및/또는 Ar과 같은 당해 분야의 숙련자들에게 공지된 임의의 초임계 유체를 본 발명에서 사용할 수 있다. 바람직한 초임계 유체는 CO2로서, 이는 단독 또는 Ar, N2O, NH3, N2, CH4, C2H4, CHF3, C2H6, H2O, n-C3H8등으로 이루어진 그룹으로부터 선택된 하나이상의 첨가제와의 혼합물로서 사용될 수 있다.
임의의 등급의 초임계 유체를 본 발명에서 사용할 수 있다. 많은 불순물을 함유하는 저급 초임계 유체를 사용하는 경우, 초임계 유체를 우선 당해 분야의 숙련자들에게 잘 공지된 방법에 의해 정제하여 불순물을 제거할 수 있다. 예를 들면 저급 초임계 유체를 처리실에 도입시키기 전에 칼럼에 통과시켜 정제할 수 있다.
RIE 잔사를 반도체 샘플로부터 제거하는 것을 돕는 첨가제 또는 계면활성제와 함께 초임계 유체를 사용할 수 있다는 것이 중요하다. 적합한 첨가제는 전술된 것들을 포함하나 이에 국한되는 것은 아니다. 이들 첨가제중에서도, H2O가 가장 특히 바람직하다.
본 발명에서 사용될 수 있는 유형의 계면활성제에는 하나이상의 CFx작용성 그룹을 구조내에 함유하는 임의의 계면활성제가 포함된다.
도 1에서 도시된 바와 같이, 초임계 유체는 고압 펌프에 의해 미리 가압된다. 전형적으로는, 본 발명에서는, 초임계 유체는 약 1000psi 내지 6000psi의 압력으로 가압된다. 더욱 바람직하게는, 초임계 유체는 처리실로 도입되기 전에 약 3000psi의 압력으로 미리 가압된다. 이어서 미리 가압된 초임계 유체를 유입관(22)을 통해 반도체 샘플을 함유하는 처리실로 옮긴다.
본 발명에서 사용되는 반도체 샘플은 RIE 또는 전술된 임의의 기타 에칭 방법에 의해 가공된 임의의 기타 반도체 샘플이다. 본 발명에서 사용될 수 있는 적합한 반도체 샘플의 예는 반도체 웨이퍼, 반도체 칩, 세라믹 기판, 패턴화된 필름 구조물 등을 포함하나 이에 국한되지는 않는다.
본 발명을 예시하는데 사용되는 것들 외에도, 본 발명의 방법에 적용될 수 있는 것들에는 하나이상의 하기 물질이 포함될 수 있다: 티타늄 실리사이드, 탄탈륨 니트라이드, 탄탈륨 실리사이드, 실리콘, 폴리실리콘, 실리콘 니트라이드, SiO2, 다이아몬드형 탄소, 폴리이미드, 폴리아미드, 알루미늄, 알루미늄-구리 합금, 구리, 텅스텐, 티타늄, 팔라듐, 백금, 이리듐, 크롬, 강유전성 물질, 및 BaSrTi 또는 PbLaTi 산화물과 같은 높은 유전성을 갖는 물질.
RIE 잔사를 함유하는 반도체 샘플을 처리실(12)의 샘플 대역(16)에 놓고, 여기서 RIE 잔사를 샘플로부터 제거하기에 충분한 조건하에서, 초임계 유체를 그의임계 온도 및 임계 압력보다 높게 유지하면서 샘플을 초임계 유체에 노출시킨다.
전형적으로는, 본 발명에서 RIE 잔사 제거 동안에 처리실내의 압력은 약 1000psi 내지 6000psi이다. 더욱 바람직하게는, RIE 잔사 제거 동안에 처리실내의 압력은 약 3000psi이다.
RIE 잔사 제거 동안에 열전쌍(26)에 의해 모니터링되고 제어기(32)에 의해 제어되는 처리실내의 온도는 일반적으로 약 40℃ 내지 약 80℃이다. 더욱 바람직하게는 RIE 잔사 제거 동안의 처리실내의 온도는 약 40℃이다.
반도체 샘플로부터 RIE 잔사를 효과적으로 제거하기 위해서는, 반도체 샘플을 상기 조건하에서 약 30분 내지 약 2시간동안 초임계 유체에 노출시켜야 한다. 더욱 바람직하게는 상기 조건하에서 반도체 샘플을 초임계 유체에 노출시키는 시간은 약 1시간이다.
유출관(24)을 통해서 처리실을 빠져나온 초임계 유체는 전술된 바와 같이 세척될 수 있고, 장치내로 재순환되어 폐쇄 반응기 시스템을 이룰 수도 있다. 도 1에 도시되지 않은 이러한 폐쇄 반응기 시스템에서는 깨끗한 반도체 샘플을 제조하는 비용이 많이 감소된다.
처리실에서 교반을 사용하는 경우, 교반 장치의 속도는 약 500rpm 내지 약 2500rpm일 수 있고, 바람직하게는 약 1000rpm이다.
본 발명의 두 번째 실시태양에 따라서, 정밀 표면을 초임계 유체에 노출시키는 단계 및 이어서 노출된 정밀 표면을 극저온 에어로졸과 접촉시키는 단계를 포함하는, 잔사를 RIE 반도체 샘플과 같은 에칭된 정밀 표면으로부터 제거하는 방법이제공된다. 본 발명의 실시태양에서 사용되는 매우 바람직한 정밀 표면은 RIE 반도체 샘플이다.
본원에서 사용된 용어 "극저온 에어로졸"은 비교적 고압인 기체 액체 혼합물을 극저온에서 저압 영역내로 급속히 팽창시키고 분출물을 냉각시키고 혼합물을 응고시켜 제조된 고체 분출 스프레이를 말한다. 본 발명에서는 정밀 표면으로부터 잔사를 제거하는데 아르곤, 질소 및/또는 CO2를 포함하는 극저온 에어로졸을 사용할 수 있다.
극저온 에어로졸을 사용하는 경우에는, 초임계 유체 처리 공정 동안에 분리된 남은 잔사를 제거하기에 충분한 조건하에서 극저온 에어로졸을 노출된 반도체 샘플과 접촉시킨다. 이러한 조건은 당해 분야의 숙련자들에게 잘 공지되어 있다.
본 발명의 세 번째 실시태양에서는, 전술된 에칭 공정중 하나에 의해 이미 에칭된 정밀 표면으로부터 잔사를 제거하기 위한 용매로서, 초임계 유체 대신에 액체 CO2를 사용한다. 본 발명의 세 번째 실시태양에서 사용된 바람직한 정밀 표면은 RIE에 의해 에칭된 반도체 샘플이다.
본 발명의 세 번째 실시태양에서 사용된 장치는 기체 실린더가, 약 880psi 내지 약 1000psi의 총압력으로 미리 가압됨으로써 액체로 된 기상 CO2를 함유한다는 것을 제외하고는 도 1에 도시된 것과 유사하다. 더욱 바람직하게는, 기상 CO2는 약 880psi로 가압된다.
그러나, 기체내의 불순물의 수준이 너무 높아서 이를 액체 상태로 전환시키기 전에 전술된 종래 방법에 의해 정제해야 되는 경우에는 임의의 등급의 기상 CO2를 사용할 수 있다.
세 번째 실시태양에서 사용된 조건은 초임계 유체를 사용하지 않기 때문에 전술된 바와 같지 않다. 전형적으로 세 번째 실시태양에서는, 잔사 제거 동안에 처리실내의 압력은 약 880psi 내지 약 1000psi이다. 더욱 바람직하게는, 액체 CO2가 사용되는 경우, 처리실내의 압력은 약 880psi이다.
본 발명의 세 번째 실시태양에서 사용된 온도는 일반적으로 약 25℃ 내지 약 40℃이다. 더욱 바람직하게는, 액체 CO2가 사용되는 경우, 잔사 제거 동안에 처리실내의 온도는 약 40℃이다.
액체 CO2를 사용할 경우 잔사를 충분히 제거하려면 약 30분내지 약 2시간이 필요하다. 더욱 바람직하게는, 액체 CO2를 사용하여 잔사를 충분히 제거하려면 일반적으로 약 1시간이 걸린다.
액체 CO2는 단독으로 사용되거나 전술된 계면활성제 또는 첨가제중 하나와 함께 사용될 수 있다. 액체 CO2와 함께 사용하기에 바람직한 첨가제는 H2O이다.
세 번째 실시태양에서 교반을 사용하는 경우, 교반 속도는 약 500rpm 내지 약 2500rpm이다. 더욱 바람직하게는, 세 번째 실시태양에서 교반 속도는 약 1000rpm이다.
본 발명의 네 번째 실시태양에서는, 우선 에칭액 잔사를 함유하는 정밀 표면을 전술된 조건하에서 액체 CO2에 노출시키고, 액체 CO2처리 동안에 분리된 잔여 잔사를 제거하는 조건하에서 노출된 반도체 샘플을 극저온 에어로졸과 접촉시킴을 포함하는 2단계 공정을 사용하여 잔사를 에칭된 정밀 표면으로부터 제거한다.
본 발명의 본 실시태양에서 사용된 극저온 에어로졸은 두 번째 실시태양에서 전술된 것과 동일하다. 또한, 조건은 전술된 바와 동일하다.
하기 실시예는 본 발명의 범위를 예시하기 위해서 제시된 것이다. 이들 실시예는 예시적 목적으로만 주어진 것이므로, 본 발명은 여기에만 국한되어서는 안된다.
실시예 1
본 실시예에서는, 용매로서 초임계 유체 CO2를 사용하여 RIE 잔사를 반도체 웨이퍼로부터 제거한다. 구체적으로는, 블랭킷 금속화물 및 패턴화된 포토레지스트를 함유하는 반도체 웨이퍼를 우선 전형적인 반응성 이온 에칭 공정에 노출시켰다.
알루미늄 라인을 에칭시킨 후, 당해 분야의 숙련자들에게 잘 공지된 방법을 사용하여 O2-함유 플라즈마에서 포토레지스트를 스트립핑한다.
공정후의 웨이퍼의 주사 전자 현미경(SEM) 사진이 도 2에 나타나 있다. 도 2 내지 7에 나타난 본 실시예의 SEM은 모두 다양한 배율 및 해상도를 사용하여 10KEV에서 수행하였다. 측면에서 본 사진과 위에서 본 사진도 나타나 있다. 구체적으로는, 도 2는 RIE 잔사 침착물을 함유하는 RIE 웨이퍼의 금속 라인 구조를 보여준다.
이어서, 도 2에 나타난 바와 같이 RIE 잔사를 함유하는 웨이퍼의 조각을 도 1에 나타난 바와 같은 고압 챔버에 놓았다. 기계적 펌프를 사용하여 초임계 추출 등급의 CO2를 미리 약 5840psi의 압력으로 가압하고, 이를 정적 압력 및 유동 모드를 사용하여 압력 챔버에 도입시켰다. 이 실시예에서는 교반을 사용하지 않았다.
첫 번째 실험에서, 한 웨이퍼 조각을 40℃의 온도 및 5840psi의 압력에서 2시간동안 초임계 유체 CO2에 노출시켰다. 이러한 실험에 대한 SEM은 도 3에 나타나 있다. 구체적으로는, SEM은 깨끗한 반도체 샘플을 보여준다. 샘플은 상기 조건하에서 초임계 유체에 노출되기 전에 초기에는 RIE 잔사를 함유하였다.
또다른 실험에서, 한 웨이퍼 조각을 80℃의 온도 및 5840psi의 압력에서 2시간동안 초임계 유체 CO2에 노출시켰다. 이러한 실험에 대한 SEM은 도 4에 나타나 있다. 이 SEM은 본 발명의 방법을 사용하여 RIE 잔사를 효과적으로 제거할 수 있음을 예시한다.
40℃의 온도 및 5840psi의 압력에서 30분, 1시간 및 2시간동안 추가의 실험을 수행하였다. 이들 결과는 도 5, 6 및 7에 각각 나타나 있다. 30분보다는 1시간 또는 2시간일때 반도체 웨이퍼로부터 RIE 잔사가 더 효과적으로 제거된 것으로 나타났다.
실시예 2
이 실시예에서는, 산화물에 에칭된 비아를 갖는 200㎜ 웨이퍼의 단면을 초임계 CO2에 적용하였다.
상기 비아에서 형성된 잔사는 도 8(측면도)에 나타나 있다. 웨이퍼를 500rpm에서 교반시키면서 40℃ 및 3000psi에서 1시간동안 초임계 유체 CO2에 노출시켰다. 도 9(측면도)에 나타난 바와 같이, 상기 조건하에서 모든 RIE 잔사가 샘플로부터 제거되었다.
본 발명을 그의 바람직한 실시태양과 관련하여 구체적으로 예시하고 기술하였으나, 당해 분야의 숙련자들이라면 본 발명의 개념 및 범위를 벗어나지 않게 전술된 변경 및 기타 변경들을 본 발명의 형태 및 세부 항목에 가할 수 있음을 알 것이다.
본 발명에 의해서 잔사를 함유하는 정밀 표면으로부터 잔사를 제거하는 효율적이고 안전한 수단이 제공된다.

Claims (9)

  1. 공동, 트랜치 또는 채널을 갖고 표면에 탄소, 수소, 실리콘, 알루미늄, Ti, Ta, W, Pt, Pd, Ir, Cr, 불소, 염소 및 산소로 이루어진 그룹으로부터 선택된 하나이상의 원소를 함유하는 할로겐화 에칭된 잔사를 함유하는, 반도체 샘플, 금속, 중합체 또는 절연체인 반응성 이온 에칭된(RIE) 정밀 표면을 제공하는 단계;
    상기 할로겐화 에칭된 잔사 함유 RIE 정밀 표면을, 이로부터 할로겐화 에칭된 잔사를 제거시키기에 충분한 조건하에서, Ar, CO2또는 그의 혼합물을 포함하는 초임계 유체에 노출시키되, 상기 초임계 유체를 약 1000psi 내지 약 6000psi의 압력 및 약 40℃ 내지 약 80℃의 온도를 유지시키는 단계; 및
    상기 초임계 유체에 노출된 정밀 표면으로부터 임의의 잔여 잔사를 제거하기에 충분한 조건하에서 상기 노출된 정밀 표면을 Ar, N2, CO2또는 그의 혼합물로 이루어진 극저온 에어로졸과 접촉시키는 단계를 포함하는, 깨끗한 정밀 표면의 형성방법.
  2. 제 1 항에 있어서,
    상기 표면에 대한 초임계 유체의 노출을 약 30분 내지 약 2시간동안 수행하는 것을 포함하는, 깨끗한 정밀 표면의 형성방법.
  3. 제 2 항에 있어서,
    상기 노출 시간이 약 1시간인, 깨끗한 정밀 표면의 형성방법.
  4. 제 1 항에 있어서,
    상기 반도체 샘플이 반도체 웨이퍼, 반도체 칩, 세라믹 기판 또는 다른 패턴화된 필름 구조물인, 깨끗한 정밀 표면의 형성방법.
  5. 제 1 항에 있어서,
    상기 정밀 표면이 티타늄 실리사이드, 탄탈륨 니트라이드, 실리콘, 폴리실리콘, 실리콘 니트라이드, SiO2, 다이아몬드형 탄소, 폴리이미드, 폴리아미드, 알루미늄, 알루미늄-구리 합금, 구리, W, Ti, Ta, Pt, Pd, Ir, Cr, 강유전성 물질 및 고 유전성 물질로 이루어진 그룹으로부터 선택된 물질을 함유하는, 깨끗한 정밀 표면의 형성방법.
  6. 제 1 항에 있어서,
    상기 초임계 유체를 약 500rpm 내지 약 2500rpm으로 교반시키는 것을 포함하는, 깨끗한 정밀 표면의 형성방법.
  7. 제 1 항에 있어서,
    Ar, N2O, NH3, N2, CH4, C2H4, CHF3, C2H6, n-C3H8및 H2O로 이루어진 그룹으로부터 선택된 첨가제, 또는 하나이상의 CFx작용기를 함유하는 계면활성제를 상기 초임계 유체와 함께 사용하는, 깨끗한 정밀 표면의 형성방법.
  8. 불소 또는 염소 잔사를 함유하는 반응성 이온 에칭된(RIE) 정밀 표면을, 약 880psi 내지 약 1000psi의 압력 및 약 25℃ 내지 약 40℃의 온도에서 불소 또는 염소 잔사를 분리시키기에 충분한 조건하에서 액체 CO2에 노출시키는 단계; 및
    상기 액체 CO2에 노출된 정밀 표면으로부터 임의의 잔여 잔사를 제거하기에 충분한 조건하에서 상기 노출된 정밀 표면을 Ar, N2, CO2또는 그의 혼합물로 이루어진 극저온 에어로졸과 접촉시키는 단계를 포함하는, 반응성 이온 에칭된 정밀 표면으로부터의 불소 또는 염소 잔사의 제거방법.
  9. 제 8 항에 있어서,
    상기 액체 CO2에 Ar, N2, CO2또는 그의 혼합물로 이루어진 극저온 에어로졸을 가하는 것을 포함하는, 반응성 이온 에칭된 정밀 표면으로부터의 불소 또는 염소 잔사의 제거방법.
KR1019970046980A 1996-10-16 1997-09-12 초임계유체에의한잔사의제거방법 KR100330616B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/731,538 1996-10-16
US8/731,538 1996-10-16
US08/731,538 US5908510A (en) 1996-10-16 1996-10-16 Residue removal by supercritical fluids

Publications (2)

Publication Number Publication Date
KR19980032377A KR19980032377A (ko) 1998-07-25
KR100330616B1 true KR100330616B1 (ko) 2002-06-20

Family

ID=24939946

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019970046980A KR100330616B1 (ko) 1996-10-16 1997-09-12 초임계유체에의한잔사의제거방법

Country Status (4)

Country Link
US (2) US5908510A (ko)
EP (1) EP0836895A3 (ko)
JP (1) JP3358172B2 (ko)
KR (1) KR100330616B1 (ko)

Families Citing this family (145)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100417647B1 (ko) * 1996-12-28 2004-04-13 주식회사 하이닉스반도체 반도체 소자의 클리닝 방법
US6306564B1 (en) 1997-05-27 2001-10-23 Tokyo Electron Limited Removal of resist or residue from semiconductors using supercritical carbon dioxide
US6500605B1 (en) * 1997-05-27 2002-12-31 Tokyo Electron Limited Removal of photoresist and residue from substrate using supercritical carbon dioxide process
AU3360399A (en) 1998-03-30 1999-10-18 Leisa B. Davenhall Composition and method for removing photoresist materials from electronic components
US6846789B2 (en) 1998-03-30 2005-01-25 The Regents Of The University Of California Composition and method for removing photoresist materials from electronic components
KR20000003955A (ko) * 1998-06-30 2000-01-25 김영환 초임계유체를 이용한 반도체 소자의 세정 방법
US6057230A (en) * 1998-09-17 2000-05-02 Taiwan Semiconductor Manufacturing Company, Ltd. Dry etching procedure and recipe for patterning of thin film copper layers
US6277753B1 (en) 1998-09-28 2001-08-21 Supercritical Systems Inc. Removal of CMP residue from semiconductors using supercritical carbon dioxide process
DE19860084B4 (de) * 1998-12-23 2005-12-22 Infineon Technologies Ag Verfahren zum Strukturieren eines Substrats
EP1024524A2 (en) 1999-01-27 2000-08-02 Matsushita Electric Industrial Co., Ltd. Deposition of dielectric layers using supercritical CO2
US7044143B2 (en) * 1999-05-14 2006-05-16 Micell Technologies, Inc. Detergent injection systems and methods for carbon dioxide microelectronic substrate processing systems
US6235453B1 (en) * 1999-07-07 2001-05-22 Advanced Micro Devices, Inc. Low-k photoresist removal process
US6612317B2 (en) 2000-04-18 2003-09-02 S.C. Fluids, Inc Supercritical fluid delivery and recovery system for semiconductor wafer processing
US6602349B2 (en) 1999-08-05 2003-08-05 S.C. Fluids, Inc. Supercritical fluid cleaning process for precision surfaces
US6508259B1 (en) * 1999-08-05 2003-01-21 S.C. Fluids, Inc. Inverted pressure vessel with horizontal through loading
US6383329B1 (en) 1999-08-10 2002-05-07 Xerox Corporation Apparatus and method for removing a label from a surface with a chilled medium
US6734121B2 (en) 1999-09-02 2004-05-11 Micron Technology, Inc. Methods of treating surfaces of substrates
DE19942282A1 (de) * 1999-09-04 2001-03-15 Messer Griesheim Gmbh Verfahren zur Reinigung von Substratoberflächen
US6314601B1 (en) * 1999-09-24 2001-11-13 Mcclain James B. System for the control of a carbon dioxide cleaning apparatus
US6397421B1 (en) * 1999-09-24 2002-06-04 Micell Technologies Methods and apparatus for conserving vapor and collecting liquid carbon dioxide for carbon dioxide dry cleaning
US6748960B1 (en) 1999-11-02 2004-06-15 Tokyo Electron Limited Apparatus for supercritical processing of multiple workpieces
US6558475B1 (en) 2000-04-10 2003-05-06 International Business Machines Corporation Process for cleaning a workpiece using supercritical carbon dioxide
EP1425115A4 (en) * 2000-04-18 2006-03-01 S C Fluids Inc SUPERCRITICAL FLUID DELIVERY AND RECOVERY SYSTEM FOR PROCESSING SEMICONDUCTOR WAFERS
US20040025908A1 (en) * 2000-04-18 2004-02-12 Stephen Douglas Supercritical fluid delivery system for semiconductor wafer processing
WO2001082368A2 (en) * 2000-04-25 2001-11-01 Tokyo Electron Limited Method of depositing metal film and metal deposition cluster tool including supercritical drying/cleaning module
US6921456B2 (en) * 2000-07-26 2005-07-26 Tokyo Electron Limited High pressure processing chamber for semiconductor substrate
US6416389B1 (en) 2000-07-28 2002-07-09 Xerox Corporation Process for roughening a surface
US6627002B1 (en) 2000-07-28 2003-09-30 Xerox Corporation Hollow cylindrical imaging member treatment process with solid carbon dioxide pellets
WO2002015251A1 (en) * 2000-08-14 2002-02-21 Tokyo Electron Limited Removal of photoresist and photoresist residue from semiconductors using supercritical carbon dioxide process
US20040011378A1 (en) * 2001-08-23 2004-01-22 Jackson David P Surface cleaning and modification processes, methods and apparatus using physicochemically modified dense fluid sprays
US6346484B1 (en) 2000-08-31 2002-02-12 International Business Machines Corporation Method for selective extraction of sacrificial place-holding material used in fabrication of air gap-containing interconnect structures
KR100385432B1 (ko) * 2000-09-19 2003-05-27 주식회사 케이씨텍 표면 세정용 에어로졸 생성 시스템
US6851319B2 (en) * 2000-09-27 2005-02-08 Digital Wave Corporation Device and method designed for ultrasonically inspecting cylinders for longitudinal and circumferential defects and to measure wall thickness
US6623355B2 (en) * 2000-11-07 2003-09-23 Micell Technologies, Inc. Methods, apparatus and slurries for chemical mechanical planarization
KR20020052488A (ko) * 2000-12-26 2002-07-04 박종섭 반도체소자의 미세패턴 형성방법
US6451375B1 (en) 2001-01-05 2002-09-17 International Business Machines Corporation Process for depositing a film on a nanometer structure
US6425956B1 (en) 2001-01-05 2002-07-30 International Business Machines Corporation Process for removing chemical mechanical polishing residual slurry
JP2002237481A (ja) * 2001-02-09 2002-08-23 Kobe Steel Ltd 微細構造体の洗浄方法
US6562146B1 (en) * 2001-02-15 2003-05-13 Micell Technologies, Inc. Processes for cleaning and drying microelectronic structures using liquid or supercritical carbon dioxide
US6602351B2 (en) 2001-02-15 2003-08-05 Micell Technologies, Inc. Methods for the control of contaminants following carbon dioxide cleaning of microelectronic structures
US6641678B2 (en) 2001-02-15 2003-11-04 Micell Technologies, Inc. Methods for cleaning microelectronic structures with aqueous carbon dioxide systems
US6613157B2 (en) 2001-02-15 2003-09-02 Micell Technologies, Inc. Methods for removing particles from microelectronic structures
US6905555B2 (en) 2001-02-15 2005-06-14 Micell Technologies, Inc. Methods for transferring supercritical fluids in microelectronic and other industrial processes
US6596093B2 (en) 2001-02-15 2003-07-22 Micell Technologies, Inc. Methods for cleaning microelectronic structures with cyclical phase modulation
IL158340A0 (en) * 2001-04-10 2004-05-12 Supercritical Systems Inc High pressure processing chamber for semiconductor substrate including flow enhancing features
US6823880B2 (en) * 2001-04-25 2004-11-30 Kabushiki Kaisha Kobe Seiko Sho High pressure processing apparatus and high pressure processing method
US6890855B2 (en) 2001-06-27 2005-05-10 International Business Machines Corporation Process of removing residue material from a precision surface
US6457480B1 (en) * 2001-06-27 2002-10-01 International Business Machines Corporation Process and apparatus for cleaning filters
US6509136B1 (en) 2001-06-27 2003-01-21 International Business Machines Corporation Process of drying a cast polymeric film disposed on a workpiece
US20030008129A1 (en) 2001-06-27 2003-01-09 International Business Machines Corporation Dielectric material and process of insulating a semiconductor device using same
US6653233B2 (en) 2001-06-27 2003-11-25 International Business Machines Corporation Process of providing a semiconductor device with electrical interconnection capability
US6454869B1 (en) * 2001-06-27 2002-09-24 International Business Machines Corporation Process of cleaning semiconductor processing, handling and manufacturing equipment
US6398875B1 (en) 2001-06-27 2002-06-04 International Business Machines Corporation Process of drying semiconductor wafers using liquid or supercritical carbon dioxide
US6946055B2 (en) * 2001-08-22 2005-09-20 International Business Machines Corporation Method for recovering an organic solvent from a waste stream containing supercritical CO2
US6838015B2 (en) * 2001-09-04 2005-01-04 International Business Machines Corporation Liquid or supercritical carbon dioxide composition
US6706641B2 (en) 2001-09-13 2004-03-16 Micell Technologies, Inc. Spray member and method for using the same
US6666928B2 (en) 2001-09-13 2003-12-23 Micell Technologies, Inc. Methods and apparatus for holding a substrate in a pressure chamber
US6782900B2 (en) * 2001-09-13 2004-08-31 Micell Technologies, Inc. Methods and apparatus for cleaning and/or treating a substrate using CO2
US6619304B2 (en) 2001-09-13 2003-09-16 Micell Technologies, Inc. Pressure chamber assembly including non-mechanical drive means
US6763840B2 (en) 2001-09-14 2004-07-20 Micell Technologies, Inc. Method and apparatus for cleaning substrates using liquid carbon dioxide
JP3883929B2 (ja) 2001-09-25 2007-02-21 大日本スクリーン製造株式会社 薄膜形成装置および薄膜形成方法
US20040040660A1 (en) * 2001-10-03 2004-03-04 Biberger Maximilian Albert High pressure processing chamber for multiple semiconductor substrates
KR20040058207A (ko) * 2001-10-17 2004-07-03 프랙스에어 테크놀로지, 인코포레이티드 초임계 이산화탄소의 재순환
US20080264443A1 (en) * 2002-02-05 2008-10-30 Novellus Systems, Inc. Apparatus and methods for increasing the rate of solute concentration evolution in a supercritical process chamber
US6848458B1 (en) * 2002-02-05 2005-02-01 Novellus Systems, Inc. Apparatus and methods for processing semiconductor substrates using supercritical fluids
US6766810B1 (en) * 2002-02-15 2004-07-27 Novellus Systems, Inc. Methods and apparatus to control pressure in a supercritical fluid reactor
KR20030068772A (ko) * 2002-02-18 2003-08-25 태화일렉트론(주) 엘씨디 패널의 건식 세정 장치
US6953654B2 (en) 2002-03-14 2005-10-11 Tokyo Electron Limited Process and apparatus for removing a contaminant from a substrate
US20040003828A1 (en) * 2002-03-21 2004-01-08 Jackson David P. Precision surface treatments using dense fluids and a plasma
US6949145B2 (en) * 2002-04-05 2005-09-27 Boc, Inc. Vapor-assisted cryogenic cleaning
KR20040098054A (ko) * 2002-04-05 2004-11-18 비오씨 인코포레이티드 유체 보조 저온 세정
US20050217706A1 (en) * 2002-04-05 2005-10-06 Souvik Banerjee Fluid assisted cryogenic cleaning
US6852173B2 (en) * 2002-04-05 2005-02-08 Boc, Inc. Liquid-assisted cryogenic cleaning
US6764552B1 (en) 2002-04-18 2004-07-20 Novellus Systems, Inc. Supercritical solutions for cleaning photoresist and post-etch residue from low-k materials
US20040045578A1 (en) * 2002-05-03 2004-03-11 Jackson David P. Method and apparatus for selective treatment of a precision substrate surface
US6669785B2 (en) 2002-05-15 2003-12-30 Micell Technologies, Inc. Methods and compositions for etch cleaning microelectronic substrates in carbon dioxide
US20030217764A1 (en) * 2002-05-23 2003-11-27 Kaoru Masuda Process and composition for removing residues from the microstructure of an object
US6846380B2 (en) * 2002-06-13 2005-01-25 The Boc Group, Inc. Substrate processing apparatus and related systems and methods
US20040011386A1 (en) * 2002-07-17 2004-01-22 Scp Global Technologies Inc. Composition and method for removing photoresist and/or resist residue using supercritical fluids
US20040050406A1 (en) * 2002-07-17 2004-03-18 Akshey Sehgal Compositions and method for removing photoresist and/or resist residue at pressures ranging from ambient to supercritical
US6764873B2 (en) * 2002-07-18 2004-07-20 International Business Machines Corporation Semiconductor wafer including a low dielectric constant thermosetting polymer film and method of making same
US7134941B2 (en) * 2002-07-29 2006-11-14 Nanoclean Technologies, Inc. Methods for residue removal and corrosion prevention in a post-metal etch process
US7297286B2 (en) * 2002-07-29 2007-11-20 Nanoclean Technologies, Inc. Methods for resist stripping and other processes for cleaning surfaces substantially free of contaminants
US7101260B2 (en) * 2002-07-29 2006-09-05 Nanoclean Technologies, Inc. Methods for resist stripping and other processes for cleaning surfaces substantially free of contaminants
US7066789B2 (en) * 2002-07-29 2006-06-27 Manoclean Technologies, Inc. Methods for resist stripping and other processes for cleaning surfaces substantially free of contaminants
US6764385B2 (en) * 2002-07-29 2004-07-20 Nanoclean Technologies, Inc. Methods for resist stripping and cleaning surfaces substantially free of contaminants
DE10236491B4 (de) * 2002-08-09 2012-05-03 Air Liquide Deutschland Gmbh Reinigung mittels CO2 und N2O
DE10236493A1 (de) * 2002-08-09 2004-02-19 Messer Griesheim Gmbh Reinigungsmittel mit CO2 und N2O
EP1388376A3 (de) * 2002-08-09 2007-01-10 Air Liquide Deutschland GmbH Reinigung mit CO2 und N2O
DE10236485B4 (de) * 2002-08-09 2012-10-11 Air Liquide Deutschland Gmbh Reinigung von Substratoberflächen mittels CO2 und N2O
US20080000505A1 (en) * 2002-09-24 2008-01-03 Air Products And Chemicals, Inc. Processing of semiconductor components with dense processing fluids
US7267727B2 (en) * 2002-09-24 2007-09-11 Air Products And Chemicals, Inc. Processing of semiconductor components with dense processing fluids and ultrasonic energy
US7282099B2 (en) * 2002-09-24 2007-10-16 Air Products And Chemicals, Inc. Dense phase processing fluids for microelectronic component manufacture
US20080004194A1 (en) * 2002-09-24 2008-01-03 Air Products And Chemicals, Inc. Processing of semiconductor components with dense processing fluids
US6953041B2 (en) * 2002-10-09 2005-10-11 Micell Technologies, Inc. Compositions of transition metal species in dense phase carbon dioxide and methods of use thereof
US20060019850A1 (en) * 2002-10-31 2006-01-26 Korzenski Michael B Removal of particle contamination on a patterned silicon/silicon dioxide using dense fluid/chemical formulations
US6943139B2 (en) * 2002-10-31 2005-09-13 Advanced Technology Materials, Inc. Removal of particle contamination on patterned silicon/silicon dioxide using supercritical carbon dioxide/chemical formulations
JP2004158534A (ja) * 2002-11-05 2004-06-03 Kobe Steel Ltd 微細構造体の洗浄方法
US6880560B2 (en) * 2002-11-18 2005-04-19 Techsonic Substrate processing apparatus for processing substrates using dense phase gas and sonic waves
US6683008B1 (en) 2002-11-19 2004-01-27 International Business Machines Corporation Process of removing ion-implanted photoresist from a workpiece
US20040112409A1 (en) * 2002-12-16 2004-06-17 Supercritical Sysems, Inc. Fluoride in supercritical fluid for photoresist and residue removal
US6875286B2 (en) * 2002-12-16 2005-04-05 International Business Machines Corporation Solid CO2 cleaning
US20040154647A1 (en) * 2003-02-07 2004-08-12 Supercritical Systems, Inc. Method and apparatus of utilizing a coating for enhanced holding of a semiconductor substrate during high pressure processing
US20040198066A1 (en) * 2003-03-21 2004-10-07 Applied Materials, Inc. Using supercritical fluids and/or dense fluids in semiconductor applications
US20040194886A1 (en) * 2003-04-01 2004-10-07 Deyoung James Microelectronic device manufacturing in coordinated carbon dioxide processing chambers
US6875285B2 (en) * 2003-04-24 2005-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for dampening high pressure impact on porous materials
KR100505693B1 (ko) * 2003-06-26 2005-08-03 삼성전자주식회사 미세 전자 소자 기판으로부터 포토레지스트 또는 유기물을세정하는 방법
US20050006310A1 (en) * 2003-07-10 2005-01-13 Rajat Agrawal Purification and recovery of fluids in processing applications
US20050029492A1 (en) * 2003-08-05 2005-02-10 Hoshang Subawalla Processing of semiconductor substrates with dense fluids comprising acetylenic diols and/or alcohols
US7323064B2 (en) * 2003-08-06 2008-01-29 Micron Technology, Inc. Supercritical fluid technology for cleaning processing chambers and systems
US20050087490A1 (en) * 2003-10-28 2005-04-28 International Business Machines Corporation Process for removing impurities from low dielectric constant films disposed on semiconductor devices
US7069742B2 (en) * 2004-01-19 2006-07-04 Air Products And Chemicals, Inc. High-pressure delivery system for ultra high purity liquid carbon dioxide
US7076969B2 (en) * 2004-01-19 2006-07-18 Air Products And Chemicals, Inc. System for supply and delivery of high purity and ultrahigh purity carbon dioxide
US7076970B2 (en) * 2004-01-19 2006-07-18 Air Products And Chemicals, Inc. System for supply and delivery of carbon dioxide with different purity requirements
US7264679B2 (en) * 2004-02-11 2007-09-04 Applied Materials, Inc. Cleaning of chamber components
US20050183740A1 (en) * 2004-02-19 2005-08-25 Fulton John L. Process and apparatus for removing residues from semiconductor substrates
CA2467316A1 (en) * 2004-05-14 2005-11-14 British Columbia Hydro And Power Authority Dry ice blasting cleaning apparatus
US20050279453A1 (en) * 2004-06-17 2005-12-22 Uvtech Systems, Inc. System and methods for surface cleaning
US7195676B2 (en) 2004-07-13 2007-03-27 Air Products And Chemicals, Inc. Method for removal of flux and other residue in dense fluid systems
CN100528292C (zh) * 2004-10-07 2009-08-19 日本派欧尼株式会社 排气的处理方法和处理装置
US20060081273A1 (en) * 2004-10-20 2006-04-20 Mcdermott Wayne T Dense fluid compositions and processes using same for article treatment and residue removal
WO2006076005A1 (en) * 2005-01-12 2006-07-20 Boc, Inc. System for cleaning a surface using cryogenic aerosol and fluid reactant
US7008853B1 (en) * 2005-02-25 2006-03-07 Infineon Technologies, Ag Method and system for fabricating free-standing nanostructures
JP4518986B2 (ja) * 2005-03-17 2010-08-04 東京エレクトロン株式会社 大気搬送室、被処理体の処理後搬送方法、プログラム及び記憶媒体
US7407554B2 (en) * 2005-04-12 2008-08-05 International Business Machines Corporation Development or removal of block copolymer or PMMA-b-S-based resist using polar supercritical solvent
US7789971B2 (en) 2005-05-13 2010-09-07 Tokyo Electron Limited Treatment of substrate using functionalizing agent in supercritical carbon dioxide
WO2006138727A2 (en) * 2005-06-17 2006-12-28 The Regents Of The University Of Michigan Apparatus and method of producing net-shape components from alloy sheets
JP4533809B2 (ja) * 2005-06-28 2010-09-01 株式会社東芝 ディスクリートトラック媒体用基板の製造方法およびディスクリートトラック媒体の製造方法
US7588995B2 (en) * 2005-11-14 2009-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method to create damage-free porous low-k dielectric films and structures resulting therefrom
US7951723B2 (en) * 2006-10-24 2011-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated etch and supercritical CO2 process and chamber design
KR100885496B1 (ko) * 2007-07-11 2009-02-24 세메스 주식회사 초임계유체 생성장치, 그리고 이를 구비하는 기판 처리설비 및 방법
US7981221B2 (en) 2008-02-21 2011-07-19 Micron Technology, Inc. Rheological fluids for particle removal
TWI352615B (en) * 2009-06-09 2011-11-21 Univ Nat Taiwan Science Tech Fluid separation method and fluid seperation appar
JP5789614B2 (ja) * 2009-12-11 2015-10-07 ラム リサーチ コーポレーションLam Research Corporation めっき処理中の基板表面をウェットに維持するプロセス
JP5647845B2 (ja) * 2010-09-29 2015-01-07 株式会社Screenホールディングス 基板乾燥装置及び基板乾燥方法
US8974603B2 (en) * 2011-02-18 2015-03-10 Organo Corporation Method of purifying filter, and method of cleaning or drying object to be treated
US8883565B2 (en) 2011-10-04 2014-11-11 Infineon Technologies Ag Separation of semiconductor devices from a wafer carrier
US9099547B2 (en) 2011-10-04 2015-08-04 Infineon Technologies Ag Testing process for semiconductor devices
KR102101343B1 (ko) * 2013-12-05 2020-04-17 삼성전자주식회사 초임계 세정제의 정제방법 및 그의 정제장치
US10643840B2 (en) * 2017-09-12 2020-05-05 Applied Materials, Inc. Selective deposition defects removal by chemical etch
JP2019062039A (ja) * 2017-09-26 2019-04-18 株式会社東芝 エッチング装置及び方法、処理システム、並びに、物品、半導体装置及び半導体チップの製造方法
US11033930B2 (en) 2018-01-08 2021-06-15 Applied Materials, Inc. Methods and apparatus for cryogenic gas stream assisted SAM-based selective deposition
TWI776026B (zh) * 2018-06-04 2022-09-01 美商帕斯馬舍門有限責任公司 切割晶粒附接膜的方法
KR102179716B1 (ko) * 2019-04-24 2020-11-17 무진전자 주식회사 기판 건조 챔버
CN114078692B (zh) * 2022-01-07 2024-02-20 浙江大学杭州国际科创中心 一种晶圆清洗方法和晶圆清洗设备

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3890176A (en) * 1972-08-18 1975-06-17 Gen Electric Method for removing photoresist from substrate
US5105556A (en) * 1987-08-12 1992-04-21 Hitachi, Ltd. Vapor washing process and apparatus
JP2663483B2 (ja) * 1988-02-29 1997-10-15 勝 西川 レジストパターン形成方法
JPH01242621A (ja) * 1988-03-23 1989-09-27 Mitsubishi Gas Chem Co Inc 精製されたポリカーボネート樹脂の製造法
US5185296A (en) * 1988-07-26 1993-02-09 Matsushita Electric Industrial Co., Ltd. Method for forming a dielectric thin film or its pattern of high accuracy on a substrate
US5013366A (en) * 1988-12-07 1991-05-07 Hughes Aircraft Company Cleaning process using phase shifting of dense phase gases
US5068040A (en) * 1989-04-03 1991-11-26 Hughes Aircraft Company Dense phase gas photochemical process for substrate treatment
US5213619A (en) * 1989-11-30 1993-05-25 Jackson David P Processes for cleaning, sterilizing, and implanting materials using high energy dense fluids
US5279771A (en) * 1990-11-05 1994-01-18 Ekc Technology, Inc. Stripping compositions comprising hydroxylamine and alkanolamine
US5306350A (en) * 1990-12-21 1994-04-26 Union Carbide Chemicals & Plastics Technology Corporation Methods for cleaning apparatus using compressed fluids
US5185058A (en) * 1991-01-29 1993-02-09 Micron Technology, Inc. Process for etching semiconductor devices
KR930019861A (ko) * 1991-12-12 1993-10-19 완다 케이. 덴슨-로우 조밀상 기체를 이용한 코팅 방법
US5313965A (en) * 1992-06-01 1994-05-24 Hughes Aircraft Company Continuous operation supercritical fluid treatment process and system
US5267455A (en) * 1992-07-13 1993-12-07 The Clorox Company Liquid/supercritical carbon dioxide dry cleaning system
US5344493A (en) * 1992-07-20 1994-09-06 Jackson David P Cleaning process using microwave energy and centrifugation in combination with dense fluids
US5368171A (en) * 1992-07-20 1994-11-29 Jackson; David P. Dense fluid microwave centrifuge
DE4230485A1 (de) * 1992-09-11 1994-03-17 Linde Ag Anlage zur Reinigung mit verflüssigten oder überkritischen Gasen
US5294261A (en) * 1992-11-02 1994-03-15 Air Products And Chemicals, Inc. Surface cleaning using an argon or nitrogen aerosol
US5380401A (en) * 1993-01-14 1995-01-10 Micron Technology, Inc. Method to remove fluorine residues from bond pads
US5377705A (en) * 1993-09-16 1995-01-03 Autoclave Engineers, Inc. Precision cleaning system
US5378312A (en) * 1993-12-07 1995-01-03 International Business Machines Corporation Process for fabricating a semiconductor structure having sidewalls
US5417768A (en) * 1993-12-14 1995-05-23 Autoclave Engineers, Inc. Method of cleaning workpiece with solvent and then with liquid carbon dioxide
KR0137841B1 (ko) * 1994-06-07 1998-04-27 문정환 식각잔류물 제거방법
US5522938A (en) * 1994-08-08 1996-06-04 Texas Instruments Incorporated Particle removal in supercritical liquids using single frequency acoustic waves
DE69610652T2 (de) * 1995-01-26 2001-05-10 Texas Instruments Inc Verfahren zur Entfernung von Oberflächenkontamination

Also Published As

Publication number Publication date
JP3358172B2 (ja) 2002-12-16
US5908510A (en) 1999-06-01
US5976264A (en) 1999-11-02
KR19980032377A (ko) 1998-07-25
EP0836895A2 (en) 1998-04-22
EP0836895A3 (en) 1998-09-16
JPH10125644A (ja) 1998-05-15

Similar Documents

Publication Publication Date Title
KR100330616B1 (ko) 초임계유체에의한잔사의제거방법
US6890855B2 (en) Process of removing residue material from a precision surface
US6509141B2 (en) Removal of photoresist and photoresist residue from semiconductors using supercritical carbon dioxide process
JP3564101B2 (ja) 化学機械的研磨により生じる残留スラリを除去するプロセス
US5925577A (en) Method for forming via contact hole in a semiconductor device
US20030027085A1 (en) Removal of photoresist and photoresist residue from semiconductors using supercritical carbon dioxide process
US8197603B2 (en) Method and apparatus for treating a substrate with dense fluid and plasma
US6838015B2 (en) Liquid or supercritical carbon dioxide composition
US4498953A (en) Etching techniques
US4778536A (en) Sulfur trioxide vapor phase stripping
KR20010033061A (ko) 유기 마스크 적층을 이용한 패턴화된 층의 고온 에칭 방법
JP2005252234A (ja) 物品を処理するための方法及び装置
EP1704584A1 (en) Method and apparatus for cleaning semiconductor wafers using compressed and/or pressurized foams, bubbles, and/or liquids
DE112005003376T5 (de) Niederdruck-Entfernung von Photoresist und Ätzresten
US5851302A (en) Method for dry etching sidewall polymer
GB2137143A (en) Plasma reactive ion etching of aluminum and aluminum alloys
US20080004194A1 (en) Processing of semiconductor components with dense processing fluids
CN1270355C (zh) 用于从电子部件衬底去除残留材料的方法及其装置
US20080000505A1 (en) Processing of semiconductor components with dense processing fluids
JP2003206497A (ja) 洗浄及び乾燥方法
KR20070043899A (ko) 미세구조체의 세정방법
US6683008B1 (en) Process of removing ion-implanted photoresist from a workpiece
JP4053253B2 (ja) 高圧処理装置及び方法
KR100568381B1 (ko) 반도체 처리장치 부품용 세정액 및 세정방법
US6287972B1 (en) System and method for residue entrapment utilizing a polish and sacrificial fill for semiconductor fabrication

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee