KR100232040B1 - Plasma cvd apparatus and dryetching apparatus and method - Google Patents

Plasma cvd apparatus and dryetching apparatus and method Download PDF

Info

Publication number
KR100232040B1
KR100232040B1 KR1019960038776A KR19960038776A KR100232040B1 KR 100232040 B1 KR100232040 B1 KR 100232040B1 KR 1019960038776 A KR1019960038776 A KR 1019960038776A KR 19960038776 A KR19960038776 A KR 19960038776A KR 100232040 B1 KR100232040 B1 KR 100232040B1
Authority
KR
South Korea
Prior art keywords
plasma
generating electrode
plasma generating
cvd apparatus
processing chamber
Prior art date
Application number
KR1019960038776A
Other languages
Korean (ko)
Other versions
KR970021370A (en
Inventor
료키 도베
마사오 사사키
아츠시 세키구치
겐이치 다카기
Original Assignee
니시히라 순지
아네르바 가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 니시히라 순지, 아네르바 가부시키가이샤 filed Critical 니시히라 순지
Publication of KR970021370A publication Critical patent/KR970021370A/en
Application granted granted Critical
Publication of KR100232040B1 publication Critical patent/KR100232040B1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Abstract

플라즈마발생전극과 플라즈마와 처리실내벽의 상대전위관계를 최적으로 하여 시간경과에 따른 변화가 매우 적은 안정된 저압고밀도 플라즈마를 얻는다.The relative potential relationship between the plasma generating electrode, the plasma, and the inner wall of the processing chamber is optimized, and a stable low-pressure high-density plasma having a very small change with time is obtained.

1턴 루프형상을 한 플라즈마발생전극(61)의 한쪽의 도입단자(62)를 고주파전원(52)에 접속하고, 다른쪽 도입단자(63)를 제1콘덴서(81)를 통하여 접지한다.One of the introduction terminals 62 of the plasma generation electrode 61 having one turn loop shape is connected to the high frequency power source 52 and the other introduction terminal 63 is grounded through the first capacitor 81.

사염화티탄을 매분 20밀리리터, 수소가스를 매분 30밀리리터, 질소가스를 매분 10밀리리터의 유량으로 처리실(20)에 도입하고, 처리실(20)내의 압력을 약 1Pa로 설정하고, 기체(21)온도를 450℃~600℃로 설정한다. 고주파전원(5)의 출력을 2.5kW로하여 저압고밀도의 플라즈마를 발생시키면, 질화티탄의 막이 매분 약 30nm의 속도로 퇴적한다.Titanium tetrachloride was introduced into the treatment chamber 20 at a flow rate of 20 milliliters per minute, hydrogen gas at 30 milliliters per minute and nitrogen gas at a rate of 10 milliliters per minute, the pressure in the treatment chamber 20 was set to about 1 Pa, 450 ° C to 600 ° C. When the output of the high-frequency power source 5 is 2.5 kW to generate a plasma of low pressure and high density, the film of titanium nitride is deposited at a rate of about 30 nm per minute.

Description

플라즈마 CVD장치 및 방법과 드라이에칭장치 및 방법Plasma CVD apparatus and method, dry etching apparatus and method

제1도는 본 발명의 플라즈마 CVD장치의 1실시형태의 구성도.FIG. 1 is a configuration diagram of one embodiment of a plasma CVD apparatus of the present invention. FIG.

제2도는 가스도입기구의 구성도.FIG. 2 is a schematic view of a gas introducing mechanism. FIG.

제3도는 플라즈마 발생전극의 평면도.3 is a plan view of the plasma generating electrode.

제4도는 절연링의 일부를 절단한 사시도.FIG. 4 is a perspective view showing a part of the insulating ring cut. FIG.

제5도는 제1도의 5-5선 단면도.5 is a sectional view taken along line 5-5 of FIG. 1;

제6도는 저부피복률의 기체 바이어스용 전력 의존성을 나타내는 그래프.6 is a graph showing the power dependence of the bottom coverage for the gas bias.

제7도는 본 발명의 플라즈마 CVD장치의 다른 실시형태의 주요부 구성도.7 is a schematic view of a main portion of another embodiment of the plasma CVD apparatus of the present invention.

제8도는 전극전위 제어기구의 다른 변경예를 나타내는 구성도.Fig. 8 is a configuration diagram showing another modification of the electrode potential control mechanism; Fig.

제9도는 콘덴서의 용량과 플라즈마 발생전극의 직류 바이어스 전압의 관계를 나타내는 그래프.9 is a graph showing the relationship between the capacity of the capacitor and the DC bias voltage of the plasma generating electrode.

제10도는 전극전위 제어기구의 다른 변경예를 나타내는 구성도.10 is a configuration diagram showing another modification of the electrode potential control mechanism.

제11도는 플라즈마 발생전극의 변경예를 나타내는 사시도.11 is a perspective view showing a modification of the plasma generating electrode;

제12도는 플라즈마 발생전극의 다른 변경예를 나타내는 사시도.FIG. 12 is a perspective view showing another modification of the plasma generating electrode; FIG.

제13도는 가스도입가구의 변경예를 나타내는 구성도.13 is a schematic view showing a modification example of the gas introducing furniture;

* 도면의 주요부분에 대한 부호의 설명DESCRIPTION OF THE REFERENCE NUMERALS

10 : 가스도입기구 20 : 처리실10: gas introduction mechanism 20: processing chamber

21 : 기체 25 : 기체홀더21: gas 25: gas holder

30 : 배기기구 50 : 전력공급원30: exhaust mechanism 50: power source

61 : 플라즈마 발생전극 62, 63 : 도입단자61: plasma generating electrode 62, 63:

71 : 절연링 80 : 전극전위 제어기구71: Insulation ring 80: Electrode potential control mechanism

81 : 제1콘덴서 85 : 내벽전위제어기구81: first capacitor 85: inner wall potential control mechanism

86 : 제2콘덴서 90 : 바이어스 전력공급원86: second capacitor 90: bias power supply

[발명이 속하는 기술분야][Technical Field]

본 발명은 처리실내부에 플라즈마 발생전극을 구비하는 플라즈마 CVD장치 및 방법에 관한 것이며, 또 처리실 내부에 플라즈마 발생전극을 구비하는 드라이에칭장치 및 방법에 관한 것이다.The present invention relates to a plasma CVD apparatus and method having a plasma generating electrode in a processing chamber, and a dry etching apparatus and method having a plasma generating electrode in a processing chamber.

[종래의 기술][0003]

플라즈마 CVD법은 플라즈마를 이용하여 원료가스를 화학반응시켜서 기체상에 박막을 퇴적시키는 박막형성 방법인데, 이 플라즈마 CVD법은 반도체 집적회로 소자, 초전도소자, 각종 전자소자, 각종 센서를 구성하고 있는 금속막, 반도체막, 절연막, 광도전체막, 확산방지막, 밀착층막의 박막을 제작하는 수법으로서 널리 사용되고 있다.The plasma CVD method is a method of forming a thin film by depositing a thin film on a substrate by chemically reacting the source gas using plasma. This plasma CVD method is a method of forming a thin film by depositing a thin film on a substrate such as a semiconductor integrated circuit device, a superconducting device, Film, a semiconductor film, an insulating film, a photoconductive film, a diffusion preventing film, and a contact layer film.

또, 드라이에칭법은 소정의 원료가스를 플라즈마를 이용하여 화학반응시켜서 박막을 에칭하는 방법으로서, 이것도 널리 이용되고 있다. 이들 플라즈마 처리방법에 있어서, 근년, 저압고밀도 플라즈마가 주목되고 있다.The dry etching method is a method of etching a thin film by chemically reacting a predetermined source gas with plasma, and this method is also widely used. In recent years, attention has been paid to a low-pressure high-density plasma in these plasma processing methods.

이 저압고밀도 플라즈마를 이용함으로써 지금까지 실현되지 않았던 처리가 가능해져, 처리효율이 개선되고 있다. 이하에, 플라즈마 CVD장치를 예로 들어 종래기술을 설명한다. 처리실내에 플라즈마를 발생시키기 위해서는 플라즈마 발생전극을 사용하는 것이 일반적이고, 전형적으로는 이 플라즈마 발생전극에 고주파 전력을 인가하고 있다.By using this low-pressure high-density plasma, processing that has not been realized so far becomes possible, and processing efficiency is improved. Hereinafter, the prior art will be described by taking a plasma CVD apparatus as an example. Generally, a plasma generating electrode is used to generate plasma in the processing chamber. Typically, high frequency power is applied to the plasma generating electrode.

이 플라즈마 발생전극의 형식을 분류하면, 용량결합방식과 유도결합방식으로 분류할 수 있고, 또 다른 관점으로는, 처리실 외부에 전극을 배치하는 외부 전극방식과, 처리실 내부에 전극을 배치하는 내부전극방식으로 분류할 수 있다. 이들 형식중에서 널리 사용되고 있는 것은 용량 결합방식이고 또한 내부전극방식인 평행평판형 플라즈마 CVD장치이다.The plasma generating electrode can be classified into a capacitive coupling method and an inductive coupling method. The plasma generating electrode can be classified into an external electrode method in which electrodes are disposed outside the process chamber and an internal electrode method in which electrodes are disposed inside the process chamber. . Among these types, a plasma CVD apparatus of a parallel plate type which is capacitive coupling type and internal electrode type is widely used.

이 평행 평판형 플라즈마 CVD장치는 처리실 내부에서 2매의 전극을 대향시켜, 한쪽 전극에 고주파전력이나 저주파전력, 직류전력, 혹은 이들 전력을 시간 변조한 전력을 인가할 수 있는 구조로 되어 있다. 다른 쪽 전극은 접지되어 있다. 혹은 다른 쪽 전극을 콘덴서, 코일(인덕터), 콘덴서와 코일의 조합을 통하여 접지하고 있는 것도 있다.This parallel plate type plasma CVD apparatus has a structure in which two electrodes are opposed to each other in a processing chamber and high-frequency power, low-frequency power, direct-current power, or power obtained by time-modulating these powers can be applied to one electrode. And the other electrode is grounded. Or the other electrode is grounded through a combination of a capacitor, a coil (inductor), a capacitor and a coil.

이들 평행평판형 전극구조는 2개의 전극간의 정전계(靜電界)에 의해 하전입자를 가속하여, 하전입자와 하전입자, 또는 하전입자와 전극의 충돌에 의한 상호작용에 따라 플라즈마를 생성, 유지하는 것이다. 이 평행평판형 플라즈마 CVD장치에서는 100mTorr이하의 압력으로는 플라즈마를 생성 및 유지하기 어려워 저압고밀도 플라즈마를 얻을 수 없다.These parallel plate type electrode structures accelerate charged particles by an electrostatic field between two electrodes and generate and maintain plasma in accordance with interaction between charged particles and charged particles or collision between charged particles and electrodes will be. In this parallel plate type plasma CVD apparatus, it is difficult to generate and maintain a plasma at a pressure of 100 mTorr or lower, so that a low-pressure high-density plasma can not be obtained.

한편, 저압고밀도 플라즈마를 생성하는데 뛰어난 방식중에서 널리 사용되고 있는 것은 유도결합방식의 플라즈마 발생법이다. 이를 정리한 문헌으로서 스가이 히데오 저, 「저압력ㆍ고밀도 플라즈마의 새로운 전개」, 응용물리, 제63권 제6호, 1994년, pp.559-567이 있다. 이 유도결합방식은 플라즈마 생성안테나에 흐르는 전류의 시간변화에 의한 전자유도에 의해 플라즈마를 생성 및 유지시키는 것이다.On the other hand, an inductively coupled plasma generation method is widely used as an excellent method for generating a low-pressure high-density plasma. As a summary of this, Higeyo Sugai, "New Development of Low Pressure and High Density Plasma", Applied Physics, Vol. 63, No. 6, 1994, pp. 559-567. This inductive coupling method is to generate and maintain a plasma by electromagnetic induction by a change in the current flowing through the plasma generating antenna.

즉, 플라즈마의 생성유지기구가 전자파와 하전입자의 상호작용에 따른 것이다.That is, the generation and maintenance mechanism of the plasma depends on the interaction between the electromagnetic wave and the charged particle.

따라서, 100mTorr이하의 압력으로도 플라즈마를 생성 및 유지하는 것이 용이하여 저압 고밀도 플라즈마를 얻을 수 있다.Therefore, it is easy to generate and maintain a plasma even at a pressure of 100 mTorr or lower, and a low-pressure high-density plasma can be obtained.

이 유도결합방식중에서 널리 사용되고 있는 것은 처리실 외부에 플라즈마 생성안테나를 배치하는 외부안테나 방식이다. 이 방식은 유전체 재료로 만들어진 방전실의 외부주위에 코일상 또는 변형한 루프상의 플라즈마 생성안테나를 배치하고 있다.Among the inductive coupling methods, an external antenna system is widely used in which a plasma generating antenna is disposed outside the process chamber. In this method, a plasma generating antenna on a coiled or deformed loop is arranged around the outside of a discharge chamber made of a dielectric material.

이에 의해 저압고밀도 플라즈마를 얻고 있다. 그러나, 이 외부안테나방식은 다음의 결점이 있다. 도전체막, 반도체막 등의 도전성이 비교적 양호한 막(이하, 간단히 도전막이라 함)을 제작한 경우, 유전체로 만들어진 방전실 내벽에 도전막이 퇴적하여, 방전실 주위에 설치되어 있는 플라즈마 생성 안테나에서 방사되는 전자파가 이 내벽상의 도전막으로 차폐되어 버린다.As a result, a low-pressure high-density plasma is obtained. However, this external antenna method has the following drawbacks. (Hereinafter simply referred to as a conductive film) such as a conductive film or a semiconductor film is produced, a conductive film is deposited on the inner wall of the discharge chamber made of a dielectric material, and a plasma is generated in the plasma generating antenna Electromagnetic waves are shielded by the conductive film on the inner wall.

이 때문에, 방전실내의 플라즈마 상태가 불안정하게 되거나, 심할 때는 플라즈마를 생성할 수 없게 되어 버린다. 따라서, 이 외부 안테나 방식으로 플라즈마를 발생시켜서 기체상에 도전막을 퇴적할 경우에는 방전실 내벽을 가끔 세척할 필요가 있다.As a result, the plasma state in the discharge room becomes unstable, and plasma can not be generated in severe cases. Therefore, when the conductive film is deposited on the substrate by generating the plasma by the external antenna method, it is necessary to occasionally clean the inner wall of the discharge chamber.

상기의 외부안테나방식의 결점을 보완하기 위하여 유도결합방식의 안테나를 처리실 내부에 설치하는 방식(이하, 간단히 내부안테나방식이라 함)이 알려져 있다.In order to compensate for the drawbacks of the external antenna method, a method of installing an inductively coupled antenna in a processing chamber (hereinafter simply referred to as an internal antenna method) is known.

이 내부안테나방식의 플라즈마 발생장치는 상기 스가이 히데오씨의 논문에도 제시되어 있는데, 구체적 장치구성으로서는 Hideo Sugai, Kenji Nakamura, keiji Suzuki:Japanese Journal of Applied Physics Vol. 33(1994) pp. 2189-2193에 기재되어 있다.This internal antenna type plasma generating device is also shown in the above-mentioned article by Hideo Sugaya, and specific device configurations include Hideo Sugai, Kenji Nakamura, Keiji Suzuki: Japanese Journal of Applied Physics Vol. 33 (1994) pp. 2189-2193.

또 일본국 특개평 7-18433호 공보에는 이 종류의 내부안테나 방식을 이용한 스퍼터링 장치가 개시되어 있다.Japanese Patent Application Laid-Open No. H07-18433 discloses a sputtering apparatus using this type of internal antenna system.

[발명이 해결하고자 하는 과제][Problems to be solved by the invention]

상기 Hideo Sugai등의 논문에 개시되어 있는 내부안테나 방식의 플라즈마 발생장치에서는 실질상 1턴(turn)의 루프코일로 이루어지는 안테나를 처리실내부에 배치하고 있으며, 이 안테나의 한쪽 단자를 고주파 전원에 접속하고, 다른쪽 단자를 접지하고 있다.In the internal antenna type plasma generating apparatus disclosed in Hideo Sugai et al., An antenna comprising a loop coil of substantially one turn is disposed in the processing chamber, one terminal of the antenna is connected to a high frequency power source , And the other terminal is grounded.

이 플라즈마 발생장치를 이용하여 기체상에 막을 퇴적할 경우에는 다음의 문제가 발생한다. 플라즈마 CVD법으로 기체상에 도전막을 퇴적할 경우에는 안테나 표면에도 도전막이 퇴적한다. 또, 드라이에칭법으로 금속의 기체를 에칭처리할 경우에도, 에칭처리에 의해 생긴 금속화합물 가스가 안테나 표면에 부착하고, 이것이 화학변화를 일으켜 금속이 퇴적되어 버린다. 이와같이, 유전체로 피복한 안테나표면에 도전막이 퇴적되어 가면, 기체 처리가 진행됨에 따라 플라즈마 생성의 상태가 변화되어, 장기간에 걸쳐 기체 처리를 배치처리한 경우에 기체처리의 재현성이 손상된다.When depositing a film on a substrate by using this plasma generating apparatus, the following problems arise. When a conductive film is deposited on a substrate by the plasma CVD method, a conductive film is also deposited on the surface of the antenna. Further, even when the metal gas is etched by the dry etching method, the metal compound gas produced by the etching treatment adheres to the surface of the antenna, which causes a chemical change to deposit the metal. Thus, when a conductive film is deposited on the surface of the antenna covered with a dielectric, the state of plasma generation changes as the gas processing proceeds, and the reproducibility of the gas processing is impaired when the gas processing is batch-processed for a long period of time.

또, 플라즈마 안정화를 위한 유전체 피복의 효과가 상실되고, 플라즈마가 불안정하게 되기 쉽다. 따라서, 안테나 표면을 가끔 세척할 필요가 있다.In addition, the effect of dielectric coating for plasma stabilization is lost, and the plasma tends to become unstable. Therefore, it is necessary to occasionally clean the antenna surface.

더욱이, 이 Hideo Sugai등의 논문의 플라즈마 발생장치에서는 안테나에 인가되는 고주파전력에 의해 안테나의 유전체피복표면에 음의 직류바이어스 전압이 유지된다.Further, in the plasma generating apparatus of this paper by Hideo Sugai et al., The negative DC bias voltage is maintained on the dielectric coated surface of the antenna by the high-frequency power applied to the antenna.

그리고, 이 직류바이어스 전압과 플라즈마 전위사이의 전위차에 의해 양이온이 가속되어서, 이 양이온이 안테나 표면의 유전체 피복에 입사하여 유전체 피복을 스퍼터링해 버린다. 이 때문에, 이 종류의 플라즈마 발생장치를 막퇴적에 사용한 경우에는 퇴적하는 막안에 유전체 피복을 구성하는 물질이 혼입될 우려가 있어, 고순도의 막을 제작하는데 장애가 되기 쉽다.Positive ions are accelerated by the potential difference between the DC bias voltage and the plasma potential, and these positive ions are incident on the dielectric coating on the surface of the antenna to sputter the dielectric coating. Therefore, when this type of plasma generating apparatus is used for film deposition, there is a possibility that materials constituting the dielectric coating may be mixed into the film to be deposited, which tends to obstruct the production of a film of high purity.

또한, 이 Hideo Sugai등의 논문의 플라즈마 발생장치에 있어서, 안테나 표면에 유전체피복을 설치하지 않으면, 상기와 같은 결점은 해소되나, 다음과 같은 다른 문제가 있다. 안테나 일단은 직류적으로 접지되어 있으므로 안테나에 직류적인 바이어스 전위가 생기지 않고, 안테나에 인가되는 고주파 전력에 의해 안테나의 전위는 접지 전위를 기준으로하여 시간과 함께 양음으로 대칭 변화한다. 이와같은 안테나전위의 시간적 변화에 따라 하전입자인 전자 또는 양이온이 안테나에 유입된다.In addition, in the plasma generating apparatus of this article by Hideo Sugai et al., If the dielectric coating is not provided on the surface of the antenna, the above drawbacks are solved, but there are other problems as follows. Since the one end of the antenna is grounded DC, there is no direct bias potential on the antenna, and the potential of the antenna is symmetrically changed to positive and positive with time based on the ground potential by the high frequency power applied to the antenna. Electrons or positive ions, which are charge carriers, flow into the antenna according to the temporal change of the antenna potential.

전자는 양이온에 비해 질량이 매우 작으므로 전계에 의한 이동도(移動渡)가 크고, 안테나에 유입하는 하전입자의 수는 양이온 보다 전자쪽이 많아진다. 한편, 안테나에는 상기와 같이 직류적인 바이어스전위가 생기지 않으므로 안테나에 유입하는 하전입자의 전전하량의 밸런스를 취하기 위하여 필연적으로 플라즈마 전위가 양의 전위방향으로 이동한다. 그 결과, 처리실 내벽과 플라즈마 사이의 전계가 커지고, 양이온이 처리실 내벽을 향하여 가속되는 에너지가 커진다. 그러면, 이 양이온이 처리실 내벽에 충돌함으로써 생기는 이차전자의 양이 커지고, 처리실 내벽의 어디에선가 국소적으로 자속(自續)방전이 발생한다. 이 자속방전에 의해 처리실 내벽이 가열되어 열음극아크방전이 되고, 이와같은 아크방전모드가 되면, 플라즈마와 처리실내벽 사이에 큰 전류가 흐른다. 이에 따라, 플라즈마의 공간전위는 내려가서 일시적으로 자속방전은 정지되나, 재차 플라즈마의 공간전위가 상승하여 플라즈마와 처리실내벽 사이에서 자속방전이 생긴다.Since electrons are much smaller in mass than cations, the mobility due to the electric field is large, and the number of charge carriers flowing into the antenna is larger than that of positive ions. On the other hand, since the DC bias potential is not generated in the antenna as described above, the plasma potential necessarily moves in the positive potential direction in order to balance the charge amount of the charged particles flowing into the antenna. As a result, the electric field between the inner wall of the treatment chamber and the plasma becomes larger, and the energy accelerated by the ions toward the inner wall of the treatment chamber becomes larger. Then, the amount of the secondary electrons generated by the collision of the positive ions with the inner wall of the treatment chamber becomes large, and a self-sustained discharge locally occurs somewhere on the inner wall of the treatment chamber. The inner wall of the treatment chamber is heated by this magnetic flux discharge to generate hot arc arc discharge. When this arc discharge mode is set, a large current flows between the plasma and the inner wall of the treatment chamber. As a result, the spatial potential of the plasma is lowered and the magnetic flux discharge is temporarily stopped, but the spatial potential of the plasma again rises and a magnetic flux discharge occurs between the plasma and the inner wall of the treatment chamber.

이와같이 플라즈마의 공간전위가 주기적으로 크게 변화되므로 안정된 플라즈마를 얻을 수 없다. 또한, 처리실내벽이 열음극 아크방전에 의해 국소적으로 극도 가열되기 때문에 처리실을 구성하는 금속재료가 증발하여, 피처리기체의 중금속 오염의 원인이 된다. 또, 이와같이 유전체 피복을 실시하지 않을 경우에는 투입전력을 크게 하더라도 유전체 피복을 실시한 경우에 비해 플라즈마의 전자밀도가 상당히 낮아서, 저압고밀도 플라즈마를 얻을 수 없다.Thus, a stable plasma can not be obtained because the spatial potential of the plasma changes periodically. In addition, since the inner wall of the treatment chamber is locally extreme heated by the hot arc arc discharge, the metal material constituting the treatment chamber evaporates and becomes a cause of heavy metal contamination of the target gas. When the dielectric coating is not performed in this way, the electron density of the plasma is considerably lower than that in the case where the dielectric coating is applied even when the applied power is increased, so that a low-pressure high-density plasma can not be obtained.

상기 특개평 7-18433호 공보의 스퍼터링장치에서는 실질상 1턴의 루프안테나를 처리실 내부에 배치하고 있으며, 이 안테나의 한쪽 단자를 고주파 전원과 바이어스용 직류 전원에 접속하고, 다른쪽 단자를 직류 저지콘덴서를 통하여 접지하고 있다.In the sputtering apparatus disclosed in Japanese Unexamined Patent Publication No. 7-18433, a loop antenna of one turn is disposed in the processing chamber, one terminal of the antenna is connected to a high frequency power source and a bias DC power source, And grounded through a capacitor.

그리고, 이 안테나 자체를 스퍼터링 장치의 타겟재로서 사용하고 있다. 이 장치를 플라즈마 발생장치로 본 경우, 상기 Hideo Sugai등의 논문의 플라즈마 발생장치와 비교하면 안테나에 유전체 피복을 사용하지 않은 점과, 안테나 일단을 직류적으로 접지하지 않고 콘덴서를 통하여 접지하고 있는 점의 두가지점에서 상위한다. 이와같은 상위점 때문에 Hideo Sugai등의 논문의 플라즈마 발생장치에서 생기고 있던 상기의 각종 문제점이 해소되고 있다. 그러나, 본 발명자들의 실험에 따르면, 이 특개평 7-18433호 공보에 개시된 플라즈마 발생장치를 이용하여 플라즈마 CVD법에 의해 기체상에 막을 퇴적한 경우에는, 다음과 같은 문제가 있다는 것이 판명되었다.The antenna itself is used as a target material of a sputtering apparatus. When this device is regarded as a plasma generating device, compared with the plasma generating device of the above-mentioned Hideo Sugai et al., The dielectric coating is not used for the antenna and the point where the end of the antenna is grounded through the capacitor Which is the difference between the two points. Because of such differences, the above-mentioned various problems that have arisen in the plasma generating apparatus of Hideo Sugai et al. Have been solved. However, according to the experiments of the inventors of the present invention, it has been found that when the film is deposited on the substrate by the plasma CVD method using the plasma generating apparatus disclosed in Japanese Patent Laid-Open Publication No. 7-18433, the following problems occur.

즉, 특개평 7-18433호 공보에 개시된 플라즈마 발생장치는 안테나를 스퍼터링하기 위하여 안테나에 높은 직류바이어스를 인가하고 있다. 이와같은 높은 직류바이어스를 이용하여 플라즈마 CVD법에 의해 피막하면, 스퍼터링효과가 커져서 가량 미세한 접촉구멍에의 단차피복성이 악화되어, CVD 본래의 양호한 단차피복성이 발휘되지 않는다.That is, in the plasma generating apparatus disclosed in Japanese Patent Application Laid-Open No. H07-18433, a high DC bias is applied to the antenna for sputtering the antenna. When such a high direct current bias is used to coat a film by the plasma CVD method, the sputtering effect becomes large and the step coverage to the fine contact hole is deteriorated, so that satisfactory level difference coverage inherent in CVD is not exhibited.

또, 안테나의 스퍼터에칭이 커서, 장시간에 걸쳐 안테나를 사용할 수 없다. 그래서, 스퍼터링 효과를 없애기 위하여 특개평 7-18433호 공보에 있어서 직류바이어스 전원과 직류저지 콘덴서를 제거하면, 방전이 불안정해지거나 저압고밀도 플라즈마가 얻어지지 않는다면 다른 문제가 생긴다.In addition, the sputter etching of the antenna is large, and the antenna can not be used for a long time. Thus, in order to eliminate the sputtering effect, another problem arises if the DC bias power source and the DC blocking capacitor are removed in JP-A-7-18433, if the discharge becomes unstable or the low-pressure high-density plasma can not be obtained.

본 발명은 상기 문제점을 해결하기 위하여 이루어진 것으로, 그 목적은 저압고밀도 플라즈마를 이용하여 장기간에 걸쳐 안정된 플라즈마 CVD처리를 실현하는데 있다.SUMMARY OF THE INVENTION The present invention has been made to solve the above problems, and an object of the present invention is to realize a stable plasma CVD process for a long period of time by using a low-pressure high-density plasma.

또, 본 발명의 다른 목적은 저압고밀도 플라즈마를 이용하여 안정된 드라이에칭 처리를 실현함에 있다.Another object of the present invention is to realize a stable dry etching process using a low-pressure high-density plasma.

[과제를 해결하기 위한 수단][MEANS FOR SOLVING THE PROBLEMS]

본 발명자는 두개의 단자를 구비한 플라즈마 발생전극을 처리실 내부에 배치한 형식의 플라즈마 CVD장치에 관하여, 안정된 저압고밀도 플라즈마를 발생시키기 위한 장치 구성을 예의 연구한 결과, 이 종류의 전극형식에 대해서는, 플라즈마 발생전극과 처리실 내벽사이의 상대전위를 최적으로 제어하는 것이 중요하다는 것을 발견하였다.The present inventors have made intensive studies on a device configuration for generating a stable low-pressure high-density plasma with respect to a plasma CVD apparatus of the type in which a plasma generating electrode having two terminals is disposed inside a process chamber. As a result, It is important to optimally control the relative potential between the plasma generating electrode and the inner wall of the treatment chamber.

그리고, 그것을 위하여 본 발명에서는, 플라즈마 발생전극의 두개의 단자중 고주파전력공급원에 접속하지 않은 쪽의 단자를 직류적으로 접지하지 않고 전극전위 제어기구를 통하여 접지함과 동시에, 처리실도 직류적으로 접지하지 않고 내벽전위제어기구를 통하여 접지하도록 하고 있다. 또, 플라즈마 발생전극과 처리실 내벽사이의 상대전위를 제어한다는 관점으로는, 플라즈마 발생전극의 두개의 단자중 고주파전력공급원에 접속하지 않은 쪽의 단자를 직류적으로 접지하지 않고 전극전위제어기구를 통하여 접지하고, 처리실은 접지하는 구성을 채용하는 것도 유효하다. 또한, 이와는 반대로 처리실은 직류적으로 접지하지 않고 내벽전위제어기구를 통하여 접지하게 하고 플라즈마발생전극의 두개의 단자중 고주파 전력공급원에 접속하지 않은 쪽의 단자를 접지하는 구성을 채용하는 것도 유효하다.To achieve this, in the present invention, among the two terminals of the plasma generating electrode, the terminal not connected to the high-frequency power supply source is grounded via the electrode potential control mechanism without directly grounding the terminal, and at the same time, And grounding is performed through the inner wall potential control mechanism. From the viewpoint of controlling the relative potential between the plasma generating electrode and the inner wall of the treatment chamber, it is preferable that the terminal not connected to the high-frequency power supply source among the two terminals of the plasma generating electrode is grounded through the electrode potential control mechanism It is effective to employ a configuration in which the substrate is grounded and the processing chamber is grounded. Conversely, it is also effective to employ a configuration in which the processing chamber is grounded via the inner wall potential control mechanism without DC grounding, and the terminal of the two terminals of the plasma generating electrode not connected to the RF power supply source is grounded.

본 발명은 이상과 같은 구성을 채용함으로써 안정된 저압고밀도 플라즈마를 얻어, 플라즈마 CVD법에 의해 고품질의 박막을 얻을 수 있었다. 또, 본 발명은 플라즈마 CVD이외에 드라이에칭처리에 적용하여도 양호한 처리가 실현된다.According to the present invention, a stable low-pressure high-density plasma is obtained by employing the above-described structure, and a high-quality thin film can be obtained by the plasma CVD method. In addition, the present invention can be applied to a dry etching process other than the plasma CVD process to realize a good process.

본 발명은 플라즈마 발생전극에 대하여 처리실내벽의 상대전위를 변화시킬 수 있으므로 플라즈마 발생전극과 플라즈마와 처리실내벽의 상대전위관계를 최적으로 할 수 있게 된다.Since the relative potential of the inner wall of the process chamber can be changed with respect to the plasma generating electrode, the relative potential relationship between the plasma generating electrode, the plasma, and the inner wall of the process chamber can be optimized.

가령, 플라즈마 발생전극의 전위를 기준으로하여 플라즈마 전위를 높게 하더라도 플라즈마와 처리실내벽의 전위차는 작게 할 수 있으므로, 플라즈마 처리실내벽사이에서 이상방전이 생기는 일 없이, 플라즈마를 안정적으로 발생, 유지할 수 있다.For example, even if the plasma potential is raised based on the potential of the plasma generating electrode, the potential difference between the plasma and the inner wall of the treatment chamber can be made small, so that the plasma can be stably generated and maintained without generating an abnormal discharge between the inner walls of the plasma processing chamber.

또, 플라즈마발생 전극과 플라즈마의 직류적 전위차를 최적으로 설정하면, 이온이 플라즈마 발생전극에 당겨져서 플라즈마를 가볍게 스퍼터링하는 효과가 얻어지고, 플라즈마 발생전극을 클리닝하여 장기간에 걸쳐 안정된 플라즈마를 발생시킬 수 있다.If the DC potential difference between the plasma generating electrode and the plasma is set to an optimum value, the ions are attracted to the plasma generating electrode to lightly sputter the plasma. The plasma generating electrode is cleaned to generate a stable plasma for a long period of time have.

본 발명에서는 전극전위제어기구 및 내벽전위제어기구로서 콘덴서를 사용할 수 있고, 그 경우 콘덴서 용량을 변경함으로써 플라즈마와 처리실내벽의 전위차를 제어할 수 있다. 콘덴서의 용량으로서는 100pF~10㎌가 적당하다. 이것 보다도 용량이 지나치게 작으면 방전이 불안정하게 되기 쉽다. 또, 이것 보다도 용량이 지나치게 크면 고주파특성이 양호한 세라믹콘덴서를 사용할 경우에 콘덴서가 지나치게 커져서 실용적이 아니다.In the present invention, a capacitor can be used as the electrode potential control mechanism and the inner wall potential control mechanism. In this case, the potential difference between the plasma and the inner wall of the treatment chamber can be controlled by changing the capacitance of the capacitor. The capacity of the capacitor is suitably from 100 pF to 10 kPa. If the capacity is too small, discharge tends to become unstable. If the capacitance is excessively larger than this, the capacitor becomes too large when a ceramic capacitor having a good high-frequency characteristic is used, which is not practical.

또, 전극전위제어기구 및 내벽전위제어기구로서, 인덕터와 직류전원의 직렬회로를 콘덴서와 병용하면, 이 직류전원에 의해 플라즈마 발생전극 또는 처리실내벽의 전위를 더욱 적극적으로 제어할 수 있다.When a series circuit of an inductor and a DC power supply is used in combination with a capacitor as the electrode potential control mechanism and the inner wall potential control mechanism, the potential of the plasma generating electrode or the inner wall of the treatment chamber can be more positively controlled by the DC power supply.

[발명의 실시형태]BRIEF DESCRIPTION OF THE DRAWINGS Fig.

제1도는 본 발명의 플라즈마 CVD장치의 1실시형태의 구성도이고, 처리실 부분은 정면단면도를 나타내고 있다. 진공으로 유지가능한 처리실(20)내부에는 기체홀더(25)와 플라즈마발생전극(61)이 배치되어 있고, 기체홀더(25)에는 바이어스 전력공급원(90)이 접속되고, 플라즈마 발생전극(61)의 일단에는 전력공급원(50)이 접속되고, 타단에는 스위치(84)를 통하여 전극전위제어기구(80)와 어스가 선택적으로 접속되어 있다.FIG. 1 is a configuration diagram of one embodiment of the plasma CVD apparatus of the present invention, and the treatment chamber section is a front sectional view. A gas holder 25 and a plasma generating electrode 61 are disposed in the vacuum chamber 20 and a bias power supply source 90 is connected to the gas holder 25, A power supply source 50 is connected at one end and a ground is selectively connected to the electrode potential control mechanism 80 through the switch 84 at the other end.

또, 처리실(20)에는 가스도입기구(10)와 배기기구(30)가 이어져 있다.The gas introducing mechanism 10 and the exhaust mechanism 30 are connected to the processing chamber 20.

우선, 가스도입기구(10)에 대하여 설명한다. 제2도는 가스도입기구(10)의 구성도이다. 이 가스도입기구(10)는 3종류의 원료가스를 사용될 수 있게 되어 있다.First, the gas introducing mechanism 10 will be described. Fig. 2 is a configuration diagram of the gas introduction mechanism 10. Fig. The gas introducing mechanism 10 can use three types of source gases.

원료용기(1a)는 상온상압에서 액체상태의 원료를 소정 온도로 가열하는 항온조이고, 이 항온조에서 증기화된 원료는 유량제어기(12a)와 밸브(13a)를 경유하여 처리실(20)에 도입된다. 원료용기(1b, 1c)는 고압가스봄베이고, 이 안에 들어 있는 원료가스는 감압밸브(11b, 11c)로 감압되고, 유량제어기(12b, 12c)로 유량제어되고, 밸브(13b, 13c)를 열면 처리실(20)에 도입된다. 가스도입기구(10)의 출구는 플라즈마 발생전극(61)의 중심부근에 개구되어 있다. 밸브(13a, 13b, 13c)는 원료가스를 도입할 때 여는 것이나, 처리실(20)내부를 대기로 할 때는 원료가스가 대기로 오염되는 것을 방지하기 위하여 밸브(13a, 13b, 13c)를 닫는다.The raw material container 1a is a thermostatic chamber for heating a liquid raw material at a normal temperature and pressure to a predetermined temperature and the raw material vaporized in the thermostatic chamber is introduced into the processing chamber 20 via the flow controller 12a and the valve 13a . The raw material containers 1b and 1c are high pressure gas bombs and the raw material gas contained therein is depressurized by the pressure reducing valves 11b and 11c and the flow rate is controlled by the flow controllers 12b and 12c and the valves 13b and 13c And is introduced into the heat treatment chamber 20. The outlet of the gas introducing mechanism 10 is opened near the center of the plasma generating electrode 61. The valves 13a, 13b and 13c are opened when the raw material gas is introduced, but when the inside of the processing chamber 20 is put into the atmosphere, the valves 13a, 13b and 13c are closed to prevent the raw material gas from being contaminated into the atmosphere.

다음에, 제1도에 돌아가서, 기체홀더(25)의 구조를 설명한다. 기체(21)는 기체홀더(25)위에 놓인다. 기체홀더(25)내부에는 히터(26)와 열전쌍(27)이 있다. 기체홀더(25)의 온도는 열전쌍(27)으로 측정되고, 도시하지 않은 기체온도조절장치에 의해 히터(26)에 전력이 공급되어 기체(21)의 온도가 제어된다. 이 기체온도 조절장치는 PID제어방법을 사용하고 있으나, 필요에 따라 파지회로를 병용하거나 PI제어나 단순한 ON-OFF제어를 채용하여도 된다.Next, referring back to FIG. 1, the structure of the gas holder 25 will be described. The base 21 is placed on the base holder 25. A heater 26 and a thermocouple 27 are disposed inside the base holder 25. The temperature of the gas holder 25 is measured by a thermocouple 27 and electric power is supplied to the heater 26 by a gas temperature regulator (not shown) to control the temperature of the gas 21. Although the gas temperature control device uses the PID control method, it may use a gripping circuit or a PI control or a simple ON-OFF control as necessary.

다음에, 배기기구(30)를 설명한다. 거친펌프(31)는 기름회전펌프(배기속도 매분 650리터)이고, 거친 밸브(32)를 통하여 처리실(20)에 접속된다. 처리실(20)의 청결성이 대단히 중요할 경우에는 거친펌프(31)로서 오일프리 펌프를 사용할 수 있고, 또, 보전성을 향상시킬 때는 드라이펌프를 사용하여도 된다. 주펌프(35)는 가변오리피스(34)와 주밸브(33)를 통하여 처리실(20)에 접속되고, 후단에는 보조펌프(36)가 접속된다.Next, the exhaust mechanism 30 will be described. The coarse pump 31 is an oil rotation pump (exhaust rate is 650 liters per minute) and is connected to the processing chamber 20 through the coarse valve 32. When the cleanliness of the treatment chamber 20 is very important, an oil-free pump can be used as the rough pump 31, and a dry pump may be used to improve the integrity. The main pump 35 is connected to the processing chamber 20 via the variable orifice 34 and the main valve 33 and the auxiliary pump 36 is connected to the rear end.

주펌프(35)는 복합형 터보분자펌프(배기속도 매초 1300리터)이고, 처리실(20)내의 청결성이 그다지 중요하지 않으면 기름확산펌프를 사용할 수도 있다.The main pump 35 may be a hybrid turbo molecular pump (exhaust rate 1300 liters per second) and an oil diffusion pump may be used if cleanliness in the process chamber 20 is not so important.

보조펌프(36)는 기름회전펌프(배기속도 매분 1180리터)이고, 거친펌프(31)와 동일하게 드라이펌프를 사용하여도 된다.The auxiliary pump 36 is an oil rotation pump (exhaust rate is 1,180 liters per minute), and a dry pump may be used in the same manner as the rough pump 31.

처리실(20)을 대기압으로부터 배기할 때는 우선 거친밸브(32)를 열어 거친펌프(31)로 처리실(20)을 배기한다. 처리실(20)의 내부압력이 소정압력(배기계에 따라 다르나 본 실시형태에서는 약 100Pa)까지 배기된 후에 거친밸브(32)를 닫고, 주밸브(33)를 열어 주펌프(35)에 의해 다시 저압력 영역까지 배기한다. 진공계로 측정된 처리실압력을 기초로 가변오리피스(34)를 개폐하여 처리실(20)내의 압력을 소정값으로 조절할 수 있다.When the processing chamber 20 is evacuated from the atmospheric pressure, first the coarse valve 32 is opened and the processing chamber 20 is evacuated by the rough pump 31. The rough valve 32 is closed and the main valve 33 is opened and the main pump 33 is opened again by the main pump 35 so that the low pressure (low pressure) Exhaust to the area. The variable orifice 34 can be opened or closed based on the processing chamber pressure measured by the vacuum system to adjust the pressure in the processing chamber 20 to a predetermined value.

재현성이 좋은 안정된 플라즈마를 얻기 위해서는 가변오리피스(34)를 사용하는 것이 유효하다.It is effective to use the variable orifice 34 to obtain a stable plasma with good reproducibility.

다음에, 기체에 바이어스전력을 인가하는 기구에 대하여 설명한다. 기체홀더(25)는 임피던스 정합회로(91)를 통하여 바비어스용 고주파전원(92)에 접속되어 있다.Next, a mechanism for applying bias power to the base will be described. The base holder 25 is connected to the high-frequency power source 92 for barbieous via an impedance matching circuit 91.

이 임피던스 정합회로(91)와 바이어스용 고주파전원(92)으로 바이어스전력공급원(90)이 구성되어 있다. 바이어스용 고주파전원(92)에 의해 유기된 교번전력은 임피던스 정합회로(91)로 임피던스 조정되어 기체홀더(25)에 공급되고, 기체(21)의 바이어스전압이 조정된다. 기체홀더(25)주위에는 처리실(20)에 접속된 실드판(93)이 있고, 또 기체홀더(25)는 절연체(94)에 의해 처리실(20)에서 전기적으로 절연되어 있다. 바이어스용 고주파 전원(92)의 주파수는 플라즈마발생용 고주파전원(52)의 주파수와도 적어도 500Hz이상 상이한 것이 필요하다.A bias power supply source 90 is constituted by the impedance matching circuit 91 and the bias high frequency power supply 92. The alternating power induced by the bias high frequency power supply 92 is impedance-adjusted by the impedance matching circuit 91 and supplied to the gas holder 25 so that the bias voltage of the substrate 21 is adjusted. A shield plate 93 connected to the processing chamber 20 is disposed around the gas holder 25 and the gas holder 25 is electrically insulated from the processing chamber 20 by the insulator 94. [ It is necessary that the frequency of the bias high frequency power source 92 is different from the frequency of the plasma generating high frequency power source 52 by at least 500 Hz or more.

그렇게 하지 않으면 2개의 고주파가 간섭하여 안정된 플라즈마를 얻을 수 없다. 본 실시형태에서는 플라즈마발생용 고주파전원(51)의 주파수를 13.560MHz, 바이어스용 고주파전원(92)의 주파수를 13.562MHz로 하였다.Otherwise, two high frequencies interfere with each other and a stable plasma can not be obtained. In this embodiment, the frequency of the plasma generating high frequency power supply 51 is 13.560 MHz and the frequency of the bias high frequency power supply 92 is 13.562 MHz.

다음에, 자장발생기구를 설명한다. 처리실(20)주위에는 상하방향으로 가느다란 많은 영구자석(121)이 배치되어 있다. 제5도는 제1도의 5-5선 단면도로서, 처리실(20)의 수평단면을 나타내고 있다. 24개의 영구자석(121)은 처리실(20)주위에 서로 등간격으로 배치되어 있어, 인접한 영구자석(121)은 서로 반대의 극성으로 되어 있다.Next, the magnetic field generating mechanism will be described. Around the treatment chamber 20, a large number of permanent magnets 121 are arranged in the vertical direction. FIG. 5 is a cross-sectional view taken along line 5-5 of FIG. 1, which shows a horizontal section of the treatment chamber 20. The 24 permanent magnets 121 are arranged at equal intervals around the treatment chamber 20, and the adjacent permanent magnets 121 have opposite polarities.

즉, 처리실(20)내부를 향하여 N극과 S극이 번갈아 배치되어 있다. 이들 영구자석(121)의 작용에 의해 처리실(20)의 내벽면 근방에는 멀티커스프자장(122)이 형성된다.That is, the N-pole and the S-pole are arranged alternately toward the inside of the processing chamber 20. Due to the action of these permanent magnets 121, a multicurved magnetic field 122 is formed in the vicinity of the inner wall surface of the processing chamber 20.

또한, 영구자석의 형상이나 개수는 이에 한정되는 것은 아니고, 처리실(20)내부를 향하여 N극과 S극이 번갈아 배치되는 한, 다른 구성으로 하여도 된다.The shape and the number of the permanent magnets are not limited to those described above, but may be different from each other as long as the N pole and the S pole are arranged alternately toward the inside of the treatment chamber 20.

영구자석(121)은 란탄계 희토류자석(치수 25.4㎜×6.3㎜×12.8㎜)을 조합하여 구성하였다. 이 자석표면의 자속밀도는 1600 가우스이나, 약 400~2200가우스 범위의 자석이 유효하다. 자속밀도가 지나치게 작으면 플라즈마의 가둠효과의 약해지고, 기체주변부에서 표면처리의 균일성이 떨어진다. 자속밀도가 지나치게 크면, 처리실 내벽에서 플라즈마가 과도하게 이격되어 플라즈마의 균일성이 유지되는 영역이, 처리실 내경에 비해 작아진다. 자극간격은 150㎜ 이내로 하는 것이 바람직하다.The permanent magnets 121 were formed by combining lanthanum-based rare earth magnets (dimensions: 25.4 mm x 6.3 mm x 12.8 mm). The magnetic flux density of the surface of the magnet is 1600 Gauss, but a magnet having a range of about 400 to 2200 Gauss is effective. If the magnetic flux density is too small, the confinement effect of the plasma is weakened, and the uniformity of the surface treatment at the periphery of the gas is reduced. If the magnetic flux density is excessively large, the region where the plasma is excessively spaced from the inner wall of the treatment chamber and the uniformity of the plasma is maintained becomes smaller than the treatment chamber inner diameter. The stimulation interval is preferably set within 150 mm.

자극간의 과도하게 이격되면 자극간의 중앙부의 자속밀도가 작아져서 플라즈마의 가둠 효과가 감소한다. 이 실시예에서는 자극간격이 24㎜이다.Excessive spacing between the poles reduces the magnetic flux density in the middle between the poles and reduces the confinement effect of the plasma. In this embodiment, the stimulation interval is 24 mm.

이와같은 멀티커스프자장(122)을 사용하면, 자장에 의한 플라즈마 가둠효과에 의해 처리실(20)내벽면 근방까지 플라즈마가 확산하지 않으므로 균일한 고밀도 플라즈마를 유지할 수 있다. 이 멀티커스프 자장과 바이어스전력공급원을 병용하면 대형 기체표면에 균일하게 대전류의 이온을 유입시킬 수 있다.When such a multicurse magnetic field 122 is used, the plasma does not diffuse to the vicinity of the wall surface in the processing chamber 20 due to the plasma confinement effect by the magnetic field, and uniform high-density plasma can be maintained. By using this multicurse magnetic field and a bias power supply source, it is possible to uniformly introduce large current ions into the surface of a large substrate.

다음에, 플라즈마 발생장치를 설명한다. 이 플라즈마발생장치는 처리실(20)내부에 플라즈마를 발생시키기 위한 것으로, 제1도에 있어서, 전력공급원(50), 플라즈마발생전극(61), 및 전극전위제어기구(80)를 구비하고 있다. 플라즈마발생전극(61)은 실질적으로 1턴(turn)의 코일이고, 처리실(20) 벽을 관통하는 1쌍의 도입단자(62, 63)를 구비하고 있다. 플라즈마발생전극(61)은 기체(21)에 대향해 있다.Next, a plasma generating apparatus will be described. This plasma generating apparatus is for generating plasma in the processing chamber 20 and comprises a power supply source 50, a plasma generating electrode 61 and an electrode potential control mechanism 80 in FIG. The plasma generating electrode 61 is a substantially one turn coil and has a pair of lead-in terminals 62 and 63 passing through the wall of the process chamber 20. The plasma generating electrode 61 is opposed to the base 21.

제3도는 플라즈마 발생전극(61)의 평면도이다. 이 플라즈마발생전극(61)은 금속파이프를 대략 1주(周)의 원환상으로 구부린 것이다. 직경은 약 140㎜이다. 이 원환상 부분에 대하여 수직이 되게 도입단자(62, 63)가 형성되어 있다. 이 금속파이프는 처리실내에 그대로 노출되어 있기 때문에 플라즈마발생전극(61)의 표면은 도전체이다. 이 금속파이프내부에 냉각수를 유입시키면 이 전극을 수냉할 수 있다.3 is a plan view of the plasma generating electrode 61. FIG. The plasma generating electrode 61 is formed by bending a metal pipe in a circular shape of approximately one week. The diameter is about 140 mm. And the introduction terminals 62 and 63 are formed perpendicular to the annular portion. Since this metal pipe is directly exposed to the processing chamber, the surface of the plasma generating electrode 61 is a conductor. When the cooling water is introduced into the metal pipe, the electrode can be water-cooled.

단, 필요에 따라 공냉으로 할 수 있고, 소전력의 경우는 냉각하지 않아도 된다.However, it may be air-cooled if necessary, and may not be cooled in the case of small power.

다음에, 플라즈마 발생전극의 냉각기구를 설명한다. 이 실시형태에서는 도입단자(62, 63)와 플라즈마발생전극(61)은 중공이고, 내부에 냉각수를 통과시킬 수 있다.Next, a cooling mechanism of the plasma generating electrode will be described. In this embodiment, the introduction terminals 62 and 63 and the plasma generating electrode 61 are hollow, and the cooling water can pass through the inside.

도입단자(62, 63)에는 플루오르수지제의 통수(通水)튜브를 접속하고 있으며, 공급측 튜브에는 1평방센티미터당 약 5kg의 압력의 물을 공급하고, 배출측 튜브는 대기압에 가까운 압력으로 하고 있다. 공급구의 냉각수 온도는 약 15℃이고, 플라즈마 발생전극(61) 내부를 흐르는 물의 유량은 매분 약 3리터이다. 냉각매체로는 큰 비열, 입수용이성, 작은 점성 들의 관점에서 물이 가장 뛰어나지만 그 이외의 매체를 사용하여도 된다.The inlet terminals 62 and 63 are connected to a water-permeable tube made of a fluororesin. The supply-side tube is supplied with water at a pressure of about 5 kg per square centimeter and the discharge-side tube is brought into a pressure close to atmospheric pressure have. The cooling water temperature of the supply port is about 15 DEG C, and the flow rate of the water flowing inside the plasma generating electrode 61 is about 3 liters per minute. As the cooling medium, water is most excellent in view of large specific heat, availability, and small viscosities, but other media may be used.

공기냉각이나 질소가스냉각을 채용할 경우는 유량을 크게 하면 된다. 질소가스 냉각에서는 수분을 함유하지 않으므로 전극의 수분부식을 방지할 수 있다.When air cooling or nitrogen gas cooling is employed, the flow rate may be increased. Since the nitrogen gas cooling does not contain moisture, it is possible to prevent water corrosion of the electrode.

플라즈마발생전극은 플라즈마에 직접 접하기 때문에 플라즈마에 의해 그 표면이 에칭될 가능성이 있다. 실험에 따르면, 플라즈마발생전극을 수냉하면, 이 에칭을 억제할 수 있어, 플라즈마발생전극의 수명을 연장할 수 있다. 수냉하지 않을 경우에는, 플라즈마발생전극의 직경감소율은 1시간당 0.1㎜였으나 수냉하면 1시간당 0.01㎜라고 추측된다. 플라즈마 발생전극이 에칭되면, 이것이 기체상의 막중에 혼입하여 불순물이 될 가능성이 있으나, 수냉하면 이 에칭량을 적게 할 수 있다.Since the plasma generating electrode is directly in contact with the plasma, there is a possibility that the surface of the plasma generating electrode is etched. According to the experiment, when the plasma generating electrode is water-cooled, this etching can be suppressed and the lifetime of the plasma generating electrode can be extended. In the case of no water cooling, the reduction rate of the diameter of the plasma generating electrode was 0.1 mm per hour, but it is assumed to be 0.01 mm per hour when water cooled. When the plasma generating electrode is etched, it is likely to be mixed with the gas phase film to become an impurity. However, this amount of etching can be reduced by water cooling.

제4도는 플라즈마발생전극의 도입단자와 처리실사이에 설치되는 절연링의 일부를 절단한 사시도이다. 이 절연링(71)은 전기절연재료인 석영유리로 되어 있다.FIG. 4 is a perspective view in which a part of the insulating ring provided between the introduction terminal of the plasma generating electrode and the treatment chamber is cut away. FIG. The insulating ring 71 is made of quartz glass which is an electrically insulating material.

이 절연링(71)과 플라즈마발생전극의 도입단자(62, 63)사이, 및 절연링(71)과 처리실(20)사이는 진공밀봉되어 있다. 이 절연링(71)은 원판(72)중앙에 원형의 관통구멍(73)이 형성되어 있고 원판(72) 한쪽(처리실 공간에 노출되는 쪽)에 3개의 원환상 돌기(74)가 서로 동심상으로 형성되어 있다. 이 원환상 돌기(74)사이에는 2개의 원환상 홈(79)이 형성된다.The space between the insulating ring 71 and the introduction terminals 62 and 63 of the plasma generating electrode and between the insulating ring 71 and the processing chamber 20 are vacuum sealed. The insulating ring 71 has a circular through hole 73 formed at the center of the disk 72 and three circular protrusions 74 on one side of the disk 72 Respectively. Two annular grooves 79 are formed between the annular protrusions 74.

홈(79)의 개구부는 관통구멍(73)의 축선에 수직인 평면내에 있고, 홈(79)의 깊이방향은 관통구멍(73)의 축선에 평행이다. 이들 돌기(74)와 홈(79)은 모두 관통구멍(73)에 대하여 동심이다. 관통구멍(73)에는 플라즈마발생전극의 원통상 도입단자(62; 제1도 참조)가 삽입된다. 3개의 원환상 돌기(74)는 모두 높이가 50㎜, 두께가 1㎜이다.The opening of the groove 79 is in a plane perpendicular to the axis of the through hole 73 and the depth direction of the groove 79 is parallel to the axis of the through hole 73. The protrusions 74 and the grooves 79 are concentric with respect to the through holes 73. The cylindrical introduction terminal 62 (see FIG. 1) of the plasma generating electrode is inserted into the through hole 73. Each of the three annular projections 74 has a height of 50 mm and a thickness of 1 mm.

따라서, 홈(79)의 깊이도 50㎜이다. 또 홈(79)의 폭(인접한 돌기(74)의 간격)은 1㎜이다. 원환상 돌기(74)의 전면(全面)과, 원판(72)의 처리실에 노출하는 쪽의 표면(제4도 상측면)은 블라스트처리가 실시되어 조면화되어 있다. 이 조면화에 의해 절연링(71)에 부착한 막을 박리되기 어렵게 하여, 막의 박리에 의한 처리실 내부의 분진오염을 방지하고 있다. 이를 상세히 설명하면, 절연링(71)에 있어서, 홈(79)내부이외의 부분에는 막이 부착할 가능성이 있고, 가령, 돌기(74)의 정면(頂面)이나 가장 외측 돌기(74)의 외주면이나, 이것 보다 외측의 원판표면에는 막이 부착할 가능성이 있다. 이들 부위에 조면화가 실시되어 있으면 이 부분에 부착한 막이 박리되기 어려워진다.Therefore, the depth of the groove 79 is also 50 mm. The width of the groove 79 (the distance between adjacent projections 74) is 1 mm. The entire surface of the annular protrusion 74 and the surface of the disc 72 exposed to the treatment chamber (the fourth figure side) are roughened and roughened. This roughening makes it difficult for the film attached to the insulating ring 71 to be peeled off, thereby preventing dust contamination inside the processing chamber due to peeling of the film. A film may adhere to a portion other than the inside of the groove 79 in the insulating ring 71. For example, the outer surface of the protrusion 74 or the outer surface of the outermost protrusion 74 However, there is a possibility that the film adheres to the surface of the disk outside this. If roughening is performed on these portions, the film attached to these portions is difficult to peel off.

제1도로 돌아가서, 플라즈마 발생전극(61)의 한쪽의 도입단자(62)는 임피던스 정합회로(51)로 통하여 고주파전원(52)에 접속되어 있다. 이 임피던스정합회로(51)와 고주파 전원(52)으로 전원 공급원(50)이 구성된다. 고주파전원(52)의 주파수는 13.56MHz이고, 정격출력은 3kW이다. 단, 주파수는 이에 한정되지 않고, kHz오더나, 60MHz나, 100MHz를 사용하여도 되며, 사용범위는 10kHz~1000MHz정도이다.Turning back to the first road, one of the introduction terminals 62 of the plasma generating electrode 61 is connected to the high frequency power source 52 through the impedance matching circuit 51. The impedance matching circuit 51 and the high frequency power supply 52 constitute a power supply source 50. The frequency of the high frequency power source 52 is 13.56 MHz, and the rated output is 3 kW. However, the frequency is not limited to this, and a kHz order, 60 MHz, or 100 MHz may be used, and the use range is about 10 kHz to 1000 MHz.

이 범위의 상한을 초과하면 도전체를 배선재료로서 사용할 수 없게 되고, 하한을 밑돌면 전파로서 발신하지 않게 된다. 또, 그 출력파형도 정현파(正弦坡)만이 아니라 여기에 소정의 변형을 실시한 파형이라도 좋다. 임피던스정합회로(51)로는 π(파이)형 회로를 사용하고 있으나 이 이외의 가령 T형회로를 사용하여도 된다. 고주파전원(52)에 의해 유기된 교번전력은 임피던스정합회로(51)로 임피던스 조정되어 플라즈마발생전극(61)에 공급된다.If the upper limit of the range is exceeded, the conductor can not be used as a wiring material. If the lower limit is exceeded, the conductor is not emitted as a radio wave. The output waveform may be a sinusoidal waveform or a waveform obtained by applying a predetermined deformation to the output waveform. Although a pi (pi) type circuit is used as the impedance matching circuit 51, other T type circuits may be used. The alternating power induced by the high frequency power source 52 is impedance-adjusted by the impedance matching circuit 51 and supplied to the plasma generating electrode 61.

상기 실시형태에서는 플라즈마 발생전극(61)은 1턴 코일로 하였으나 다른 형상으로 할 수도 있다. 제11(a)도는 플라즈마 발생전극을 2턴 코일형상으로 한 예이다.In the above-described embodiment, the plasma generating electrode 61 is a one-turn coil, but may have another shape. 11 (a) shows an example in which the plasma generating electrode has a two-turn coil shape.

또한 3턴이상으로 하여도 된다. 제11(b)도의 플라즈마발생전극은 수평면내에서 와류 모양으로 감은 예이다. 제11(c)도의 플라즈마발생전극은 1매의 직사각형의 평판의 예이고, 제11(d)도는 1매의 원형의 평판의 예이다. 또, 제12(a)도의 플라즈마발생전극은 직선상으로 뻗은 1개의 막대상으로 한 예이다. 제12(b)도의 플라즈마발생전극은 3개의 막대상 전극을 수평면내에서 병렬로 나열한 예이고, 제12(c)도의 플라즈마발생전극은 3개의 막대상전극을 연직면내에서 병렬로 나열한 예이다. 그리고, 이들 제11도와 제12도에 도시한 어느 전극예도 2개의 단자를 구비하고 있어, 한쪽 단자가 고주파 전원에 접속되고 다른 쪽이 콘덴서를 통하여 접지된다. 그리고, 어느 경우에도, 두개의 단자는 플라즈마 발생전극의 양끝부근에 위치하고 있다. 또, 이들 플라즈마발생전극과 그 두개의 단자는 내부에 냉각수를 통과시켜 냉각할 수 있다.It may be three or more turns. The plasma generating electrode of FIG. 11 (b) is an example of being wound in a vortex shape in a horizontal plane. The plasma generating electrode of FIG. 11 (c) is an example of a single rectangular plate, and FIG. 11 (d) is an example of a single circular plate. The plasma generating electrode of FIG. 12 (a) is an example of one film object extending in a straight line. The plasma generating electrode of FIG. 12 (b) is an example in which three target electrodes are arranged in parallel in a horizontal plane, and the plasma generating electrode of FIG. 12 (c) is an example of arranging three target electrodes in parallel in a vertical plane. Both of the electrodes shown in Figs. 11 and 12 are provided with two terminals, one of which is connected to the high-frequency power supply and the other of which is grounded via the capacitor. In either case, the two terminals are located near both ends of the plasma generating electrode. These plasma generating electrodes and the two terminals thereof can be cooled by allowing the cooling water to pass therethrough.

다음에, 제1도로 돌아가서, 전극전위 제어기구(80)를 설명한다. 이 전극전위제어개구(80)는 플라즈마발생전극(61)의 도입단자(63)와 처리실(20)사이에 설치되어 있고, 콘덴서(81; 제1콘덴서)를 포함하고 있다. 스위치(84)를 전극전위제어기구(80)측에 접속할 경우, 이 콘덴서(81)에 의해 플라즈마발생전극(61)의 일단은 직류적으로 어스에서 떠 있다.Next, the electrode potential control mechanism 80 will be described in the first turn. The electrode potential control opening 80 is provided between the introduction terminal 63 of the plasma generating electrode 61 and the processing chamber 20 and includes a condenser 81 (first condenser). When the switch 84 is connected to the electrode potential control mechanism 80 side, one end of the plasma generating electrode 61 is floating in the DC state by the condenser 81.

이 실시형태의 콘덴서(81)의 정전용량은 약 500pF이다. 단, 이 용량에 한정되지 않고, 처리조건에 따라 100pF~10㎌범위의 용량을 사용할 수 있다.The electrostatic capacity of the capacitor 81 of this embodiment is about 500 pF. However, the capacity is not limited to this, and a capacity in the range of 100 pF to 10 kPa can be used depending on processing conditions.

이에 대하여, 플라즈마발생전극(61)과 처리실(20)사이의 부유용량은 수 pF정도이다.On the other hand, the stray capacitance between the plasma generating electrode 61 and the processing chamber 20 is about several pF.

콘덴서(81)로서는 고주파특성이 우수하여 내전압성이 있는 세라믹 콘덴서가 적합하다.As the capacitor 81, a ceramic capacitor having excellent high-frequency characteristics and withstanding voltage resistance is suitable.

또, 처리실(20)은 스위치(87)를 통하여 내벽전위제어기구(85)와 어스에 선택적으로 접속되어 있고, 이 내벽전위제어기구(85)는 콘덴서(86; 제2콘덴서)를 포함하고 있다.The treatment chamber 20 is selectively connected to the inner wall potential control mechanism 85 through a switch 87 and ground and the inner wall potential control mechanism 85 includes a capacitor 86 .

이 콘덴서(86)도 100pF~10㎌범위의 용량을 사용할 수 있다.This capacitor 86 can also be used in the range of 100 pF to 10 pF.

제1도에 있어서, 플라즈마 발생을 위한 전기회로 구성으로는 다음 3종류중 어느 하나를 선택할 수 있다. 제1의 구성은 스위치(84)를 전극전위제어기구(80)측에 접속하고, 또한 스위치(87)를 내벽전위제어기구(85)측에 접속하는 것이다.In Fig. 1, any of the following three types can be selected as the electric circuit configuration for generating plasma. The first configuration is that the switch 84 is connected to the electrode potential control mechanism 80 side and the switch 87 is connected to the inner wall potential control mechanism 85 side.

제2의 구성은 스위치(84)를 전극전위제어기구(80)측에 접속하고, 스위치(87)를 어스측에 접속하는 것이다. 제3의 구성은 스위치(84)를 어스측에 접속하고, 스위치(87)를 내벽전위제어기구(85)측에 접속하는 것이다. 어느 구성을 채용하여도 콘덴서(81) 또는 콘덴서(86)중 어느 하나, 또는 그 둘다의 용량을 적절히 선택함으로써 플라즈마 발생전극(61)과 처리실내벽사이의 상대전위를 변경할 수 있다.The second configuration is that the switch 84 is connected to the electrode potential control mechanism 80 side and the switch 87 is connected to the earth side. The third configuration is that the switch 84 is connected to the earth side and the switch 87 is connected to the inner wall potential control mechanism 85 side. The relative potential between the plasma generating electrode 61 and the inner wall of the treatment chamber can be changed by suitably selecting the capacity of either the condenser 81 or the condenser 86 or both of them.

제9도는 상기 제2의 구성을 채용한 경우의 콘덴서(81)의 정전용량과, 플라즈마발생 전극(61)에 유기되는 바이어스 전압의 관계를 나타낸 그래프이다. 이 그래프로 알 수 있듯이, 콘덴서 용량에 따라 직류바이어스 전압의 절대치가 변화된다.Fig. 9 is a graph showing the relationship between the capacitance of the capacitor 81 when the above-described second configuration is employed and the bias voltage induced in the plasma generating electrode 61. Fig. As can be seen from this graph, the absolute value of the DC bias voltage changes according to the capacitance of the capacitor.

따라서, 콘덴서의 용량을 변경함으로써 플라즈마발생전극의 직류바이어스전압을 임의의 값으로 설정하는 것이 가능해진다. 플라즈마발생전극이 스퍼터링되어버릴 경우는 콘덴서 용량을 작게 하면 직류바이어스전압의 절대치가 작아져, 플라즈마발생전극의 스퍼터링을 억제할 수 있다. 그래서, 제8도에 도시하는 바와같이 전극전위제어기구(80a)로서 가변콘덴서(81a)를 사용하면 콘덴서의 용량변경이 간단해져, 플라즈마발생전극의 직류바이어스 전압의 제어가 용이해진다. 또, 플라즈마발생전극의 직류바이어스성분을 모니터하게 하면, 플라즈마처리를 배치처리로 행한 경우에, 배치처리회수의 증가에 의해 미묘하게 플라즈마 처리조건이 변화한 경우라도 직류바이어스성분이 일정해지도록 콘덴서용량을 제어할 수 있다.Therefore, by changing the capacitance of the capacitor, it becomes possible to set the DC bias voltage of the plasma generating electrode to an arbitrary value. When the plasma generating electrode is sputtered, the absolute value of the direct-current bias voltage is reduced by reducing the capacitance of the capacitor, thereby suppressing the sputtering of the plasma generating electrode. Therefore, as shown in FIG. 8, when the variable capacitor 81a is used as the electrode potential control mechanism 80a, the capacity change of the condenser becomes simple, and the control of the direct bias voltage of the plasma generating electrode becomes easy. In addition, when the DC bias component of the plasma generating electrode is monitored, even if the plasma processing conditions are slightly changed due to the increase in the number of batch processes, the DC bias component is constant, Can be controlled.

그런데, 상기 스퍼터링의 효과를 역으로 이용할 수도 있다. 가령, 기체상에 막을 퇴적할 경우에 플라즈마발생전극에도 막이 퇴적되어 버리는 일이 있으나, 이와같은 때에는 콘덴서 용량을 적당하게 증가시켜 플라즈마발생전극상의 퇴적막만이 스퍼터링되고 플라즈마발생전극자체는 스퍼터링되지 않는 콘덴서용량을 찾을 수 있다.However, the effect of the sputtering can be reversed. For example, when a film is deposited on a substrate, a film may be deposited on the plasma generating electrode. However, in such a case, only the deposited film on the plasma generating electrode is sputtered and the plasma generating electrode itself is not sputtered Capacitor capacity can be found.

또, 제1도에 도시하는 장치를 드라이에칭장치로서 이용할 수도 있다. 그 경우, 에칭처리에 있어서 반응부생성물이 생기고, 그에 기인하는 막이 플라즈마발생전극 표면에 퇴적해버리는 수가 있다. 이와같은 경우에도 제8도에 도시하는 콘덴서(81a)용량을 조절함으로써 플라즈마발생전극상의 퇴적막만을 스퍼터링하여 막의 퇴적을 억제할 수 있다.It is also possible to use the apparatus shown in Fig. 1 as a dry etching apparatus. In this case, reaction by-products are generated in the etching process, and a film resulting therefrom may deposit on the surface of the plasma generating electrode. In such a case as well, by controlling the capacitance of the capacitor 81a shown in FIG. 8, only the deposited film on the plasma generating electrode can be sputtered to suppress deposition of the film.

제10도는 전극전위제어기구의 또 다른 실시형태를 나타낸다. 이 전극전위제어기구(80b)에서는 플라즈마발생전극(61)의 도입단자(63)와 가변콘덴서(81a)사이에 인덕터(83)를 통하여 직류전원(82)을 접속하고 있다. 이에 따라, 플라즈마발생전극(61)의 전위를 더욱 적극적으로 제어할 수 있다.FIG. 10 shows another embodiment of the electrode potential control mechanism. In the electrode potential control mechanism 80b, the direct current power source 82 is connected between the introduction terminal 63 of the plasma generating electrode 61 and the variable capacitor 81a through the inductor 83. [ Thus, the potential of the plasma generating electrode 61 can be more positively controlled.

그런데, 제1도에 있어서, 플라즈마발생전극의 도입단자(63)를 콘덴서(81)를 통하여 처리실에 접속하지 않고 직류적으로 접지하고, 또한 처리실(20)도 직류적으로 접지하면, 처리실내의 플라즈마는 용량결합성이 강한 방전이 되어 처리실 공간 전체와 배기기구(30)의 공간까지 퍼진다. 그 결과, 플라즈마의 전자밀도가 낮아진다.Incidentally, in FIG. 1, when the introduction terminal 63 of the plasma generating electrode is grounded in a direct current without being connected to the processing chamber via the condenser 81 and the processing chamber 20 is also grounded DC, The plasma is discharged with a strong capacitive coupling to the entire space of the treatment chamber and the space of the exhaust mechanism 30. [ As a result, the electron density of the plasma is lowered.

한편, 플라즈마발생전극의 도입단자(63)를 콘덴서(81)를 통하여 접지하거나, 또는 처리실(20)을 콘덴서(86)를 통하여 접지하거나, 아니면 그 둘 다를 채용하면, 플라즈마가 처리 실내의 중앙부분에 국재화(局在化)하여 플라즈마의 전자밀도가 높아진다. 구체예를 들면, 본 발명의 어느 한 구성을 채용할 경우에, 아르곤가스를 처리실내에 도입하여, 압력을 6mTorr, 플라즈마발생전극에의 투입전력을 2kW로하여 플라즈마를 발생시켰을 때에 플라즈마의 전자밀도는 1입방센티미터당 「10의 11제곱」개에 달하였다.On the other hand, if the introduction terminal 63 of the plasma generating electrode is grounded via the condenser 81 or the processing chamber 20 is grounded via the condenser 86 or both of them are adopted, The electron density of the plasma is increased. Specifically, when adopting any one of the constitutions of the present invention, argon gas is introduced into the processing chamber to generate plasma at a pressure of 6 mTorr and an input power to the plasma generating electrode of 2 kW. When plasma is generated, Per square centimeter of "10 to 11 squared" pieces.

제8도와 제10도는 플라즈마발생전극측의 전극전위제어기구(80)에 대하여, 가변콘덴서(81a)의 채용이나 직류전원(82)과 인덕터(83)의 채용에 대하여 설명하고 있으나, 이와 같은 변경에는 처리실측의 내벽전위제어기구(85)에 대해서도 동일하게 채용할 수 있고 동일효과가 기대된다.8 and 10 illustrate the adoption of the variable capacitor 81a and the use of the DC power source 82 and the inductor 83 for the electrode potential control mechanism 80 on the plasma generating electrode side, The same effect can be expected for the inner wall potential control mechanism 85 on the process chamber side.

다음에, 제1도의 플라즈마 CVD장치를 사용하여 박막을 제작하는 예를 예시한다.Next, an example of fabricating a thin film using the plasma CVD apparatus of FIG. 1 will be exemplified.

또한, 스위치(84)는 전극전위제어기구(80)측에 접속하고, 스위치(87)는 어스측에 접속하고 있다. 이와같은 기본적 회로구성으로, 이하의 제1처리예에서 제8처리예까지를 실시하고 있다.The switch 84 is connected to the electrode potential control mechanism 80 side and the switch 87 is connected to the earth side. With the basic circuit configuration as described above, the first to eighth processing examples are carried out.

제1처리예로서, 우선, 질화티탄막의 제작예를 설명한다. 제1도와 제2도에 있어서, 원료용기(1a)에 넣는 제1원료로서 사염화티탄을 사용하고, 원료용기(1b)에 넣는 제2원료로서 수소가스를, 원료용기(1c)에 넣는 제3원료로서 질소가스를 사용하였다.As a first processing example, first, a production example of a titanium nitride film will be described. In the first and second figures, titanium tetrachloride is used as the first raw material to be placed in the raw material container 1a, and hydrogen gas as the second raw material to be placed in the raw material container 1b is introduced into the raw material container 1c, Nitrogen gas was used as a raw material.

유량은 사염화티탄이 매분 20밀리리터, 수소가스가 매분 200밀리리터, 질소가스가 매분 20밀리리터이다. 처리실(20)내의 압력을 약 1Pa로 설정하고, 기체(21)온도를 450℃~600℃로 설정하였다. 고주파전원(52)의 출력은 3.0kW로 하였다. 콘덴서(81)의 용량은 500pF이다. 이 조건으로 박막을 제작하면, 질화티탄을 주성분으로 한 막이 매분 약 60nm의 속도로 퇴적하였다. 질화티탄을 주성분으로 한 막은, 저항률 80μΩcm일 때, 종래 장치에서 보였던 플라즈마의 시간경과에 따른 변화나, 플라즈마가 생기지 않게 되는 현상은 관측되지 않고 본 발명장치는 질화티탄과 같은 도전체 박막제작에 유효하였다. 또한, 플라즈마발생전극표면에는 금속박막의 부착은 보이지 않았다.The flow rate of titanium tetrachloride is 20 milliliters per minute, hydrogen gas is 200 milliliters per minute, and nitrogen gas is 20 milliliters per minute. The pressure in the processing chamber 20 was set to about 1 Pa, and the temperature of the substrate 21 was set to 450 to 600 ° C. The output of the high-frequency power source 52 was 3.0 kW. The capacitance of the capacitor 81 is 500 pF. When a thin film was produced under these conditions, a film composed mainly of titanium nitride was deposited at a rate of about 60 nm per minute. When the film of titanium nitride as the main component had a resistivity of 80 mu OMEGA cm, no change was observed in the time elapsed time of the plasma, which was seen in the conventional device, or a phenomenon in which no plasma was generated, and the device of the present invention was effective in producing a thin film of a conductor such as titanium nitride Respectively. In addition, adhesion of the metal thin film was not observed on the surface of the plasma generating electrode.

이와같은 질화티탄박막은 가령 반도체집적회로의 접촉부의 확산방지막으로서 사용되고 있다. 이 용도에서는 직경 0.35㎛이하, 깊이 1.5㎛정도의 구멍바닥에 성막하지 않으면 안된다. 제6도는 이 경우의 저부피복률의 기체바이어스용 전력의존성을 나타낸다. 「저부피복률」이라는 용어를 설명하면, 평탄부의 막두께를 a로 하고, 접촉 구멍바닥에 퇴적한 막두께를 b로 하면, 저부피복률은 다음과 같이 정의된다.Such a titanium nitride thin film is used, for example, as a diffusion preventing film for a contact portion of a semiconductor integrated circuit. In this application, a film must be formed on the bottom of a hole having a diameter of 0.35 μm or less and a depth of about 1.5 μm. FIG. 6 shows the power dependency of the bottom coverage ratio for the gas bias in this case. When the film thickness of the flat portion is a and the thickness of the film deposited on the bottom of the contact hole is b, the bottom coverage ratio is defined as follows.

저부피복률(%)=(b/a)×100. 이 저부피복률은 그래프로 알 수 있듯이 기체바이어스용 전력을 증가시킴에 따라 급속하게 양호한 값이 되었다. 그 이유는 플라즈마내에 생기고 있는 이온이 기체(21)이 바이어스전압의 작용에 의해 기체(21)에 수직으로 입사하고, 이 사실에 따라 저부피복률이 개선되었기 때문이라 추측된다.Bottom coverage rate (%) = (b / a) x100. As can be seen from the graph, the bottom coverage rate rapidly increased to a favorable value as the power for the gas bias increased. This is presumably because the ions generated in the plasma are vertically incident on the substrate 21 by the action of the bias voltage, and the coverage of the bottom is improved according to this fact.

상기 제1의 처리예에 있어서, 플라즈마발생전극의 재질은 티탄이나 이 티탄은 퇴적막(질화티탄)의 구성원소의 하나이다. 따라서, 플라즈마발생전극이 스퍼터링되어 이 전극재질이 박막에 혼입했다 하더라도 이것이 퇴적박막의 오염물질은 되지 않는다.In the first processing example, the material of the plasma generating electrode is titanium, and the titanium is one of the constituent elements of the deposition film (titanium nitride). Therefore, even if the plasma generating electrode is sputtered and the electrode material is mixed into the thin film, it does not become a contaminant of the deposited thin film.

처리실의 내벽면근방에 멀티커스프자장을 형성하면, 처리실내면벽에서 약 5cm이상 떨어진 처리실 중심부에서 비교적 균일성이 좋은 플라즈마를 유지할 수 있다.When a multicurse magnetic field is formed in the vicinity of the inner wall surface of the treatment chamber, it is possible to maintain a relatively uniform plasma at a central portion of the treatment chamber separated by about 5 cm or more from the treatment surface wall.

대형기체를 균일성(막두께분포, 막질분포, 저부피복률의 균일성)좋게 성막하기 위해서는 이 멀티커스프자장을 형성하는 것은 대단히 유익하다. 특히, 기체 바이어스 전력공급원과 병용하면, 양호한 저부 피복률이 균일성 좋게 얻어져, 더 한층의 효과가 있다.It is very advantageous to form this multicurse magnetic field in order to form a large gas uniformly (film thickness distribution, film quality distribution, uniformity of bottom coverage). Particularly, when used in combination with a gas bias power supply source, a good bottom coatability can be obtained with good uniformity, and furthermore, an effect is obtained.

이 멀티커스프 자장을 형성함으로써 상기 질화티탄의 막제작의 처리예의 경우, 직경 6인치의 실리콘 웨이퍼내에서 ±3%이내의 막두께분포를 얻을 수 있다.By forming the multicurve magnetic field, a film thickness distribution within ± 3% can be obtained in a silicon wafer having a diameter of 6 inches in the case of the processing example of the titanium nitride film.

다음에, 제2의 처리예에 의한 박막제작을 예시한다. 제1원료로서 사염화티탄을, 제2원료로서 수소가스를, 제3원료로서 아르곤가스를 사용한다. 유량은 사염화티탄이 매분 20밀리리터, 수소가스가 매분 30밀리리터, 아르곤가스가 매분 35밀리리터이다.Next, fabrication of the thin film according to the second example of processing will be illustrated. Titanium tetrachloride is used as the first raw material, hydrogen gas is used as the second raw material, and argon gas is used as the third raw material. The flow rate of titanium tetrachloride is 20 milliliters per minute, hydrogen gas is 30 milliliters per minute, and argon gas is 35 milliliters per minute.

처리실(20)내의 압력을 약 1Pa로 설정하고, 기체(21)온도를 550℃~600℃로 설정하였다.The pressure in the processing chamber 20 was set to about 1 Pa, and the temperature of the substrate 21 was set to 550 to 600 ° C.

고주파전원(52)의 출력은 2.5kW로 하였다. 콘덴서(81)용량은 500pF이다. 이 조건으로 박막을 작성하면, 밑바탕의 재질에 따라 막조성이 달라진다. 가령, 밑바탕이 산화실리콘막인 경우, 얻어지는 박막은 금속티탄이다. 또, 밑바탕이 실리콘인 경우는 얻어지는 박막은 티탄실리사이드(TiSi2)이다. 이와같은 박막제작에 있어서, 종래장치에서 볼 수 있었던 플라즈마의 시간경과에 따른 변화나, 플라즈마를 생성하기가 불가능하게 되는 현상은 본 발명 장치에서는 관측되지 않고, 안정된 재현성 좋은 도전체 박막의 퇴적을 행할 수 있다. 또한, 플라즈마발생전극 표면에서 금속박막의 부착은 볼 수 없고, 플라즈마발생전극 표면이 스퍼터링되지도 않았다. 이와같은 금속티탄박막이나 티탄실리사이드박막은 가령 반도체 집적회로의 접촉부의 접촉저항 저감막으로서 사용된다.The output of the high-frequency power source 52 was 2.5 kW. The capacity of the capacitor 81 is 500 pF. When a thin film is formed under these conditions, the film composition varies depending on the material of the underlying film. For example, when the underlying film is a silicon oxide film, the resulting thin film is metallic titanium. When the underlying film is silicon, the resulting film is titanium silicide (TiSi 2 ). In the production of such a thin film, a change in plasma over time or a phenomenon in which it is impossible to generate a plasma, which is found in the conventional apparatus, is not observed in the apparatus of the present invention, and deposition of a conductor thin film with stable reproducibility is performed . Further, the adhesion of the metal thin film on the surface of the plasma generating electrode was not observed, and the surface of the plasma generating electrode was not sputtered. Such a metallic titanium thin film or a titanium silicide thin film is used, for example, as a contact resistance reducing film at a contact portion of a semiconductor integrated circuit.

이 경우, 상기 멀티커스프자장이나 기체바이어스전력공급원을 사용하면 균일성이 좋고 저부피복률이 좋은 박막을 얻을 수 있다.In this case, a thin film having good uniformity and good bottom coverage can be obtained by using the multicurse magnetic field or the gas bias power source.

종래, 저밀도플라즈마를 이용하여 플라즈마 CVD법으로 금속티탄이나 티탄실리사이드박막을 제작하면, 염소가 혼입한 고저항 흑색막이 되기 쉬웠으나 본 발명의 플라즈마 CVD장치를 사용하면, 저저항으로 금속광택이 있는 막을 얻을 수 있었다.Conventionally, when a titanium or titanium silicide thin film is formed by a plasma CVD method using a low-density plasma, it is easy to form a high-resistance black film mixed with chlorine. However, when the plasma CVD apparatus of the present invention is used, .

이때의 막중 염소농도는 1%이하였다. 또, 성막중에 기체바이어스를 인가하면 염소농도를 0.1%이하로 저감할 수 있었다. 여기서, 티탄계 도전성 박막에 염소가 혼입한 경우의 문제점을 열거하면, (1)막이 금속광택이 아니고, (2) 염소혼입에 의해 막 저항률이 상승하고, (3) 염소가 상부배선재료를 부식시켜 심할 때는 배선의 단선을 일으키고, (4)염소함유량이 많으면, 막의 시간경과에 따른 변화가 생겨 막의 특성이 안정되지 않는다는등의 문제점이 있다. 본 발명의 플라즈마 CVD법에 의하면 티탄계 도전성 박막의 염소함유량이 저하되므로 상기와 같은 문제점을 해소할 수 있다. 금속티탄이나 티탄실리사이드 박막은 반도체 집적회로의 접촉부의 접촉저항 저감막으로 사용되나, 기체바이어스와 멀티커스프자장을 병용하면 균일성이 양호하고, 기체주변부에 있어서도 저부피복률이 양호한 박막을 얻을 수 있다.At this time, the concentration of chlorine in the film was 1% or less. In addition, when a gas bias is applied during film formation, the chlorine concentration can be reduced to 0.1% or less. The problems encountered when chlorine is incorporated into the titanium-based conductive thin film are as follows: (1) the film is not metallic lustrous; (2) the film resistivity is increased by the incorporation of chlorine; (3) (4) when the content of chlorine is large, there is a problem that the characteristics of the film are not stable due to a change with time of the film. According to the plasma CVD method of the present invention, since the chlorine content of the titanium-based conductive thin film is lowered, the above problems can be solved. Thin film of metal titanium or titanium suicide is used as a contact resistance reducing film at the contact portion of a semiconductor integrated circuit. However, when a gas bias and a multicurse magnetic field are used in combination, a thin film having good uniformity and good coverage at the periphery of the substrate can be obtained have.

이상과 같은 금속티탄박막 또는 티탄을 함유하는 화합물을 제작할 경우, 플라즈마 발생전극으로서 금속티탄제 파이프를 사용하면, 플라즈마발생전극이 다소 스퍼터되더라도 티탄이 불순물이 되지 않으므로 불순물 혼입이 없는 양호한 막질의 박막을 얻을 수 있다.In the case of producing a metal titanium thin film or a compound containing titanium as described above, when a metal titanium pipe is used as the plasma generating electrode, even if the plasma generating electrode is sputtered a little, titanium does not become an impurity. Therefore, Can be obtained.

다음에, 제3의 처리예로서, 비결정성실리콘박막의 제작예를 예시한다. 원료용기(1a, 1c)는 사용하지 않고, 원료용기(1b)의 원료로서 실란을 사용하였다. 실란의 유량은 매분 20밀리리터로 하였다. 처리실(20)내의 압력은 약 1Pa로 설정하고, 기체(21)온도를 150℃~300℃로 설정하였다. 고주파전원(52)의 출력은 0.5.kW로 하였다.Next, as an example of the third process, a production example of an amorphous silicon thin film is exemplified. The raw material containers 1a and 1c were not used, and silane was used as a raw material for the raw material container 1b. The flow rate of the silane was 20 milliliters per minute. The pressure in the processing chamber 20 was set at about 1 Pa, and the temperature of the substrate 21 was set at 150 to 300 캜. The output of the high-frequency power source 52 was 0.5 kW.

콘덴서(81)용량은 500pF이다. 이 조건으로 비결정성실리콘막을 퇴적시킬 수 있었다. 이 경우에 있어서도 종래장치에서 관찰된 플라즈마의 시간경과에 따른 변화나 플라즈마를 생성시킬 수 없는 현상은 관측되지 않았다. 또, 기체에 바이어스전압을 인가하면, 바이어스전력에 따라 막중 수소농도를 제어할 수 있다. 비결정성실리콘막의 전기특성에 있어서, 막중 수소농도와 수소의 결합상태는 중요하고, 이들을 기체바이어스전력에 의해 제어할 수 있는 것은 유리하다.The capacity of the capacitor 81 is 500 pF. The amorphous silicon film could be deposited under this condition. Even in this case, the phenomenon that the plasma observed with the conventional apparatus can not be changed over time or the plasma can not be generated has not been observed. Further, when a bias voltage is applied to the base body, the hydrogen concentration in the film can be controlled in accordance with the bias power. In the electrical characteristics of the amorphous silicon film, the hydrogen concentration in the film and the hydrogen bonding state are important, and it is advantageous to be able to control them by the gas bias power.

다음에, 제4처리예로서, 산화실리콘박막의 제작예를 예시한다. 원료용기(1a)는 사용하지 않고, 원료용기(1b)의 원료로서 실란을 사용하고, 원료용기(1c)의 원료로서 산소가스를 사용하였다. 유량은 실란이 매분 80밀리리터, 산소가스가 매분 160밀리리터이다. 처리실(20)내의 압력을 약 1Pa로 설정하고, 기체(21)온도를 150℃~300℃로 설정하였다. 고주파전원(52)의 출력은 2.5kW로 하였다. 콘덴서(81)용량은 500pF이다.Next, as a fourth process example, a production example of a silicon oxide thin film is exemplified. The raw material container 1a was not used, silane was used as a raw material for the raw material container 1b, and oxygen gas was used as a raw material for the raw material container 1c. The flow rate is 80 milliliters per minute for silane and 160 milliliters per minute for oxygen gas. The pressure in the processing chamber 20 was set to about 1 Pa, and the temperature of the substrate 21 was set to 150 to 300 캜. The output of the high-frequency power source 52 was 2.5 kW. The capacity of the capacitor 81 is 500 pF.

이 조건으로 산화실리콘박막을 퇴적시킬 수 있었다. 이 경우, 본 발명장치를 사용하면, 외부전극방식으로 사용되는 큰 고주파창을 설치할 필요가 없어, 고주파창의 손상에 의한 사고발생을 방지할 수 있다. 이 산화실리콘박막 제작의 경우, 기체에 가령 1.0~2.5kW의 바이어스전력을 인가하면, 기체상의 각종 배선막 사이의 미세한 갭중에 산화실리콘막을 매립할 수 있다. 이 사실로서, 본 발명의 장치는 각종 배선막간의 층간절연막 제작용으로서도 유용하다.The silicon oxide thin film could be deposited under this condition. In this case, by using the apparatus of the present invention, there is no need to provide a large high-frequency window used in the external electrode method, and it is possible to prevent an accident caused by damage to the high-frequency window. In the case of manufacturing this silicon oxide thin film, when a bias power of 1.0 to 2.5 kW is applied to the base, the silicon oxide film can be embedded in a fine gap between the various wiring films on the base. As a matter of fact, the device of the present invention is also useful for manufacturing an interlayer insulating film between various wiring films.

상기 산화실리콘박막의 제작에 관하여, 배선막의 갭매립특성에 대하여 상세히 설명한다. 기체로서 직경 200㎜ 의 실리콘웨이퍼상에 배선용 알루미늄합금의 미세패턴을 형성한 것을 사용한다. 이 배선사이에 빈구멍이 남지않도록 절연막을 퇴적시켜서 배선간 절연을 확보할 필요가 있다. 기체바이어스인가기구를 사용하지 않고 본 발명의 플라즈마 CVD장치에 의해 산화실리콘막의 퇴적을 시도하면, 배선간의 미세한 홈(가령, 폭 0.5㎛, 깊이 1㎛)의 입구 부근에서 막이 오버행 상태로 퇴적하는 경우가 있었다. 그 때문에 빈구멍이 배선간에 남는 경우가 있었다.With respect to fabrication of the silicon oxide thin film, the gap filling characteristics of the wiring film will be described in detail. And a fine pattern of an aluminum alloy for wiring is formed on a silicon wafer having a diameter of 200 mm as a base. It is necessary to deposit an insulating film so as not to leave a vacancy hole between these wirings to secure insulation between wirings. When deposition of a silicon oxide film is attempted by the plasma CVD apparatus of the present invention without using a gas bias applying mechanism, when the film is deposited in an overhang state near the entrance of fine grooves (for example, a width of 0.5 μm and a depth of 1 μm) . For this reason, the vacant holes sometimes remain between the wirings.

이에 비해, 기체바이어스 인가기구를 사용하면 배선간의 빈구멍이 생기기 않았다.On the other hand, when a gas bias applying mechanism is used, no voids are formed between the wirings.

또, 제작한 산화실리콘 박막에 대하여, 적외흡수 분광분석으로 막질을 평가하면, 기체바이어스 인가기구를 사용하지 않을 경우에는 막중에 함유되는 물에 기인하는 O-H의 신축진동 스팩트럼 및 Si-OH에 기인하는 O-H의 신축진동 스펙트럼이 관찰되었다.When the film quality of the produced silicon oxide thin film was evaluated by infrared absorption spectroscopy, when the gas bias applying mechanism was not used, the stretching vibration spectrum of OH and the Si-OH attributable to water contained in the film OH stretching vibration spectrum was observed.

일반적으로 막중에 이들 불순물이 혼입되면, 절연막으로서의 신뢰성이 저하된다고 되어 있다. 이에 비해, 기체바이어스 인가기구를 사용하면 이들 불순물이 감소하여 상기 스펙트럼은 관찰되지 않았다. 즉, 기체에 바이어스전력을 인가함으로써 막질을 개량할 수 있었다.Generally, when these impurities are mixed in the film, reliability as an insulating film is lowered. On the other hand, when the gas bias applying mechanism is used, these impurities are decreased and the above spectrum is not observed. That is, the film quality can be improved by applying bias power to the substrate.

다음에, 제5의 처리예를 설명한다. 이 처리예는 제8도의 장치를 사용하였다.Next, a fifth processing example will be described. This processing example uses the apparatus of FIG. 8.

스위치(84)는 전극전위 제어기구(81a)측에 접속되어 있다. 제8도와 제2도에 있어서, 원료용기(1a)에 넣는 제1원료로서 사염화티탄을 사용하고, 원료용기(1b)에 넣는 제2원료로서 수소가스를, 원료용기(1c)에 넣는 제3원료로서 질소가스를 사용하였다.The switch 84 is connected to the electrode potential control mechanism 81a side. 8 and FIG. 2, titanium tetrachloride is used as the first raw material to be placed in the raw material container 1a, and hydrogen gas as the second raw material to be placed in the raw material container 1b is introduced into the raw material container 1c, Nitrogen gas was used as a raw material.

유량은 사염화티탄이 매분 20밀리리터, 수소가스가 매분 30밀리리터, 질소가스가 매분 10밀리리터이다. 처리실(20)내의 압력을 약 1Pa로 설정하고, 기체(21)온도를 450℃~600℃로 설정하였다. 고주파전원(52)의 출력은 2.5kW로 하였다. 제8도의 가변콘덴서(81a)의 용량은 10~1500pF에서 가변하도록 하였다.The flow rate of titanium tetrachloride is 20 milliliters per minute, hydrogen gas is 30 milliliters per minute, and nitrogen gas is 10 milliliters per minute. The pressure in the processing chamber 20 was set to about 1 Pa, and the temperature of the substrate 21 was set to 450 to 600 ° C. The output of the high-frequency power source 52 was 2.5 kW. The capacitance of the variable capacitor 81a in FIG. 8 varies from 10 to 1500 pF.

제8도의 가변콘덴서(81a)의 용량은 10~1500pF에서 가변하도록 하였다. 그리고, 플라즈마발생전극(61)에 유기되는 직류바이어스 전압을 모니터하여 직류바이어스 전압이 마이너스 250V가 되도록 콘덴서 용량을 조절하였다. 이 조건으로 박막을 제작하면, 질화티탄을 주성분으로 한 막이 매분 약 30nm의 속도로 퇴적하였다. 게다가 100배치에 걸쳐 박막을 제작하여도 재현성 있는 거의 동일한 박막을 제작할 수 있었다.The capacitance of the variable capacitor 81a in FIG. 8 varies from 10 to 1500 pF. Then, the DC bias voltage induced in the plasma generating electrode 61 was monitored to adjust the capacitance of the capacitor so that the DC bias voltage became minus 250V. When a thin film was produced under these conditions, a film composed mainly of titanium nitride was deposited at a rate of about 30 nm per minute. Moreover, even if a thin film was formed over 100 batches, almost reproducible thin films could be produced.

다음에, 제6의 처리예를 설명한다. 이 처리예에서는 제10도의 장치를 사용하였다. 스위치(84)는 전극전위제어기구(80b)측에 접속하고 있다. 도입가스의 종류나 유량, 기체온도, 고주파전원(52)의 출력은 상기 제5의 처리예와 같다.Next, a sixth processing example will be described. In this processing example, the apparatus of FIG. 10 was used. And the switch 84 is connected to the electrode potential control mechanism 80b side. The kind of the introduced gas, the flow rate, the gas temperature, and the output of the high frequency power source 52 are the same as in the fifth processing example.

단, 전극전위제어기구(80b)로서 직류전원(82)을 사용하고 있는 것이 다르다.However, the DC power source 82 is used as the electrode potential control mechanism 80b.

그리고, 이 직류전원(82)을 사용하여 플라즈마발생전극(61)의 직류 바이어스 전압이 마이너스 250V로 일정하게 되도록 제어하였다. 이때, 가변콘덴서(81a)용량은 500pF로 일정하게 하였다. 이 조건으로 박막을 제작하면 상기 제5의 처리예와 동일하게, 질화티탄을 주성분으로 한 막이 매분 약 30nm의 속도로 퇴적하였다.Then, the DC bias voltage of the plasma generating electrode 61 was controlled to be constant at minus 250V by using the DC power source 82. [ At this time, the capacitance of the variable capacitor 81a was kept constant at 500 pF. When a thin film was produced under these conditions, a film composed mainly of titanium nitride was deposited at a rate of about 30 nm per minute as in the fifth treatment example.

다음에, 제7의 처리예를 설명한다. 이 처리예는 제1도의 장치를 사용하고, 플라즈마 발생전극의 재질로서 구리를 사용하였다. 스위치(84)는 전극전위제어기구(80)측에 접속하고, 스위치(87)는 어스측에 접속해 있다. 그리고, 가스도입기구(10)로서 제13도에 도시한 것을 사용하였다. 원료용기(1d)는 상온상압에서 액체상태의 원료를 수용하는 액체용기이고, 내부의 액체원료는 액체상태 그대로 유량제어기(12d)로 유량제어되고, 기화기(14)로 기화된다. 원료용기(1e, 1f)는 고압가스봄베이고, 이 안에 들어 있는 원료가스는 감압밸브(11e, 11f)로 감압되고, 유량제어기(12e, 12f)로 유량제어된다.Next, a seventh processing example will be described. This processing example uses the apparatus of FIG. 1 and uses copper as the material of the plasma generating electrode. The switch 84 is connected to the electrode potential control mechanism 80 side, and the switch 87 is connected to the earth side. The gas introduction mechanism 10 shown in FIG. 13 was used. The raw material container 1d is a liquid container that holds raw materials in a liquid state at normal temperature and normal pressure, and the liquid raw material therein is flow-controlled as it is in the liquid state to the flow controller 12d and is vaporized in the vaporizer 14. The raw material containers 1e and 1f are high pressure gas bombs and the raw material gas contained therein is decompressed by the pressure reducing valves 11e and 11f and the flow rate is controlled by the flow controllers 12e and 12f.

원료용기(1d)에 넣는 제1원료로서 비스헥사플루오로아세틸아세톤산염구리(Cu(hfac)2)의 10% 2-프로판올용액을 사용하고, 유량을 매분 0.6g으로 하였다.A 10% 2-propanol solution of bishexafluoroacetylacetonate copper (Cu (hfac) 2 ) was used as the first raw material to be placed in the raw material container 1d, and the flow rate was set at 0.6 g per minute.

원료용기(1e)에 넣는 제2원료로서는 수소가스를 사용하고, 이 수소가스의 유량을 매분 100밀리리터로하여 기화기(14)로 제1원료와 혼합하였다. 이 혼합가스를 밸브(13d)를 경유하여 처리실(20)에 도입하였다. 원료용기(1f)에 넣는 제3원료로서도 수소가스를 사용하고, 유량을 매분 300밀리리터로 하여, 밸브(13f)를 경유하여 처리실(20)에 도입하였다. 처리실(20)내의 압력을 약 3Pa로 설정하고, 기체(21)온도를 250℃로 설정하였다. 고주파전원(52)의 출력은 1.5kW로 하였다. 이 조건으로 박막을 제작하면, 구리를 주성분으로 한 막이 매분 약 20nm의 속도로 기체상에 퇴적하였다.Hydrogen gas was used as the second raw material to be placed in the raw material container 1e and the hydrogen gas was mixed with the first raw material by the vaporizer 14 at a flow rate of 100 milliliters per minute. And the mixed gas was introduced into the processing chamber 20 via the valve 13d. Hydrogen gas was used as the third raw material to be placed in the raw material container 1f and the flow rate was set to 300 milliliters per minute and introduced into the treatment chamber 20 via the valve 13f. The pressure in the processing chamber 20 was set at about 3 Pa and the temperature of the substrate 21 was set at 250 캜. The output of the high frequency power source 52 was 1.5 kW. When a thin film was produced under these conditions, a film composed mainly of copper was deposited on the gas at a rate of about 20 nm per minute.

이같은 구리박막은 반도체 집적회로의 배선으로서 사용할 수 있다. 이 실시예는 플라즈마발생전극의 재질로서 구리를 사용하여 구리박막을 퇴적하고 있으므로, 플라즈마발생전극의 재질이 막중에 혼입하더라도 불순물이 되지는 않는다.Such a copper thin film can be used as a wiring of a semiconductor integrated circuit. In this embodiment, since the copper thin film is deposited using copper as the material of the plasma generating electrode, even if the material of the plasma generating electrode is mixed into the film, it does not become an impurity.

다음에, 제8의 처리예를 설명한다. 이 처리예는 제1도의 장치를 드라이에칭 장치로서 사용하고 있다. 스위치(84)는 전극전위제어기구(80)측에 접속하고, 스위치(87)는 어스측에 접속해 있다. 원료용기(1a)는 사용하지 않고, 원료용기(1b)의 원료로 염소가스를 사용하고, 원료용기(1c)의 원료로 삼염화붕소(BCl3)를 사용하였다.Next, an eighth processing example will be described. This processing example uses the apparatus of FIG. 1 as a dry etching apparatus. The switch 84 is connected to the electrode potential control mechanism 80 side, and the switch 87 is connected to the earth side. Raw container (1a) is not used, the use of chlorine gas as a raw material of the raw material container (1b), which was used for boron trichloride (BCl 3) as the raw material of the raw material vessel (1c).

유량은 염소가스를 매분 50밀리리터, 삼염화붕소를 매분 50밀리리터 유출하였다.The flow rate was 50 milliliters per minute of chlorine gas and 50 milliliters per minute of boron trichloride.

처리실(20)내의 압력을 약 10.3Pa로 설정하고, 기체(21)온도를 100℃로 설정하였다. 고주파전원(52)의 출력은 2kW로 하였다. 콘덴서(81)용량은 300pF로 변경하였다.The pressure in the treatment chamber 20 was set at about 10.3 Pa, and the temperature of the substrate 21 was set at 100 캜. The output of the high-frequency power source 52 was 2 kW. The capacitance of the capacitor 81 was changed to 300 pF.

처리기체(21)로는 직경 6인치의 실리콘웨이퍼위에 실리콘산화막을 1㎛퇴적하고, 그 위에 알루미늄을 1㎛퇴적시켜서 포토레지스트로 패터닝한 것을 사용하였다.As the processing base 21, a silicon wafer having a diameter of 6 inches and a silicon wafer having a thickness of 1 mu m were stacked, and aluminum having a thickness of 1 mu m was stacked thereon and patterned with a photoresist.

포토레지스트의 도포패턴은 도포폭이 0.5㎛, 도포되지 않은 홈폭이 0.5㎛인 라인 앤드스 페이스 패턴으로 하였다. 이 홈폭부분에 노출해 있는 알루미늄을 드라이에칭하였다.The application pattern of the photoresist was a line-and-space pattern having a coating width of 0.5 mu m and a groove width of 0.5 mu m without coating. Aluminum exposed to this groove width portion was dry-etched.

그 결과, 알루미늄박막을 매분 100nm의 에칭속도로 에칭할 수 있었다.As a result, the aluminum thin film could be etched at an etching rate of 100 nm per minute.

이 경우에 있어서도 플라즈마 발생전극에의 막부착이나 플라즈마발생전극의 스퍼터링 현상은 보이지 않았다. 그리고, 플라즈마의 시간경과에 따른 변화나 불안정현상도 관측되지 않고, 재현성 있는 안정된 드라이에칭처리가 가능하였다. 이와같은 드라이에칭처리에 있어서도 기체에 바이어스 전력을 인가함으로써 이방성 에칭이 가능해진다.Even in this case, neither the deposition of the film on the plasma generating electrode nor the sputtering phenomenon of the plasma generating electrode was observed. In addition, stable and reproducible dry etching treatment was possible without observing changes or unstable phenomena with time of the plasma. In such a dry etching process, anisotropic etching can be performed by applying bias power to the substrate.

다음에, 제1도의 장치에 있어서, 스위치(84)를 어스측에 접속하고, 스위치(87)를 내벽전위제어기구(85)측에 접속한 처리예를 설명한다. 또, 내벽전위제어기구(85)로서, 콘덴서(86)에 더하여 제10도에 도시하는 바와같은 직류전원(82)과 인덕터(83)의 직렬회로를 병용하였다. 이에 따라, 처리실 내벽의 전위를 50~600V사이에서 조정하였다. 이렇게하여 플라즈마발생전극(61)에 의해 발생한 높은 플라즈마전위와 처리실 내벽의 전위사이에 큰 차가 나지 않게 하여 이상방전을 막고 있다. 이와 같은 기본적 회로구성으로 이하의 제9의 처리예부터 제13의 처리예까지를 실시하고 있다.Next, a processing example in which the switch 84 is connected to the earth side and the switch 87 is connected to the inner wall potential control mechanism 85 in the apparatus shown in Fig. 1 will be described. A series circuit of a DC power source 82 and an inductor 83 as shown in FIG. 10 is used in addition to the capacitor 86 as the inner wall potential control mechanism 85. Thus, the potential of the inner wall of the treatment chamber was adjusted between 50 and 600V. Thus, a large difference is not caused between the high plasma potential generated by the plasma generating electrode 61 and the potential of the inner wall of the treatment chamber, thereby preventing the abnormal discharge. The ninth processing example to the thirteenth processing example below are carried out with such a basic circuit configuration.

우선, 제9의 처리예로서, 질화티탄막 제작예를 설명한다. 제1도와 제2도에 있어서, 원료용기(1a)에 넣는 제1원료로서 사염화티탄을 사용하고, 원료용기(1b)에 넣는 제2원료로서 수소가스를, 원료용기(1c)에 넣는 제3원료로서 질소가스를 사용하였다.First, as a ninth processing example, a titanium nitride film production example will be described. In the first and second figures, titanium tetrachloride is used as the first raw material to be placed in the raw material container 1a, and hydrogen gas as the second raw material to be placed in the raw material container 1b is introduced into the raw material container 1c, Nitrogen gas was used as a raw material.

유량은 사염화티탄이 매분 20밀리리터, 수소가스가 매분 200밀리리터, 질소가스가 매분 20밀리리터이다. 처리실(20)내의 압력을 약 1.3Pa로 설정하고, 기체(21)온도를 450℃~600℃로 설정하였다. 고주파전원(52)의 출력은 3.0kW로 하였다.The flow rate of titanium tetrachloride is 20 milliliters per minute, hydrogen gas is 200 milliliters per minute, and nitrogen gas is 20 milliliters per minute. The pressure in the processing chamber 20 was set to about 1.3 Pa, and the temperature of the substrate 21 was set to 450 to 600 ° C. The output of the high-frequency power source 52 was 3.0 kW.

그리고, 내벽전위제어기구(85)를 사용하여 처리실(20)에 300V의 전압을 인가하였다.Then, a voltage of 300 V was applied to the processing chamber 20 by using the inner wall potential control mechanism 85.

이 조건으로 박막을 제작하면, 질화티탄을 주성분으로 한 막이 매분 60nm의 속도로 퇴적하였다. 그때, 종래의 장치에 보였던 플라즈마의 시간경과에 따른 변화나, 플라즈마가 발생하지 않게 되는 현상은 관측되지 않고, 본 발명 장치는 질화티탄과 같은 도전체 박막의 제작에 유효하였다. 또한, 플라즈마발생전극 표면에는 금속박막의 부착은 보이지 않았다.When a thin film was produced under these conditions, a film composed mainly of titanium nitride was deposited at a rate of 60 nm per minute. At this time, a change with time of the plasma shown in the conventional device or a phenomenon in which the plasma is not generated is not observed, and the present invention apparatus was effective in producing a thin conductor film such as titanium nitride. In addition, adhesion of the metal thin film was not observed on the surface of the plasma generating electrode.

제7도는 본 발명의 플라즈마 CVD장치의 다른 실시형태의 주요부 구성도이다.FIG. 7 is a block diagram of a main part of another embodiment of the plasma CVD apparatus of the present invention.

이 실시형태에서는 플라즈마 발생전극(61) 상방에 솔레노이드코일(130)이 배치되어 있다. 그 이외의 구성은 제1도의 실시형태와 같다. 이 솔레노이드코일(130)이 발생하는 자력선(131)은 1턴코일 형상의 플라즈마발생전극(61)의 중심부근을 통과하여 발산한다. 이 자력선(131)의 작용에 의해 처리실(20)내에 더욱 고밀도의 플라즈마를 발생시킬 수 있다. 또, 이 실시형태의 장치에서는 방전개시가 용이해진다.In this embodiment, the solenoid coil 130 is arranged above the plasma generating electrode 61. The other configuration is the same as the embodiment of FIG. The magnetic lines of force 131 generated by the solenoid coil 130 pass near the center of the plasma generating electrode 61 of one turn coil shape and diverge. By the action of the magnetic force lines 131, it is possible to generate a plasma with a higher density in the processing chamber 20. Also, in the apparatus of this embodiment, discharge start is facilitated.

이 제7도의 장치를 사용하여 전자석(130)에 45A의 전류를 흐르게 하여 상기 제9의 처리예와 같은 박막제작을 행하였던바, 얻어진 질화티탄막의 성막속도는 매분 약 60nm가 되고, 8인치 웨이퍼내의 막두께 분포는 약 ±5%가 되었다. 이 막두께분포는 전자석(130)을 사용하지 않을 경우와 비교하여 5포인트정도 개선되어 있다.7, the current of 45 A was applied to the electromagnet 130 to manufacture the thin film as in the ninth processing example. The deposition rate of the obtained titanium nitride film was about 60 nm per minute, The film thickness distribution was about 5%. This film thickness distribution is improved by about 5 points as compared with the case where the electromagnet 130 is not used.

다음에, 제10의 처리예에 따른 박막제작을 예시한다. 제1원료로서 사염화티탄을, 제2원료로서 수소가스를, 제3원료로서 아르곤가스를 사용한다. 유량은 사염화티탄이 매분 20밀리리터, 수소가스가 매분 200밀리리터, 아르곤가스가 매분 35밀리리터이다.Next, fabrication of the thin film according to the tenth process example will be exemplified. Titanium tetrachloride is used as the first raw material, hydrogen gas is used as the second raw material, and argon gas is used as the third raw material. The flow rate of titanium tetrachloride is 20 milliliters per minute, hydrogen gas is 200 milliliters per minute, and argon gas is 35 milliliters per minute.

처리실(20)내의 압력을 약 1Pa로 설정하고, 기체(21)온도를 550℃~600℃로 설정하였다. 고주파 전원(52)의 출력은 2.5kW로 하였다. 그리고, 내벽전위제어기구(85)를 사용하여 처리실(20)에 300V의 전압을 인가하였다. 이 조건으로 박막을 작성하면, 밑바탕의 재질에 따라 막조성이 달라진다. 가령, 밑바탕이 산화실리콘막인 경우, 얻어지는 박막은 금속티탄이다. 또, 밑바탕이 실리콘인 경우에는 얻어지는 박막은 티탄실리사이드(TiSi2)이다.The pressure in the processing chamber 20 was set to about 1 Pa, and the temperature of the substrate 21 was set to 550 to 600 ° C. The output of the high-frequency power source 52 was 2.5 kW. Then, a voltage of 300 V was applied to the processing chamber 20 by using the inner wall potential control mechanism 85. When a thin film is formed under these conditions, the film composition varies depending on the material of the underlying film. For example, when the underlying film is a silicon oxide film, the resulting thin film is metallic titanium. When the base is silicon, the thin film obtained is titanium silicide (TiSi 2 ).

다음에, 제11처리예로서, 비결정실리콘박막의 제작예를 예시한다. 원료용기(1a, 1c)는 사용하지 않고, 원료용기(1b)의 원료로서 실란을 사용하였다. 실란의 유량은 매분 20밀리리터로 하였다. 처리실(20)내의 압력을 약 1Pa로 설정하고, 기체(21)온도를 150℃~300℃로 설정하였다. 고주파전원(52)의 출력은 0.5kW로 하였다.Next, as an eleventh processing example, a production example of an amorphous silicon thin film is exemplified. The raw material containers 1a and 1c were not used, and silane was used as a raw material for the raw material container 1b. The flow rate of the silane was 20 milliliters per minute. The pressure in the processing chamber 20 was set to about 1 Pa, and the temperature of the substrate 21 was set to 150 to 300 캜. The output of the high-frequency power source 52 was 0.5 kW.

그리고, 내벽전위제어기구(85)를 사용하여 처리실(20)에 200V의 전압을 인가하였다.Then, a voltage of 200 V was applied to the processing chamber 20 by using the inner wall potential control mechanism 85.

이 조건으로 비결정성실리콘막을 퇴적시킬 수 있었다.The amorphous silicon film could be deposited under this condition.

다음에, 제12처리예로서, 산화실리콘박막의 제작예를 예시한다. 원료용기(1a)는 사용하지 않고 원료용기(1b)의 원료로서 실란을 사용하고 원료용기(1c)의 원료로서 산소가스를 사용하였다. 유량은 실란이 매분 80밀리리터, 산소가스가 매분 160밀리리터이다. 처리실(20)내의 압력을 약 1Pa로 설정하고, 기체(21)온도를 150℃~300℃로 설정하였다. 고주파전원(52)의 출력은 2.5kW로 하였다. 그리고, 내벽전위제어기구(85)를 사용하여 처리실(20)에 300V의 전압을 인가하였다. 이 조건으로 산화실리콘박막을 퇴적시킬 수 있었다.Next, as a twelfth process example, a production example of a silicon oxide thin film is exemplified. The raw material container 1a was not used, silane was used as a raw material for the raw material container 1b, and oxygen gas was used as a raw material for the raw material container 1c. The flow rate is 80 milliliters per minute for silane and 160 milliliters per minute for oxygen gas. The pressure in the processing chamber 20 was set to about 1 Pa, and the temperature of the substrate 21 was set to 150 to 300 캜. The output of the high-frequency power source 52 was 2.5 kW. Then, a voltage of 300 V was applied to the processing chamber 20 by using the inner wall potential control mechanism 85. The silicon oxide thin film could be deposited under this condition.

다음에, 제13의 처리예를 설명한다. 원료용기(1a)에 넣는 제1원료로서 사염화티탄을 사용하고, 원료용기(1b)에 넣는 제2원료로서 수소가스를, 원료용기(1c)에 넣는 제3원료로서 질소가스를 사용하였다. 유량은 사염화티탄이 매분 20밀리리터, 수소가스가 매분 200밀리리터, 질소가스가 매분 10밀리리터이다. 처리실(20)내의 압력을 약 1Pa로 설정하고, 기체(21)온도를 450℃~600℃로 설정하였다. 고주파전원(52)의 출력은 2.5kW로 하였다. 그리고, 내벽전위제어기구(85)를 사용하여 처리실(20)에 300V의 전압을 인가하였다. 이 조건으로 박막을 제작하면, 질화티탄을 주성분으로 한 막이 매분 약 30nm의 속도로 퇴적하였다.Next, a thirteenth processing example will be described. Titanium tetrachloride was used as a first raw material to be placed in the raw material container 1a and hydrogen gas was used as a second raw material to be placed in the raw material container 1b and nitrogen gas was used as a third raw material to put the raw material container 1c. The flow rate of titanium tetrachloride is 20 milliliters per minute, hydrogen gas is 200 milliliters per minute, and nitrogen gas is 10 milliliters per minute. The pressure in the processing chamber 20 was set to about 1 Pa, and the temperature of the substrate 21 was set to 450 to 600 ° C. The output of the high-frequency power source 52 was 2.5 kW. Then, a voltage of 300 V was applied to the processing chamber 20 by using the inner wall potential control mechanism 85. When a thin film was produced under these conditions, a film composed mainly of titanium nitride was deposited at a rate of about 30 nm per minute.

다음에, 제1도의 장치에 있어서, 스위치(84)를 적극전위제어기구(80)측에 접속하고, 스위치(87)를 내벽 전위제어기구(85)측에 접속한 처리예를 설명한다. 내벽전위제어기구(85)로는 콘덴서(86)에 더하여, 제10도에 도시하는 바와 같은 직류전원(82)과 인덕터(83)의 직렬회로를 병용하였다. 이와 같은 기본적 회로구성으로 이하의 제14처리예를 실시하였다.Next, a processing example in which the switch 84 is connected to the positive potential control mechanism 80 side and the switch 87 is connected to the inner wall potential control mechanism 85 side in the apparatus shown in FIG. 1 will be described. The inner wall potential control mechanism 85 uses a series circuit of the inductor 83 and the DC power source 82 as shown in FIG. 10 in addition to the capacitor 86. The following fourteenth processing example was carried out with this basic circuit configuration.

제14처리예는 도입가스의 종류나 유량, 기체온도, 고주파전원(52)의 출력은 상기 제13의 처리예와 같다. 단, 플라즈마발생전극(61)과 어스사이에 콘덴서(81)를 설치한 점이 다르고, 콘덴서(81)용량은 500pF로 하였다. 이 조건으로 박막을 제작하면, 상기 제13의 처리예와 동일하게 질화티탄을 주성분으로 한 막이 매분 약 30nm의 속도로 퇴적하였다.In the fourteenth processing example, the kind of the introduced gas, the flow rate, the gas temperature, and the output of the high frequency power source 52 are the same as those in the thirteenth processing example. Except that a condenser 81 was provided between the plasma generating electrode 61 and the ground, and the capacity of the condenser 81 was set to 500 pF. When a thin film was produced under these conditions, a film composed mainly of titanium nitride was deposited at a rate of about 30 nm per minute as in the case of the 13th treatment example.

지금까지 기술한 처리예에서 사용하고 있는 플라즈마발생전극은 제3도에 도시하는 1턴코일형상이고 직경은 140㎜이나, 본 발명에서는 제11도와 제12도에 도시하는 각종형상의 플라즈마발생전극도 사용할 수 있다는 것은 이미 설명한 바와 같다.The plasma generating electrode used in the above-described processing example is a one turn coil shape shown in FIG. 3 and has a diameter of 140 mm. In the present invention, the plasma generating electrode having various shapes shown in FIGS. 11 and 12 It can be used as described above.

그럴 경우에, 어느 형상을 채용할 것인지는 플라즈마처리조건에 따라 다르다.In such a case, which shape is adopted depends on the plasma processing conditions.

특히 플라즈마 CVD라면 막두께분포, 드라이에칭이라면 에칭속도분포를 고려하여 플라즈마발생전극의 형상 및 치수를 채용할 수 있다. 가령, 직경 140㎜의 1턴코일의 플라즈마발생전극을 사용한 경우에, 상기 제9처리예에 관하여 제7도의 전자석(130)을 사용한 경우에는 8인치웨이퍼내의 막두께분포가 약 ±5%로 되었으나, 압력을 0.5Pa로 변경하면 막두께분포가 ±8%가 되었다. 그래서, 플라즈마발생전극의 직경을 140㎜에서 160㎜로 변경하면, 8인치웨이퍼내에서 막두께분포가 ±5%로 돌아갔다. 이와 같이, 플라즈마발생전극을 변경함으로써 처리분포를 개선할 수 있다.In particular, the shape and dimensions of the plasma generating electrode can be adopted in consideration of the film thickness distribution in the case of plasma CVD and the etching rate distribution in the case of dry etching. For example, in the case of using the plasma generating electrode of one turn coil having a diameter of 140 mm and using the electromagnet 130 of FIG. 7 with respect to the ninth treatment example, the film thickness distribution in the 8 inch wafer was about 5% , And when the pressure was changed to 0.5 Pa, the film thickness distribution became ± 8%. Thus, when the diameter of the plasma generating electrode was changed from 140 mm to 160 mm, the film thickness distribution was reduced to ± 5% within the 8-inch wafer. Thus, the processing distribution can be improved by changing the plasma generating electrode.

[발명의 효과][Effects of the Invention]

본 발명은 플라즈마발생전극과 플라즈마와 처리실내벽의 상대전위관계를 최적으로 할 수 있기 때문에, 시간경과에 따른 변화가 매우 적은 안정된 저압고밀도 플라즈마를 얻을 수 있다. 따라서, 고품질의 플라즈마처리를 실시할 수 있다.Since the relative potential relationship between the plasma generating electrode, the plasma and the inner wall of the treatment chamber can be optimized, a stable low-pressure high-density plasma with very little change with the lapse of time can be obtained. Therefore, high-quality plasma processing can be performed.

Claims (61)

처리실, 처리실내를 진공으로 배기하는 배기기구, 원료가스를 처리실에 도입하는 가스 도입기구, 및 처리실내에 배치된 플라즈마발생전극을 구비하고, 플라즈마발생전극에 전력을 공급하여 플라즈마를 발생시킴으로써 처리실내의 기체상에 막을 퇴적시키는 플라즈마 CVD장치에 있어서, 상기 플라즈마발생전극은 두개의 단자를 구비하고, 한쪽 단자는 고주파 전력공급원에 접속되고, 다른쪽 단자는 전극전위제어기구를 통하여 접지되고, 또한 상기 처리실이 내벽전위제어기구를 통하여 접지되어 있는 것을 특징으로 하는 플라즈마 CVD장치.A processing chamber, an exhaust mechanism for evacuating the processing chamber to a vacuum, a gas introducing mechanism for introducing the raw material gas into the processing chamber, and a plasma generating electrode disposed in the processing chamber. By generating electric power by supplying electric power to the plasma generating electrode, Wherein the plasma generating electrode has two terminals, one terminal is connected to a high-frequency power supply source, the other terminal is grounded via an electrode potential control mechanism, and the other terminal is grounded via an electrode potential control mechanism, Wherein the treatment chamber is grounded via an inner wall potential control mechanism. 제1항에 있어서, 상기 전극전위제어기구는 제1콘덴서를 구비하는 것을 특징으로 하는 플라즈마 CVD장치.The plasma CVD apparatus according to claim 1, wherein the electrode potential control mechanism comprises a first condenser. 제1항에 있어서, 상기 전극전위제어기구는 상기 다른쪽 단자와 어스 사이에 접속된 제1콘덴서와, 상기 다른쪽 단자와 상기 제1콘덴서 사이의 접속점과 어스 사이에 접속된 인덕터와 직류전원의 직렬회로를 구비하는 것을 특징으로 하는 플라즈마 CVD장치.2. The apparatus according to claim 1, wherein the electrode potential control mechanism comprises: a first capacitor connected between the other terminal and ground; an inductor connected between a connection point between the other terminal and the first capacitor and the ground; And a series circuit. 제1항에 있어서, 상기 내벽전위제어기구는 제2콘덴서를 구비하는 것을 특징으로 하는 플라즈마 CVD장치.The plasma CVD apparatus according to claim 1, wherein the inner wall potential control mechanism comprises a second capacitor. 제1항에 있어서, 상기 내벽전위제어기구는 처리실과 어스 사이에 접속된 제2콘덴서와, 처리실과 상기 제2콘덴서 사이의 접속점과 어스 사이에 접속된 인덕터와 직류전원의 직렬회로를 구비하는 것을 특징으로 하는 플라즈마 CVD장치.The internal wall potential control device according to claim 1, wherein the inner wall potential control mechanism includes a second capacitor connected between the process chamber and the ground, and a series circuit of an inductor and a DC power source connected between a connection point between the process chamber and the second capacitor, Wherein the plasma CVD apparatus is a plasma CVD apparatus. 처리실, 처리실내를 진공으로 배기하는 배기기구, 원료가스를 처리실에 도입하는 가스 도입기구, 및 처리실내에 배치된 플라즈마발생전극을 구비하고, 플라즈마발생 전극에 전력을 공급하여 플라즈마를 발생시킴으로써 처리실내의 기체상에 막을 퇴적시키는 플라즈마 CVD 장치에 있어서, 상기 플라즈마발생전극은 두개의 단자를 구비하고, 한쪽 단자는 고주파 전력공급원에 접속되고, 다른쪽 단자는 전극전위제어기구를 통하여 접지되고, 상기처리실이 접지되어 있다는 것을 특징으로 하는 플라즈마 CVD장치.A processing chamber, an exhaust mechanism for evacuating the processing chamber to a vacuum, a gas introducing mechanism for introducing the raw material gas into the processing chamber, and a plasma generating electrode disposed in the processing chamber. By generating electric power by supplying electric power to the plasma generating electrode, Wherein the plasma generating electrode has two terminals, one terminal is connected to a high frequency power supply source and the other terminal is grounded through an electrode potential control mechanism, and the processing chamber Is grounded. ≪ IMAGE > 제6항에 있어서, 상기 전극전위제어기구는 제1콘덴서를 구비하는 것을 특징으로 하는 플라즈마 CVD장치.The plasma CVD apparatus according to claim 6, wherein the electrode potential control mechanism comprises a first condenser. 제6항에 있어서, 상기 전극전위제어기구는 상기 다른쪽 단자와 어스 사이에 접속된 제1콘덴서와, 상기 다른쪽 단자와 상기 제1콘덴서 사이의 접속점과 어스 사이에 접속된 인덕터와 직류전원의 직렬회로를 구비하는 것을 특징으로 하는 플라즈마 CVD장치.7. The apparatus according to claim 6, wherein the electrode potential control mechanism comprises: a first capacitor connected between the other terminal and ground; an inductor connected between a connection point between the other terminal and the first capacitor and the ground; And a series circuit. 처리실, 처리실내를 진공으로 배기하는 배기기구, 원료가스를 처리실에 도입하는 가스도입기구, 및 처리실내에 배치된 플라즈마발생전극을 구비하고, 플라즈마발생전극에 전력을 공급하여 플라즈마를 발생시킴으로써 처리실내의 기체상에 막을 퇴적시키는 플라즈마 CVD 장치에 있어서, 상기 플라즈마발생전극은 두개의 단자를 구비하고, 한쪽 단자는 고주파전력공급원에 접속되고, 다른쪽 단자는 접지되고, 또한 상기 처리실이 내벽전위제어기구를 통하여 접지되어 있는 것을 특징으로 하는 플라즈마 CVD장치.A processing chamber, an exhaust mechanism for evacuating the processing chamber to a vacuum, a gas introducing mechanism for introducing the raw material gas into the processing chamber, and a plasma generating electrode disposed in the processing chamber. By generating electric power by supplying electric power to the plasma generating electrode, Wherein the plasma generating electrode has two terminals, one terminal is connected to a high frequency power supply source, the other terminal is grounded, and the processing chamber is connected to the inner wall potential control mechanism Wherein the plasma CVD apparatus further comprises: 제9항에 있어서, 상기 내벽전위제어기구는 제2콘덴서를 구비하는 것을 특징으로 하는 플라즈마 CVD장치.The plasma CVD apparatus according to claim 9, wherein the inner wall potential control mechanism includes a second capacitor. 제9항에 있어서, 상기 내벽전위제어기구는 처리실과 어스 사이에 접속된 제2콘덴서와, 처리실과 상기 제2콘덴서 사이의 접속점과 어스 사이에 접속된 인덕터와 직류전원의 직렬회로를 구비하는 것을 특징으로 하는 플라즈마 CVD장치.10. The in-wall potential control device according to claim 9, wherein the inner wall potential control mechanism includes a second capacitor connected between the process chamber and the ground, and a series circuit of an inductor and a DC power source connected between a connection point between the process chamber and the second capacitor, Wherein the plasma CVD apparatus is a plasma CVD apparatus. 제2항, 제3항, 제7항 및 제8항중의 어느 1항에 있어서, 상기 제1콘덴서의 정전용량은 100pF~10㎌인것을 특징으로 하는 플라즈마 CVD장치.The plasma CVD apparatus according to any one of claims 2, 3, 7, and 8, wherein the capacitance of the first condenser is 100 pF to 10 pF. 제2항, 제3항, 제7항 및 제8항중의 어느 1항에 있어서, 상기 제1콘덴서는 가변콘덴서인 것을 특징으로 하는 플라즈마 CVD장치.The plasma CVD apparatus according to any one of claims 2, 3, 7, and 8, wherein the first capacitor is a variable capacitor. 제1항 내지 제5항중의 어느 1항에 있어서, 상기 플라즈마발생전극의 표면은 도전체인 것을 특징으로 하는 플라즈마 CVD장치.The plasma CVD apparatus according to any one of claims 1 to 5, wherein the surface of the plasma generating electrode is a conductive film. 제1항 내지 제5항중의 어느 1항에 있어서, 상기 플라즈마발생전극은 1턴의 코일인 것을 특징으로 하는 플라즈마 CVD장치.The plasma CVD apparatus according to any one of claims 1 to 5, wherein the plasma generating electrode is a turn coil. 제1항 내지 제5항중의 어느 1항에 있어서, 상기 플라즈마발생전극은 1주 이상 감긴 코일인 것을 특징으로 하는 플라즈마 CVD장치.The plasma CVD apparatus according to any one of claims 1 to 5, wherein the plasma generating electrode is a coil wound for more than one week. 제1항 내지 제5항중의 어느 1항에 있어서, 상기 플라즈마발생전극은 1평면내에서 와류형상으로 감겨 있는 것을 특징으로 하는 플라즈마 CVD장치.The plasma CVD apparatus according to any one of claims 1 to 5, wherein the plasma generating electrode is wound in a vortex shape in one plane. 제1항 내지 제5항중의 어느 1항에 있어서, 상기 플라즈마발생전극은 1개의 직선상 막대인 것을 특징으로 하는 플라즈마 CVD장치.The plasma CVD apparatus according to any one of claims 1 to 5, wherein the plasma generating electrode is one linear bar. 제1항 내지 제5항중의 어느 1항에 있어서, 상기 플라즈마발생전극은 2개 이상의 직선상 막대를 병렬로 나열한 것임을 특징으로 하는 플라즈마 CVD장치.The plasma CVD apparatus according to any one of claims 1 to 5, wherein the plasma generating electrode comprises two or more rectilinear rods arranged in parallel. 제1항 내지 제5항중의 어느 1항에 있어서, 상기 플라즈마발생전극은 1매의 평판상 판인 것을 특징으로 하는 플라즈마 CVD 장치.The plasma CVD apparatus according to any one of claims 1 to 5, wherein the plasma generating electrode is a single flat plate. 제1항 내지 제5항중의 어느 1항에 있어서, 상기 플라즈마발생전극은 그 내부가 유체로 냉각되는 것을 특징으로 하는 플라즈마 CVD장치.The plasma CVD apparatus according to any one of claims 1 to 5, wherein the inside of the plasma generating electrode is cooled with a fluid. 제1항 내지 제5항중의 어느 1항에 있어서, 상기 플라즈마발생전극의 표면재질이 기체상에 퇴적할 박막재질과 같은 것을 특징으로 하는 플라즈마 CVD장치.The plasma CVD apparatus according to any one of claims 1 to 5, wherein the surface material of the plasma generating electrode is the same as the thin film material to be deposited on the substrate. 제1항 내지 제5항중의 어느 1항에 있어서, 상기 플라즈마발생전극의 표면재질이 기체상에 퇴적할 박막 조성의 일부인 것을 특징으로 하는 플라즈마 CVD장치.The plasma CVD apparatus according to any one of claims 1 to 5, wherein the surface material of the plasma generating electrode is a part of a thin film composition to be deposited on the substrate. 제1항 내지 제5항중의 어느 1항에 있어서, 멀티커스프자장을 상기 처리실내부에 발생시킬 수 있는 멀티커스프 자장발생기구를 구비하고 있는 것을 특징으로 하는 플라즈마 CVD장치.6. The plasma CVD apparatus according to any one of claims 1 to 5, further comprising a multi-coercive field generating mechanism capable of generating a multicurve magnetic field in the processing chamber. 제1항 내지 제5항중의 어느 1항에 있어서, 상기 배기기구가 상기 처리실내의 압력을 일정하게 제어하는 압력제어기구를 구비하고 있는 것을 특징으로 하는 플라즈마 CVD장치.The plasma CVD apparatus according to any one of claims 1 to 5, wherein the exhaust mechanism includes a pressure control mechanism for controlling the pressure in the processing chamber to be constant. 제1항 내지 제5항중의 어느 1항에 있어서, 상기 기체에 바이어스전압을 인가하기 위한 바이어스인가기구를 구비하고 있는 것을 특징으로 하는 플라즈마 CVD장치.The plasma CVD apparatus according to any one of claims 1 to 5, further comprising a bias applying mechanism for applying a bias voltage to the substrate. 처리실에 원료가스를 도입하는 단계와, 처리실내에 배치된 플라즈마발생전극에 전력을 공급하여 플라즈마를 발생시킴으로써 처리실내의 기체상에 도전성 막을 퇴적시키는 단계로 이루어진 플라즈마 CVD 방법에 있어서, 처리실이 내벽전위제어기구를 통하여 접지되고, 또한 두 개의 단자가 구비되고, 한쪽단자는 고주파전력공급원에 접속되고, 다른쪽 단자는 전극전위제어기구를 통하여 접지되는 플라즈마발생전극을 사용하는 것을 특징으로 하는 플라즈마 CVD방법.A plasma CVD method comprising the steps of introducing a source gas into a processing chamber and depositing a conductive film on a substrate in a processing chamber by supplying power to the plasma generating electrode disposed in the processing chamber to generate plasma, A plasma generating electrode which is grounded via a control mechanism and has two terminals, one of which is connected to a high frequency power supply source and the other of which is grounded via an electrode potential control mechanism . 처리실에 원료가스를 도입하는 단계와, 처리실내에 배치된 플라즈마발생전극에 전력을 공급하여 플라즈마를 발생시킴으로써 처리실내의 기체상에 도전성 막을 퇴적시키는 단계로 이루어진 플라즈마 CVD 방법에 있어서, 처리실이 접지되고, 또한 두 개의 단자가 구비되고, 한쪽 단자는 고주파전력공급원에 접속되고, 다른쪽 단자는 전극전위제어기구를 통하여 접지되는 플라즈마발생전극을 사용하는 것을 특징으로 하는 플라즈마 CVD 방법.A plasma CVD method comprising the steps of: introducing a source gas into a processing chamber; and supplying a power to a plasma generating electrode disposed in the processing chamber to generate a plasma, thereby depositing a conductive film on the substrate in the processing chamber. , And a plasma generating electrode in which two terminals are provided, one terminal is connected to a high frequency power supply source, and the other terminal is grounded through an electrode potential control mechanism. 처리실에 원료가스를 도입하는 단계와, 처리실내에 배치된 플라즈마발생전극에 전력을 공급하여 플라즈마를 발생시킴으로써 처리실내의 기체상에 도전성 막을 퇴적시키는 단계로 이루어진 플라즈마 CVD방법에 있어서, 처리실이 내벽전위제어기구를 통하여 접지되고, 다른쪽 단자는 직접 접지되는 플라즈마발생전극을 사용하는 것을 특징으로 하는 플라즈마 CVD방법.A plasma CVD method comprising the steps of introducing a source gas into a processing chamber and depositing a conductive film on a substrate in a processing chamber by supplying power to the plasma generating electrode disposed in the processing chamber to generate plasma, Wherein the plasma generating electrode is grounded via a control mechanism and the other terminal is directly grounded. 처리실, 처리실내를 진공으로 배기하는 배기기구, 처리용가스를 처리실에 도입하는 가스도입기구, 및 처리실내에 배치된 플라즈마발생전극을 구비하고, 플라즈마발생전극에 전력을 공급하여 플라즈마 를발생시킴으로써 처리실내의 기체상에 막을 에칭하는 드라이에칭장치에 있어서, 상기 플라즈마발생전극은 두개의 단자를 구비하고, 한쪽 단자는 고주파전력공급원에 접속되고, 다른쪽 단자는 전극전위제어기구를 통하여 접지되고, 또한 상기 처리실이 내벽전위제어기구를 통하여 접지되어 있는 것을 특징으로 하는 드라이에칭장치.And a plasma generating electrode disposed in the processing chamber, wherein the plasma generating electrode is provided with electric power by generating a plasma to generate plasma, A dry etching apparatus for etching a film on a gas in a room, wherein the plasma generating electrode has two terminals, one terminal is connected to a high frequency power supply source, the other terminal is grounded via an electrode potential control mechanism, Wherein the processing chamber is grounded via an inner wall potential control mechanism. 처리실, 처리실내를 진공으로 배기하는 배기기구, 처리용가스를 처리실에 도입하는 가스도입기구, 및 처리실내에 배치된 플라즈마발생전극을 구비하고, 플라즈마발생전극에 전력을 공급하여 플라즈마를 발생시킴으로써 처리실내의 기체상에 막을 에칭하는 드라이에칭장치에 있어서, 상기 플라즈마발생전극은 두개의 단자를 구비하고, 한쪽 단자는 고주파전력공급원에 접속되고, 다른쪽 단자는 전극전위제어기구를 통하여 접지되고, 상기 처리실이 접지되어 있는 것을 특징으로 하는 드라이에칭장치.And a plasma generating electrode disposed in the processing chamber, wherein the plasma generating electrode is provided with electric power by generating a plasma to generate plasma, A dry etching apparatus for etching a film on a gas in a room, wherein the plasma generating electrode has two terminals, one terminal is connected to a high frequency power supply source, the other terminal is grounded via an electrode potential control mechanism, And the treatment chamber is grounded. 처리실, 처리실내를 진공으로 배기하는 배기기구, 처리용가스를 처리실에 도입하는 가스도입기구, 및 처리실내에 배치된 플라즈마발생전극을 구비하고, 플라즈마발생전극에 전력을 공급하여 플라즈마를 발생시킴으로써 처리실내의 기체상에 막을 에칭하는 드라이에칭장치에 있어서, 상기 플라즈마발생전극은 두개의 단자를 구비하고, 한쪽 단자는 고주파전력공급원에 접속되고, 다른쪽 단자는 접지되고, 또한 상기 처리실이 내벽전위제어기구를 통하여 접지되어 있는 것을 특징으로 하는 드라이에칭장치.And a plasma generating electrode disposed in the processing chamber, wherein the plasma generating electrode is provided with electric power by generating a plasma to generate plasma, 1. A dry etching apparatus for etching a film on a gas in a room, wherein the plasma generating electrode has two terminals, one terminal is connected to a high frequency power supply source and the other terminal is grounded, And the second electrode is grounded through a mechanism. 처리실에 처리용가스를 도입하는 단계와, 처리실내에 배치된 플라즈마발생전극에 전력을 공급하여 플라즈마를 발생시킴으로써 처리실내의 기체상의 막을 에칭하는 단계로 이루어진 드라이에칭방법에 있어서, 처리실이 내벽전위제어기구를 통하여 접지되고, 또한 두 개의 단자가 구비되고, 한 쪽단자는 고주파전력공급원에 접속되고, 다른쪽 단자는 전극전위제어기구를 통하여 접지되는 플라즈마발생전극을 사용하는 것을 특징으로 하는 드라이에칭방법.A method for dry etching comprising the steps of introducing a processing gas into a processing chamber, and etching a gas-phase film in the processing chamber by supplying power to the plasma generating electrode disposed in the processing chamber to generate plasma, Wherein the plasma generating electrode is grounded via a mechanism and has two terminals, one terminal is connected to a high-frequency power supply source, and the other terminal is grounded through an electrode potential control mechanism . 처리실에 처리용가스를 도입하는 단계와, 처리실내에 배치된 플라즈마발생전극에 전력을 공급하여 플라즈마를 발생시킴으로써 처리실내의 기체상의 막을 에칭하는 단계로 이루어진 드라이에칭방법에 있어서, 처리실이 내벽전위제어기구를 통하여 접지되고, 또한 두 개의 단자가 구비되고, 한 쪽 단자는 고주파전력공급원에 접속되고, 다른쪽 단자는 전극전위제어기구를 통하여 접지되는 플라즈마발생전극을 사용하는 것을 특징으로 하는 드라이에칭방법.A method for dry etching comprising the steps of introducing a processing gas into a processing chamber, and etching a gas-phase film in the processing chamber by supplying power to the plasma generating electrode disposed in the processing chamber to generate plasma, Wherein the plasma generating electrode is grounded via a mechanism and has two terminals, one terminal is connected to a high-frequency power supply source, and the other terminal is grounded through an electrode potential control mechanism . 처리실에 처리용가스를 도입하는 단계와, 처리실내에 배치된 플라즈마발생 전극에 전력을 공급하여 플라즈마를 발생시킴으로써 처리실내의 기체상의 막을 에칭하는 단계로 이루어진 드라이에칭방법에 있어서, 처리실이 내벽전위제어기구를 통하여 접지되고, 또한 두 개의 단자가 구비되고, 한쪽단자는 고주파전력공급원에 접속되고, 다른쪽 단자는 접지되는 플라즈마발생전극을 사용하는 것을 특징으로 하는 드라이에칭방법.A method for dry etching comprising the steps of introducing a processing gas into a processing chamber, and etching a gas-phase film in the processing chamber by supplying power to the plasma generating electrode disposed in the processing chamber to generate plasma, Wherein a plasma generating electrode which is grounded through a mechanism and has two terminals and one terminal is connected to a high frequency power supply source and the other terminal is grounded is used. 제6항 내지 제8항중의 어느 1항에 있어서, 상기 플라즈마발생전극의 표면은 도전체인 것을 특징으로 하는 플라즈마 CVD 장치.The plasma CVD apparatus according to any one of claims 6 to 8, wherein the surface of the plasma generating electrode is a conductive one. 제6항 내지 제8항중의 어느 1항에 있어서, 상기 플라즈마발생전극은 1턴의 코일인 것을 특징으로 하는 플라즈마 CVD 장치.The plasma CVD apparatus according to any one of claims 6 to 8, wherein the plasma generating electrode is a turn coil. 제6항 내지 제8항중의 어느 1항에 있어서, 상기 플라즈마발생전극은 1주 이상 감긴코일인 것을 특징으로 하는 플라즈마 CVD장치.The plasma CVD apparatus according to any one of claims 6 to 8, wherein the plasma generating electrode is a coil wound for more than one week. 제6항 내지 제8항중의 어느 1항에 있어서, 상기 플라즈마발생전극은 1평면내에서 와류형상으로 감겨 있는 것을 특징으로 하는 플라즈마 CVD장치.The plasma CVD apparatus according to any one of claims 6 to 8, wherein the plasma generating electrode is wound in a vortex shape in one plane. 제6항 내지 제8항중의 어느 1항에 있어서, 상기 플라즈마발생전극은 1개의 직선상 막대인 것을 특징으로 하는 플라즈마 CVD장치.The plasma CVD apparatus according to any one of claims 6 to 8, wherein the plasma generating electrode is one linear bar. 제6항 내지 제8항중의 어느 1항에 있어서, 상기 플라즈마발생전극은 2개 이상의 직선상 막대를 병렬로 나열한 것임을 특징으로 하는 플라즈마 CVD장치.The plasma CVD apparatus according to any one of claims 6 to 8, wherein the plasma generating electrode comprises two or more rectilinear rods arranged in parallel. 제6항 내지 제8항중의 어느 1항에 있어서, 상기 플라즈마발생전극은 1매의 평판상 판인 것을 특징으로 하는 플라즈마 CVD장치.The plasma CVD apparatus according to any one of claims 6 to 8, wherein the plasma generating electrode is a single flat plate. 제6항 내지 제8항중의 어느 1항에 있어서, 상기 플라즈마발생전극은 그 내부가 유체로 냉각되는 것을 특징으로 하는 플라즈마 CVD장치.The plasma CVD apparatus according to any one of claims 6 to 8, wherein the inside of the plasma generating electrode is cooled with a fluid. 제6항 내지 제8항중의 어느 1항에 있어서, 상기 플라즈마발생전극의 표면재질이 기체상에 퇴적할 박막재질과 같은 것을 특징으로 하는 플라즈마 CVD장치.The plasma CVD apparatus according to any one of claims 6 to 8, wherein the surface material of the plasma generating electrode is the same as the thin film material to be deposited on the substrate. 제6항 내지 제8항중의 어느 1항에 있어서, 상기 플라즈마발생전극의 표면재질이 기체상에 퇴적할 박막조성의 일부인 것을 특징으로 하는 플라즈마 CVD장치.The plasma CVD apparatus according to any one of claims 6 to 8, wherein the surface material of the plasma generating electrode is a part of a thin film composition to be deposited on the substrate. 제6항 내지 제8항중의 어느 1항에 있어서, 멀티커스프자장을 상기 처리실 내부에 발생시킬 수 있는 멀티커스프 자장발생기구를 구비하고 있는 것을 특징으로 하는 플라즈마 CVD장치.The plasma CVD apparatus according to any one of claims 6 to 8, further comprising a multi-coercive field generating mechanism capable of generating a multicurve magnetic field in the processing chamber. 제6항 내지 제8항중의 어느 1항에 있어서, 상기 배기기구가 상기 처리실내의 압력을 일정하게 제어하는 압력제어기구를 구비하고 있는 것을 특징으로 하는 플라즈마 CVD장치.The plasma CVD apparatus according to any one of claims 6 to 8, wherein the exhaust mechanism includes a pressure control mechanism for controlling the pressure in the processing chamber to be constant. 제6항 내지 제8항중의 어느 1항에 있어서, 상기 기체에 바이어스전압을 인가하기 위한 바이어스인가기구를 구비하고 있는 것을 특징으로 하는 플라즈마 CVD장치.The plasma CVD apparatus according to any one of claims 6 to 8, further comprising a bias applying mechanism for applying a bias voltage to the substrate. 제9항 내지 제11항중의 어느 1항에 있어서, 상기 플라즈마발생전극의 표면은 도전체인 것을 특징으로 하는 플라즈마 CVD장치.11. The plasma CVD apparatus according to any one of claims 9 to 11, wherein the surface of the plasma generating electrode is a conductor. 제9항 내지 제11항중의 어느 1항에 있어서, 상기 플라즈마발생전극은 1턴의 코일인 것을 특징으로 하는 플라즈마 CVD장치.The plasma CVD apparatus according to any one of claims 9 to 11, wherein the plasma generating electrode is a turn coil. 제9항 내지 제11항중의 어느 1항에 있어서, 상기 플라즈마발생전극은 1주 이상 감긴 코일인 것을 특징으로 하는 플라즈마 CVD장치.The plasma CVD apparatus according to any one of claims 9 to 11, wherein the plasma generating electrode is a coil wound for more than one week. 제9항 내지 제11항중의 어느 1항에 있어서, 상기 플라즈마발생전극은 1평면내에서 와류형상으로 감겨 있는 것을 특징으로 하는 플라즈마 CVD장치.The plasma CVD apparatus according to any one of claims 9 to 11, wherein the plasma generating electrode is wound in a vortex shape in one plane. 제9항 내지 제11항중의 어느 1항에 있어서, 상기 플라즈마발생전극은 1개의 직선상 막대인 것을 특징으로 하는 플라즈마 CVD장치.The plasma CVD apparatus according to any one of claims 9 to 11, wherein the plasma generating electrode is one linear bar. 제9항 내지 제11항중의 어느 1항에 있어서, 상기 플라즈마발생전극은 2개 이상의 직선상 막대를 병렬로 나열한 것임을 특징으로 하는 플라즈마 CVD장치.The plasma CVD apparatus according to any one of claims 9 to 11, wherein the plasma generating electrode comprises two or more rectilinear rods arranged in parallel. 제9항 내지 제11항중의 어느 1항에 있어서, 상기 플라즈마발생전극은 1매의 평판상 판인 것을 특징으로 하는 플라즈마 CVD장치.The plasma CVD apparatus according to any one of claims 9 to 11, wherein the plasma generating electrode is a single flat plate. 제9항 내지 제11항중의 어느 1항에 있어서, 상기 플라즈마발생전극은 그 내부가 유체로 냉각되는 것을 특징으로 하는 플라즈마 CVD장치.The plasma CVD apparatus according to any one of claims 9 to 11, wherein the inside of the plasma generating electrode is cooled with a fluid. 제9항 내지 제11항중의 어느 1항에 있어서, 상기 플라즈마발생전극의 표면재질이 기체상에 퇴적할 박막재질과 같은 것을 특징으로 하는 플라즈마 CVD장치.The plasma CVD apparatus according to any one of claims 9 to 11, wherein the surface material of the plasma generating electrode is the same as the thin film material to be deposited on the substrate. 제9항 내지 제11항중의 어느 1항에 있어서, 상기 플라즈마발생전극의 표면재질이 기체상에 퇴적할 박막 조성의 일부인 것을 특징으로 하는 플라즈마 CVD장치.The plasma CVD apparatus according to any one of claims 9 to 11, wherein the surface material of the plasma generating electrode is a part of a thin film composition to be deposited on the substrate. 제9항 내지 제11항중의 어느 1항에 있어서, 멀티커스프자장을 상기 처리실 내부에 발생시킬 수 있는 멀티커스프 자장발생기구를 구비하고 있는 것을 특징으로 하는 플라즈마 CVD장치.The plasma CVD apparatus according to any one of claims 9 to 11, further comprising a multi-coercive field generating mechanism capable of generating a multicurve magnetic field in the processing chamber. 제9항 내지 제11항중의 어느 1항에 있어서, 상기 배기기구가 상기 처리실내의 압력을 일정하게 제어하는 압력제어기구를 구비하고 있는 것을 특징으로 하는 플라즈마 CVD장치.The plasma CVD apparatus according to any one of claims 9 to 11, wherein the exhaust mechanism includes a pressure control mechanism for controlling the pressure in the processing chamber to be constant. 제9항 내지 제11항중의 어느 1항에 있어서, 상기 기체에 바이어스전압을 인가하기 위한 바이어스인가기구를 구비하고 있는 것을 특징으로 하는 플라즈마 CVD장치.The plasma CVD apparatus according to any one of claims 9 to 11, further comprising a bias applying mechanism for applying a bias voltage to the substrate.
KR1019960038776A 1995-10-11 1996-09-07 Plasma cvd apparatus and dryetching apparatus and method KR100232040B1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP7288117A JPH09106899A (en) 1995-10-11 1995-10-11 Plasma cvd device and method, and dry etching device and method
JP95-288117 1995-10-11

Publications (2)

Publication Number Publication Date
KR970021370A KR970021370A (en) 1997-05-28
KR100232040B1 true KR100232040B1 (en) 1999-12-01

Family

ID=17726034

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019960038776A KR100232040B1 (en) 1995-10-11 1996-09-07 Plasma cvd apparatus and dryetching apparatus and method

Country Status (4)

Country Link
US (1) US5891349A (en)
JP (1) JPH09106899A (en)
KR (1) KR100232040B1 (en)
TW (1) TW305096B (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100552641B1 (en) * 2000-04-27 2006-02-20 가부시끼가이샤 히다치 세이사꾸쇼 Plasma processing apparatus and plasma processing method
KR101947537B1 (en) * 2014-07-25 2019-02-13 가부시키가이샤 히다치 하이테크놀로지즈 Plasma treatment apparatus

Families Citing this family (199)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW403959B (en) * 1996-11-27 2000-09-01 Hitachi Ltd Plasma treatment device
KR100560049B1 (en) * 1997-05-10 2006-05-25 가부시키가이샤 한도오따이 에네루기 켄큐쇼 A film forming method
DE19826259A1 (en) * 1997-06-16 1998-12-17 Bosch Gmbh Robert Plasma CVD process application
JP4340348B2 (en) * 1998-01-22 2009-10-07 株式会社日立国際電気 Plasma generator
JPH11317299A (en) * 1998-02-17 1999-11-16 Toshiba Corp High frequency discharge method, its device, and high frequency processing device
US6171661B1 (en) * 1998-02-25 2001-01-09 Applied Materials, Inc. Deposition of copper with increased adhesion
US6474258B2 (en) 1999-03-26 2002-11-05 Tokyo Electron Limited Apparatus and method for improving plasma distribution and performance in an inductively coupled plasma
US6237526B1 (en) 1999-03-26 2001-05-29 Tokyo Electron Limited Process apparatus and method for improving plasma distribution and performance in an inductively coupled plasma
JP4249843B2 (en) 1999-04-12 2009-04-08 憲一 高木 Plasma processing equipment
US6444556B2 (en) * 1999-04-22 2002-09-03 Micron Technology, Inc. Chemistry for chemical vapor deposition of titanium containing films
US6318381B1 (en) * 1999-07-13 2001-11-20 Micron Technology, Inc. Methods of cleaning vaporization surfaces
US6201208B1 (en) * 1999-11-04 2001-03-13 Wisconsin Alumni Research Foundation Method and apparatus for plasma processing with control of ion energy distribution at the substrates
KR100757717B1 (en) * 2000-04-13 2007-09-11 도꾸리쯔교세이호진 상교기쥬쯔 소고겡뀨죠 Thin film forming method, thin film forming apparatus and solar cell
US6857387B1 (en) * 2000-05-03 2005-02-22 Applied Materials, Inc. Multiple frequency plasma chamber with grounding capacitor at cathode
KR100797423B1 (en) * 2000-05-17 2008-01-23 가부시키가이샤 아이에이치아이 Plasma cvd apparatus and method
US6494998B1 (en) 2000-08-30 2002-12-17 Tokyo Electron Limited Process apparatus and method for improving plasma distribution and performance in an inductively coupled plasma using an internal inductive element
JP2002105641A (en) * 2000-10-03 2002-04-10 Murakami Corp Composite material and manufacturing method
JP4770029B2 (en) * 2001-01-22 2011-09-07 株式会社Ihi Plasma CVD apparatus and solar cell manufacturing method
JP4819244B2 (en) * 2001-05-15 2011-11-24 東京エレクトロン株式会社 Plasma processing equipment
US20030041970A1 (en) * 2001-08-29 2003-03-06 Tokyo Electron Limited Wafer processing machine
US20030087488A1 (en) * 2001-11-07 2003-05-08 Tokyo Electron Limited Inductively coupled plasma source for improved process uniformity
US6869880B2 (en) * 2002-01-24 2005-03-22 Applied Materials, Inc. In situ application of etch back for improved deposition into high-aspect-ratio features
JP3897620B2 (en) * 2002-03-14 2007-03-28 三菱重工業株式会社 High frequency power supply structure and plasma CVD apparatus including the same
JP3847184B2 (en) * 2002-03-14 2006-11-15 東京エレクトロン株式会社 Plasma processing equipment
JP4013674B2 (en) * 2002-07-11 2007-11-28 松下電器産業株式会社 Plasma doping method and apparatus
US7186663B2 (en) * 2004-03-15 2007-03-06 Sharp Laboratories Of America, Inc. High density plasma process for silicon thin films
EP1579027B1 (en) * 2002-12-18 2006-04-19 Cardinal CG Company Plasma-enhanced film deposition
US7767056B2 (en) * 2003-01-14 2010-08-03 Canon Anelva Corporation High-frequency plasma processing apparatus
US20050067934A1 (en) * 2003-09-26 2005-03-31 Ishikawajima-Harima Heavy Industries Co., Ltd. Discharge apparatus, plasma processing method and solar cell
JP2005167019A (en) * 2003-12-03 2005-06-23 Sharp Corp Transistor and cvd device for forming its gate insulating film
US8357242B2 (en) * 2007-05-03 2013-01-22 Jewett Russell F Crystalline film devices, apparatuses for and methods of fabrication
JP4523352B2 (en) * 2004-07-20 2010-08-11 株式会社日立ハイテクノロジーズ Plasma processing equipment
KR100909750B1 (en) * 2005-03-01 2009-07-29 가부시키가이샤 히다치 고쿠사이 덴키 Substrate processing apparatus and semiconductor device manufacturing method
US8633416B2 (en) * 2005-03-11 2014-01-21 Perkinelmer Health Sciences, Inc. Plasmas and methods of using them
US7780814B2 (en) * 2005-07-08 2010-08-24 Applied Materials, Inc. Wafer pre-clean reactor cable termination for selective suppression/reflection of source and bias frequency cross products
JP4674177B2 (en) * 2006-03-15 2011-04-20 株式会社日立ハイテクノロジーズ Plasma processing equipment
US7524750B2 (en) 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
US7939422B2 (en) * 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
US20080142483A1 (en) * 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
JP2009170509A (en) * 2008-01-11 2009-07-30 Hitachi High-Technologies Corp Plasma processing apparatus including electrostatic chuck with built-in heater
JP5297048B2 (en) * 2008-01-28 2013-09-25 三菱重工業株式会社 Plasma processing method and plasma processing apparatus
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
TWI554630B (en) 2010-07-02 2016-10-21 應用材料股份有限公司 Deposition apparatus and methods to reduce deposition asymmetry
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
CN102820204A (en) * 2011-06-07 2012-12-12 中国科学院微电子研究所 Scanning and photoresist removing system using radiofrequency and dielectric-barrier atmospheric-pressure glow plasmas
US8497211B2 (en) 2011-06-24 2013-07-30 Applied Materials, Inc. Integrated process modulation for PSG gapfill
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US8912976B2 (en) * 2012-09-12 2014-12-16 Varian Semiconductor Equipment Associates, Inc. Internal RF antenna with dielectric insulation
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9209032B2 (en) * 2013-03-15 2015-12-08 Tokyo Electron Limited Electric pressure systems for control of plasma properties and uniformity
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
JP5874854B1 (en) * 2015-06-12 2016-03-02 日新電機株式会社 Plasma processing equipment
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (en) 2018-02-28 2021-01-21 美商應用材料股份有限公司 Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US11037765B2 (en) * 2018-07-03 2021-06-15 Tokyo Electron Limited Resonant structure for electron cyclotron resonant (ECR) plasma ionization
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP7202641B2 (en) * 2019-03-26 2023-01-12 株式会社プラズマイオンアシスト Plasma processing apparatus and plasma processing method

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0558072A (en) * 1991-09-05 1993-03-09 Oji Paper Co Ltd Direct drawing type planographic printing plate material
JP3195427B2 (en) * 1992-07-15 2001-08-06 富士通株式会社 Data conversion / inversion converter
JPH06275600A (en) * 1993-03-23 1994-09-30 Anelva Corp Method and device for manufacturing thin film
JPH0718433A (en) * 1993-06-30 1995-01-20 Kobe Steel Ltd Icp sputtering device
US5531834A (en) * 1993-07-13 1996-07-02 Tokyo Electron Kabushiki Kaisha Plasma film forming method and apparatus and plasma processing apparatus
JPH0740468A (en) * 1993-07-27 1995-02-10 Futaba:Kk Cutting device for making bag, and welding device therefor
JPH07254500A (en) * 1994-03-14 1995-10-03 Kokusai Electric Co Ltd Plasma processing device
JPH09111460A (en) * 1995-10-11 1997-04-28 Anelva Corp Production of titanium based conductive thin film

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100552641B1 (en) * 2000-04-27 2006-02-20 가부시끼가이샤 히다치 세이사꾸쇼 Plasma processing apparatus and plasma processing method
KR101947537B1 (en) * 2014-07-25 2019-02-13 가부시키가이샤 히다치 하이테크놀로지즈 Plasma treatment apparatus
US11257661B2 (en) 2014-07-25 2022-02-22 Hitachi High-Tech Corporation Plasma processing apparatus

Also Published As

Publication number Publication date
JPH09106899A (en) 1997-04-22
US5891349A (en) 1999-04-06
KR970021370A (en) 1997-05-28
TW305096B (en) 1997-05-11

Similar Documents

Publication Publication Date Title
KR100232040B1 (en) Plasma cvd apparatus and dryetching apparatus and method
KR100225567B1 (en) Method for titanic electric conduction coating
US5855685A (en) Plasma enhanced CVD apparatus, plasma enhanced processing apparatus and plasma enhanced CVD method
US5429070A (en) High density plasma deposition and etching apparatus
US5421891A (en) High density plasma deposition and etching apparatus
EP0179665B1 (en) Apparatus and method for magnetron-enhanced plasma-assisted chemical vapor deposition
KR100445018B1 (en) Method and Apparatus for Metallizing High Aspect Ratio Silicon Semiconductor Device Contacts
KR100322330B1 (en) Method and apparatus for ionized sputtering of materials
US6244211B1 (en) Plasma processing apparatus
US5279669A (en) Plasma reactor for processing substrates comprising means for inducing electron cyclotron resonance (ECR) and ion cyclotron resonance (ICR) conditions
US6444084B1 (en) Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
EP0801413A1 (en) Inductively coupled plasma reactor with faraday-sputter shield
JP2002500413A (en) Plasma apparatus including non-magnetic metal member supplied with power between plasma AC excitation source and plasma
JP3429391B2 (en) Plasma processing method and apparatus
KR100842947B1 (en) Plasma processing method and plasma processor
JPH08236513A (en) Method of etching substrate in plasma
US6827870B1 (en) Method and apparatus for etching and deposition using micro-plasmas
JP3181473B2 (en) Plasma processing equipment
US5983829A (en) Microwave plasma etching apparatus
KR100225571B1 (en) Disk reproducing apparatus
JPH04279044A (en) Sample-retention device
US20040040662A1 (en) Plasma processing method and apparatus for etching nonvolatile material
JP4408987B2 (en) Plasma processing equipment for sputter processing
WO2001083852A1 (en) Method and apparatus for distributing gas within high density plasma process chamber to ensure uniform plasma
CA2387432C (en) Method and apparatus for etching and deposition using micro-plasmas

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
G170 Re-publication after modification of scope of protection [patent]
FPAY Annual fee payment

Payment date: 20130822

Year of fee payment: 15

FPAY Annual fee payment

Payment date: 20140825

Year of fee payment: 16

FPAY Annual fee payment

Payment date: 20150730

Year of fee payment: 17

EXPY Expiration of term