JPWO2003007357A1 - ドライエッチング方法 - Google Patents

ドライエッチング方法 Download PDF

Info

Publication number
JPWO2003007357A1
JPWO2003007357A1 JP2003513026A JP2003513026A JPWO2003007357A1 JP WO2003007357 A1 JPWO2003007357 A1 JP WO2003007357A1 JP 2003513026 A JP2003513026 A JP 2003513026A JP 2003513026 A JP2003513026 A JP 2003513026A JP WO2003007357 A1 JPWO2003007357 A1 JP WO2003007357A1
Authority
JP
Japan
Prior art keywords
dry etching
etching method
gas
mask layer
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2003513026A
Other languages
English (en)
Other versions
JP4133810B2 (ja
Inventor
清水 昭貴
昭貴 清水
敬 鶴田
敬 鶴田
榎本 隆
隆 榎本
広実 岡
広実 岡
明輝 高
明輝 高
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JPWO2003007357A1 publication Critical patent/JPWO2003007357A1/ja
Application granted granted Critical
Publication of JP4133810B2 publication Critical patent/JP4133810B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

エッチングガスとしてCF4+O2のガスを使用し、レジストからなり所定形状にパターニングされたマスク層105を介して、プラズマエッチングにより有機系反射防止膜104をエッチングする。これにより、従来に比べて、有機系反射防止膜の側壁部分の形状を良好な状態にエッチングすることができる。

Description

技術分野
本発明は、半導体装置の製造におけるドライエッチング方法に係り、特に、フォトレジストからなるマスク層を介して、有機系反射防止膜をエッチングするドライエッチング方法に関する。
背景技術
近年、半導体装置の製造分野においては、ステッパ等による露光の際に、レジスト膜内での多重干渉に起因する定在波の発生を防止する等のために、反射防止膜(ARC(Anti−Refrecting Coating)膜)が多用されている。かかる反射防止膜の一つとして、従来から有機系高分子を用いた有機系反射防止膜が用いられている。
図8は、上記有機系反射防止膜を用いた半導体装置の製造工程の一例を示すものである。
図8(a)に示すように、半導体ウエハ(シリコン基板)201上には、シリコン酸化膜等からなる絶縁層202、ポリシリコン等からなる導体層203が形成されており、この導体層203上に、スピンコーティング等の方法によって、有機系反射防止膜204と、フォトレジストからなるマスク層205が形成されている。
そして、まず、ステッパ等による露光工程、及び、現像装置による現像工程により、フォトレジストからなるマスク層205を露光、現像し、図8(b)に示すように、マスク層205を所定のパターンに形成する。この後、ClガスとOガスとからなるエッチングガスを使用したプラズマエッチングにより、マスク層205を介して有機系反射防止膜204をエッチングし、図8(c)に示すように、有機系反射防止膜204を所定のパターンにパターニングする。
しかる後、マスク層205及び有機系反射防止膜204を介して、導体層203をエッチングして、所定の形状にパターニングし、この後、マスク層205及び有機系反射防止膜204をアッシングにより除去する(図示せず)。
上述したとおり、従来では、ClガスとOガスとからなるエッチングガスを使用したプラズマエッチングにより、有機系反射防止膜のエッチングが行われている。
しかしながら、かかる従来の方法では、図8(c)に示すように、有機系反射防止膜204の側壁部分204aがテーパ状となり、側壁部分の形状を垂直にできないという問題がある。
さらに、図9に示すように、形成されるパターンの形状が、隣接するパターン同士が近接して密に配置された部分(図中左側部分)と、隣接するパターン同士か離間して疎に配置された部分(図中右側部分)を有する場合には、上記の側壁部分の形状が、パターンが密に配置された部分と、疎に配置された部分とによって、異なる傾向がある。
つまり、図9に示す例では、パターンが密に配置された部分より、パターンが疎に配置された部分において、側壁部分のテーパ形状が著しくなる傾向がある。
さらにまた、かかる側壁部分の形状は、一枚の半導体ウエハの面内であっても、その中央部と周縁部で異なる傾向もある。
このため、例えば、エッチングガスの流量や圧力、エッチング中の半導体ウエハの温度、平行平板型のプラズマエッチング装置における電極に印加する高周波電力等のエッチング条件を調整して、上記の側壁部分の形状を制御しようとしても、半導体ウエハの中央部、周縁部、及び、パターンの疎部分、密部分の全ての部位において、側壁部分の形状が良好になるようにエッチングすることが、困難であった。
発明の開示
そこで、本発明の目的は、従来に較べて、有機系反射防止膜の側壁部分の形状を良好な状態にエッチングすることのできるドライエッチング方法を提供することにある。
本発明のドライエッチング方法は、所定のパターンに形成されたマスク層を介して、有機系反射防止膜をエッチングするドライエッチング方法であって、CFガスとOガスとを含むエッチングガスを用いたプラズマエッチングにより、前記有機系反射防止膜をエッチングすることを特徴とする。
また、本発明は、上記のドライエッチング方法で、前記所定のパターンが、隣接するパターン同士が近接して密に配置された部分と、隣接するパターン同士が離間して疎に配置された部分を有することを特徴とする。
また、本発明は、上記のドライエッチング方法で、前記マスク層が、フォトレジストからなることを特徴とする。
また、本発明は、上記のドライエッチング方法で、前記マスク層が、ArFフォトレジストからなることを特徴とする。
また、本発明は、上記のドライエッチング方法で、前記マスク層及び前記有機系反射防止膜を、横方向にエッチングして、線幅のトリミングを行うことを特徴とする。
また、本発明のドライエッチング方法は、隣接するパターン同士が近接して密に配置された部分と隣接するパターン同士が離間して疎に配置された部分を有する所定パターンに形成されたマスク層を介して、有機系反射防止膜をエッチングするドライエッチング方法であって、NガスとOガスとを含むエッチングガスを用いたプラズマエッチングにより、前記有機系反射防止膜をエッチングすることを特徴とする。
また、本発明のドライエッチング方法は、前記エッチングガスが、NガスとOガスとを略同量含むことを特徴とする。
また、本発明は、上記のドライエッチング方法で、前記マスク層及び前記有機系反射防止膜を、横方向にエッチングして、線幅のトリミングを行うことを特徴とする。
また、本発明は、上記のドライエッチング方法で、前記マスク層が、フォトレジストからなることを特徴とする。
また、本発明のドライエッチング方法は、所定のパターンに形成された少なくとも一つのマスク層を介して、有機系反射防止膜をエッチングするドライエッチング方法であって、前記少なくとも一つのマスク層を横方向にエッチングして、線幅のトリミングを行うことを特徴とする。また、本発明は、上記のドライエッチング方法で、前記マスク層が、フォトレジストからなることを特徴とする。
また、本発明は、上記のドライエッチング方法で、エッチングガスとして、NガスとOガスとを含むエッチングガスを用いることを特徴とする。
また、本発明は、上記のドライエッチング方法で、前記マスク層が、ArFフォトレジストからなることを特徴とする。
また、本発明は、上記のドライエッチング方法で、エッチングガスとして、CFガスとOガスとを含むエッチングガスを用いることを特徴とする。
また、本発明は、上記のドライエッチング方法で、エッチングガスの総流量に対するOガスの流量比(O流量/(CF流量+O流量))を変化させてトリミング量を制御することを特徴とする。
また、本発明は、上記のドライエッチング方法で、下部電極に印加する高周波電力の電力密度を変化させてトリミング量を制御することを特徴とする。
発明を実施するための最良の形態
以下、本発明の詳細を、図面を参照して実施の形態について説明する。図1は、本発明の一実施形態を説明するため、半導体ウエハ(シリコン基板)の縦断面の一部を拡大して模式的に示すものである。
同図(a)に示すとおり、半導体ウエハ(シリコン基板)101上には、シリコン酸化膜等からなる絶縁層102、ポリシリコン等からなる導体層103が形成されており、この導体層103上に、スピンコーティング等の方法によって、有機系反射防止膜104と、フォトレジストからなるマスク層105が形成されている。
そして、まず、ステッパ等による露光工程、及び、現像装置による現像工程により、フォトレジストからなるマスク層105を露光、現像し、図1(b)に示すように、マスク層105を所定のパターンに形成する。この後、図1(b)に示す状態から、CFガスとOガスとからなるエッチングガスを使用したプラズマエッチングにより、マスク層105を介して有機系反射防止膜104をエッチングし、図1(c)に示すように、有機系反射防止膜104を所定のパターンにパターニングする。なお、上記有機系反射防止膜104のエッチング工程の後、マスク層105及び有機系反射防止膜104を介して、導体層103をエッチングして、所定の形状にパターニングし、この後、マスク層105及び有機系反射防止膜104をアッシングにより除去する。
図2は、本発明の実施形態に使用するプラズマ処理装置(エッチング装置)の構成の一例を模式的に示すものである。同図に示すように、エッチング装置1は、電極板が上下平行に対向し、一方にプラズマ形成用電源が接続された容量結合型平行平板エッチング装置として構成されている。
このエッチング装置1は、例えば表面が陽極酸化処理(アルマイト処理)されたアルミニウムからなる円筒形状に成形されたチャンバー2を有しており、このチャンバー2は接地されている。チャンバー2内の底部にはセラミックなどの絶縁板3を介して、ウエハWを載置するための略円柱状のサセプタ支持台4が設けられている。このサセプタ支持台4の上には、下部電極を構成するサセプタ5が設けられている。このサセプタ5にはハイパスフィルター(HPF)6が接続されている。
サセプタ支持台4の内部には、温度調節媒体室7が設けられている。そして、導入管8を介して温度調節媒体室7に温度調節媒体が導入、循環され、排出管9から排出される。このような温度調節媒体の循環により、サセプタ5を所望の温度に制御できるようになっている。
サセプタ5は、その上側中央部が凸状の円板状に成形され、その上にウエハWと略同形の静電チャック11が設けられている。静電チャック11は、絶縁材の間に電極12が介在された構成となっている。静電チャック11は、電極12に接続された直流電源13から例えば1.5kVの直流電圧が印加されることにより、クーロン力によってウエハWを静電吸着する。
そして、絶縁板3、サセプタ支持台4、サセプタ5、さらには静電チャック11には、被処理体であるウエハWの裏面に、伝熱媒体(例えばHeガスなど)を供給するためのガス通路14が形成されており、この伝熱媒体を介してサセプタ5とウエハWとの間の熱伝達がなされ、ウエハWが所定の温度に維持されるようになつている。
サセプタ5の上端周縁部には、静電チャック11上に載置されたウエハWを囲むように、環状のフォーカスリング15が配置されている。このフォーカスリング15は、セラミックス或いは石英などの絶縁性材料或いは導電性材料からなり、エッチングの均一性を向上させるようになっている。
また、サセプタ5の上方には、このサセプタ5と平行に対向して上部電極21が設けられている。この上部電極21は、絶縁材22を介して、チャンバー2の内部に支持されている。上部電極21は、サセプタ5との対向面を構成し多数の吐出孔23を有する電極板24(例えば石英からなる)と、この電極24を支持する電極支持体25(導電性材料例えば表面がアルマイト処理されたアルミニウムからなる)とによって構成されている。なお、サセプタ5と上部電極21との間隔は、調節可能とされている。
上部電極21における電極支持体25の中央には、ガス導入口26が設けられている。このガス導入口26には、ガス供給管27が接続されている。さらにこのガス供給管27には、バルブ28、並びにマスフローコントローラ29を介して、処理ガス供給源30が接続されている。この処理ガス供給源30から、プラズマエッチングのためのエッチングガスが供給されるようになっている。なお、図2には、上記の処理ガス供給源30等からなる処理ガス供給系を1つのみ図示しているが、これらの処理ガス供給系は複数設けられており、例えば、CF、O、N、CHF等のガスを夫々独立に流量制御して、チャンバー2内に供給できるよう構成されている。
一方、チャンバー2の底部には排気管31が接続されており、この排気管31には排気装置35か接続されている。排気装置35はターボ分子ポンプなどの真空ポンプを備えており、チャンバー2内を所定の減圧雰囲気(例えば0.67Pa以下)まで真空引き可能に構成されている。また、チャンバー2の側壁にはゲートバルブ32が設けられている。このゲートバルブ32を開にした状態で、ウエハWが、隣接するロードロック室(図示せず)との間で搬送されるようになっている。
上部電極21には、第1の高周波電源40が接続されており、その給電線には整合器41が介挿されている。また、上部電極21にはローパスフィルター(LPF)42が接続されている。この第1の高周波電源40は、50〜150MHzの範囲の周波数を有している。このように高い周波数の電力を印加することにより、チャンバー2内に好ましい解離状態でかつ高密度のプラズマを形成することができ、従来より低圧条件下のプラズマ処理が可能となる。この第1の高周波電源40の周波数は、50〜80MHzが好ましく、典型的には図示した60MHzまたはその近傍の周波数が採用される。
下部電極としてのサセプタ5には、第2の高周波電源50が接続されており、その給電線には整合器51が介挿されている。この第2の高周波電源50は、数百kHz〜十数MHzの範囲の周波数を有している。このような範囲の周波数を印加することにより、被処理体であるウエハWに対してダメージを与えることなく適切なイオン作用を与えることができる。第2の高周波電源50の周波数は、典型的には図示した13.56MHZまたは800KHz等の周波数が採用される。
次に、上記構成のエッチング装置1によって、ウエハWに形成された有機系反射防止膜104を、フォトレジストからなるマスク層105を介して所定のパターンにエッチングする工程について説明する。
まず、前述したように、有機系反射防止膜104、及び、所定パターンにパターニングされたマスク層105等が形成されたウエハWを、ゲートバルブ32を開放して、図示しないロードロック室からチャンバー2内へ搬入し、静電チャック11上に載置する。そして、直流電源13から直流電圧を印加することによって、ウエハWを静電チャック11上に静電吸着する。
次いで、ゲートバルブ32を閉じ、排気装置35によって、チャンバー2内を所定の真空度まで真空引する。この後、バルブ28を開放し、処理ガス供給源30からCF+Oのエッチングガスを、マスフローコントローラ29によってその流量を調整しつつ、処理ガス供給管27、ガス導入口26、上部電極21の中空部、電極板24の吐出孔23を通じて、図2の矢印に示すように、ウエハWに対して均一に吐出させる。これとともに、チャンバー2内の圧力が、所定の圧力に維持される。そして、第1の高周波電源40及び第2の高周波電源50から、上部電極21及び下部電極としてのサセプタ5に高周波電圧を印加し、エッチングガスをプラズマ化して、ウエハWの有機系反射防止膜104のエッチングを行い、前述した図1(c)の状態となった時点でエッチング工程を終了する。
上述の工程により、図3に示すように、所定のパターンが、隣接するパターン同士が近接して密に配置された部分(図中左側部分、ライン:スペース=1:1)と、隣接するパターン同士が離間して疎に配置された部分(図中右側部分、ライン:スペース=1:10以上)とを有する形状のパターンとされた200mmウエハを、第1の実施例として、以下の条件、
エッチングガス:CF(流量35SCCM)+O(流量5SCCM)
CFの流量 :Oの流量=7:1
圧力:0.67Pa(5mTorr)
上部電極印加高周波電力:325W
下部電極印加高周波電力:30W
電極間距離 :80mm
サセプタ温度:80℃
でエッチングを行った。
上記第1の実施例のエッチング工程によって得られた有機系反射防止膜のパターンについて、SEM(走査電子顕微鏡)で観察したところ、図3に示すように、有機系反射防止膜104の側壁部分の形状が、略垂直な良好な形状であった。また、このような良好な形状は、隣接するパターン同士が近接して密に配置された部分、及び、隣接するパターン同士が離間して疎に配置された部分のいずれにおいても、さらに、ウエハの中央部、周縁部のいずれにおいても変わらなかった。また、かかる有機系反射防止膜のパターンについて、設計値の線幅と、実際にエッチングによって形成されたパターンの線幅とのずれ(CDシフト)を、ウエハの面内の各部(ウエハの中央部と周縁部)において、隣接するパターン同士が近接して密に配置された部分、及び、隣接するパターン同士が離間して疎に配置された部分の双方で測定した。
図4のグラフは、この測定結果を示すもので、縦軸はCDシフト(nm)、横軸はウエハ面内における径方向位置(ウエハ中心からの距離)(mm)を示している。
また、図4のグラフにおいて、実線Aは隣接するパターン同士が近接して密に配置された部分のX方向の線幅の測定結果、実線Bは隣接するパターン同士が離間して疎に配置された部分のX方向の線幅の測定結果、実線Cは隣接するパターン同士が近接して密に配置された部分のY方向の線幅の測定結果、実線Dは隣接するパターン同士が離間して疎に配置された部分のY方向の線幅の測定結果を、夫々示している。
同図に示すように、第1の実施例においては、パターンが密に配置された部分と疎に配置された部分との線幅のばらつきも小さく、ウエハ面内における線幅のばらつきも小さかった。CDシフトの平均値は、−19.8nmであり、パターンが密に配置された部分と疎に配置された部分との線幅の差は4.4nmであった。
比較のために、従来から使用されているCl+Oからなるエッチングガスを使用して、上記と同様なパターンの有機系反射防止膜のエッチングを以下の条件、
エッチングガス:Cl(流量10SCCM)+O(流量30SCCM)
圧力:0.67Pa(5mTorr)
上部電極印加高周波電力:325W
下部電極印加高周波電力:30W
電極間距離 :80mm
サセプタ温度:80℃
で行った。
上記エッチングによって得られた有機系反射防止膜のパターンについて、SEM(走査電子顕微鏡)で観察したところ、図9に示したように、有機系反射防止膜204の側壁部分の形状が、テーパ状となった。
また、かかる有機系反射防止膜のパターンについて、設計値の線幅と、実際にエッチングによって形成されたパターンの線幅とのずれ(CDシフト)を測定した結果を、図4のグラフと同様に表した図10のグラフに示す。
同図に示すように、図4のグラフに示した前述の実施例の場合と比較して、比較例においては、パターンが密に配置された部分と疎に配置された部分との線幅のばらつきも大きく、ウエハ面内における線幅のばらつきも大きかった。CDシフトの平均値は、−29.9nmであり、パターンが密に配置された部分と疎に配置された部分との線幅の差は14.6nmであった。
次に、第2の実施例として、前述したCF+Oのエッチングガスを、N+Oのエッチングガスに変更し、図3に示すように、所定のパターンが、隣接するパターン同士が近接して密に配置された部分(図中左側部分)と、隣接するパターン同士が離間して疎に配置された部分(図中右側部分)とを有する形状のパターンとされたものを、以下の条件、
エッチングガス:N(流量20SCCM)+O(流量20SCCM)
圧力:0.67Pa(5mTorr)
上部電極印加高周波電力:325W
下部電極印加高周波電力:30W
電極間距離 :80mm
サセプタ温度:80℃
でエッチングを行った。
上記第2の実施例のエッチング工程によって得られた有機系反射防止膜のパターンについて、SEM(走査電子顕微鏡)で観察したところ、前述した第1の実施例と同様に、有機系反射防止膜の側壁部分の形状を、隣接するパターン同士が近接して密に配置された部分、及び、隣接するパターン同士が離間して疎に配置された部分のいずれにおいても、略垂直な良好な形状とすることができた。
また、かかる有機系反射防止膜のパターンについて、設計値の線幅と、実際にエッチングによって形成されたパターンの線幅とのずれ(CDシフト)を測定した結果を、図4のグラフと同様に表した図5のグラフに示す。
図5のグラフに示されるとおり、第2の実施例においても、前述した第1の実施例と同様に、パターンが密に配置された部分と疎に配置された部分との線幅のばらつきが小さく、ウエハ面内における線幅のばらつきも小さかった。CDシフトの平均値は、−50.3nmであり、パターンが密に配置された部分と疎に配置された部分との線幅の差は2.6nmであった。
また、この第2の実施例では、上記したように、CDシフトの平均値が−50.3nmと大きい。このため、設計値の線幅より、実際の線幅が細くなるが、予め、マスク層の線幅を広く設定しておき、この線幅をエッチング工程によって狭くする(トリミングする)ことにより、マスク層の露光、現像工程において、無理にマスク層の線幅を細くすることなく、エッチング工程におけるトリミングによって、結果として、線幅の細い配線等を形成することができる。
すなわち、上記第2の実施例におけるエッチング工程によれば、露光工程、現像工程において形成するフォトレジストからなるマスク層の線幅を、予め、実際の線幅より50nm太くしておくことにより、エッチング工程におけるトリミングで、必要とされる細い線幅の配線等を形成することができる。
ところで、近年では、ArFガスを発光源としたレーザー光で露光するレジスト、所謂ArFレジストが使用されるようになっている。このArFレジストは、従来のKrFレジストに比べてより微細なパターンを形成できる。しかし、耐プラズマ性が低いので、レジスト表面荒れが生じたり、被エッチング部との選択比(エッチング速度比)が小さく、また、レジスト倒れが生じるという問題がある。なお、ArFレジストを構成する主要な物質は、例えば、シクロオレフィン樹脂、脂環族含有アクリル樹脂、シクロオレフィン−無水マレイン酸樹脂、等である。
このようなArFレジストを用いた場合にも、本発明を適用することができる。以下、このようなArFレジストを用いた実施形態について説明する。
図6は、ArFレジストを用いた実施形態を説明するため、半導体ウエハ(シリコン基板)の縦断面の一部を拡大して模式的に示すものである。
図6に示す半導体ウエハでは、図6(a)に示すとおり、ポリシリコン膜121上に、シリコン酸化膜122が所定膜厚で(本実施形態では50nm)形成され、このシリコン酸化膜122上に有機系反射防止膜123が所定膜厚で(本実施形態では80nm)形成されている。また、有機系反射防止膜123の上には、前述したような露光工程、現像工程を経て所定のパターンにパターニングされた所定膜厚の(本実施形態では240nm)ArFレジスト124が形成されている。なお、本実施形態では、ArFレジスト124の線幅(図中dで示す)は、80nmとされている。
そして、図6(a)に示す状態から、まずCFガスとOガスとからなるエッチングガスを使用したプラズマエッチングにより、ArFレジスト124(マスク層)を介して有機系反射防止膜123をエッチングし、図6(b)に示すように、有機系反射防止膜123を所定のパターンにパターニングする。
この後、図6(b)に示す状態から、ArFレジスト124(マスク層)及び有機系反射防止膜123を介して、シリコン酸化膜122を、CFガスとCHFガスとからなるエッチングガスを使用したプラズマエッチングにより、図6(c)に示すように、所定のパターンにパターニングする。
そして、この後、ArFレジスト124及び有機系反射防止膜123をアッシング等により除去する。
以上の工程により、有機系反射防止膜123及びシリコン酸化膜122をエッチングすることにより、ArFレジスト124の表面荒れや、レジスト倒れを起こすことなく、シリコン酸化膜122をエッチングすることができる。
また、有機系反射防止膜123のエッチング工程では、前述したトリミングを行うことができるが、このトリミング量の制御も容易に行うことができ、また、シリコン酸化膜122のエッチング工程では、このトリミングされた線幅をほとんど変化させることなく、エッチングを行うことができる。
上述の工程により、直径200mmのウエハを、第3の実施例として、以下の条件でエッチングを行った。また、エッチングガスの総流量(CF+O)に対するOガスの流量比を変化させた際のトリミング量の変化を調べるため、[O流量/(CF+O)流量]を変更して、複数回のエッチングを行った。
(有機系反射防止膜のエッチング)
エッチングガス:CF+O(総流量40sccm)
圧力:0.67Pa(5mTorr)
上部電極印加高周波電力:300W
下部電極印加高周波電力:60W
電極間距離:140mm
温度(トップ/ウォール/ボトム):80/60/75℃
Heガス圧力(センター/エッジ):400/400Pa(3Torr) オーバーエッチング:10%
(シリコン酸化膜のエッチング)
エッチングガス:CF(流量20sccm)+CHF(流量20sccm) 圧力:5.3Pa(40mTorr)
上部電極印加高周波電力:600W
下部電極印加高周波電力:100W
電極間距離:140mm
温度(トップ/ウォール/ボトム):80/30/65℃
Heガス圧力(センター/エッジ):1300/1300Pa(10Torr)オーバーエッチング:10%
上記第3の実施例のエッチング工程によって得られたパターンについて、SEM(走査電子顕微鏡)で観察したところ、ArFレジストの表面荒れや、レジスト倒れを起こすことなく、有機系反射防止膜及びシリコン酸化膜をエッチングすることができた。
また、[O流量/(CF+O)流量]を変更することにより、有機系反射防止膜のトリミング量の制御を、容易に行うことができた。このトリミング量の制御の結果を図7に示す。図7のグラフは、縦軸をトリミング量(nm)、横軸を[O流量/(CF+O)流量]の比(%)として、これらの関係を示すもので、図中三角形の印で、第3の実施例の結果を示してある。なお、この場合、下部電極に印加された単位面積当たりの高周波電力量(RF電力密度)は、0.19W/cmである。同図に示されるように、[O流量/(CF+O)流量]を変化させることによって、略直線状にトリミング量を変化させることができた。
また、シリコン酸化膜のエッチング工程では、線幅をほとんど変化させることなく(トリミング量が少なく)、エッチングを行うことができた。このシリコン酸化膜のエッチング工程におけるトリミング量(線幅減少量)は、平均で−0.2nmであった。
次に、上記第3の実施例と同様な有機系反射防止膜のエッチングを、直径300mmのウエハについて、第4の実施例として、以下の条件で行った。
(有機系反射防止膜のエッチング)
エッチングガス:CF+O(総流量80sccm)
圧力:0.93Pa(7mTorr)
上部電極印加高周波電力:100W
下部電極印加高周波電力:400W
電極間距離:170mm
温度(トップ/ウォール/ボトム):80/60/75℃
Heガス圧力(センター/エッジ):670/670Pa(5Torr)オーバーエッチング:10%
上記第4の実施例における、トリミング量(nm)と、[O流量/(CF+O)流量]の比(%)の関係を、前述した図7のグラフに円形の印で示す。同図に示されるように、第4の実施例においても、[O流量/(CF+O)流量]を変化させることによって、略直線状にトリミング量を変化させることができた。
ところで、この第4の実施例における下部電極に印加された単位面積当たりの高周波電力量(RF電力密度)は、0.57W/cmである。この値は、前述した第3の実施例における0.19W/cmより高い。そして、この結果、図7に示されるように、トリミング量は、第3の実施例と比べると相対的に増加している。これは、下部電極に印加する電力密度が大きいほど、イオンエネルギーが増加し、横方向へのエッチング(トリミング)が進行するためと考えられる。
したがって、[O流量/(CF+O)流量]を一定とし、下部電極に印加する高周波電力の電力量(電力密度)を変えることによっても、トリミング量を制御することができる。
なお、上記の各例では、平行平板型であって、上部電極と下部電極の双方に高周波電力を供給してエッチングを行うエッチング装置を使用した実施形態について説明したが、本発明はかかる実施形態に限定されるものではなく、あらゆるプラズマエッチング装置を使用できること、例えば、上部電極、下部電極の一方の電極のみに高周波電力を供給する方式のエッチング装置、磁場を用いてプラズマを制御するエッチング装置等を使用できることは、勿論である。
産業上の利用可能性
本発明に係るドライエッチング方法は、半導体装置の製造を行う半導体製造産業等において使用することが可能である。したがって、本発明は産業上の利用可能性を有する。
【図面の簡単な説明】
図1は、本発明の一実施形態を説明するためのウエハ断面の構成を模式的に示す図。
図2は、本発明の一実施形態に使用する装置の構成の例を示す図。
図3は、本発明の一実施形態におけるパターンの構成を説明するための図。
図4は、第1の実施例におけるCDシフトの測定結果を示す図。
図5は、第2の実施例におけるCDシフトの測定結果を示す図。
図6は、本発明の他の実施形態を説明するためのウエハ断面の構成を模式的に示す図。
図7は、ガス流量比とトリミング量の関係の測定結果を示す図。
図8は、従来の技術を説明するためのウエハ断面の構成を模式的に示す図。
図9は、従来の技術におけるパターンの構成を説明するための図。
図10は、比較例におけるCDシフトの測定結果を示す図。
【0003】
の形状を制御しようとしても、半導体ウエハの中央部、周縁部、及び、パターンの疎部分、密部分の全ての部位において、側壁部分の形状が良好になるようにエッチングすることが、困難であった。
発明の開示
そこで、本発明の目的は、従来に較べて、有機系反射防止膜の側壁部分の形状を良好な状態にエッチングすることのできるドライエッチング方法を提供することにある。
本発明のドライエッチング方法は、所定のパターンに形成されたArFフォトレジストからなるマスク層を介して、有機系反射防止膜をエッチングするドライエッチング方法であって、CFガスとOガスとを含むエッチングガスを用いたプラズマエッチングにより、前記有機系反射防止膜をエッチングすることを特徴とする。
また、本発明のドライエッチング方法は、所定のパターンに形成されたマスク層を介して、有機系反射防止膜をエッチングするドライエッチング方法であって、CFガスとOガスとを含むエッチングガスを用いたプラズマエッチングにより、前記有機系反射防止膜をエッチングするとともに、前記マスク層及び前記有機系反射防止膜を、横方向にエッチングして、線幅のトリミングを行うことを特徴とする。
【0004】
また、本発明のドライエッチング方法は、隣接するパターン同士が近接して密に配置された部分と隣接するパターン同士が離間して疎に配置された部分を有する所定パターンに形成されたマスク層を介して、有機系反射防止膜をエッチングするドライエッチング方法であって、NガスとOガスとを含むエッチングガスを用いたプラズマエッチングにより、前記有機系反射防止膜をエッチングするとともに、前記マスク層及び前記有機系反射防止膜を、横方向にエッチングして、線幅のトリミングを行うことを特徴とする。
また、本発明のドライエッチング方法は、所定のパターンに形成された少なくとも一つのマスク層を介して、有機系反射防止膜をエッチングするドライエッチング方法であって、前記少なくとも一つのマスク層を横方向にエッチングして、線幅のトリミングを行うことを特徴とする。また、本発明は、上記のドライエッチング方法で、前記マスク層が、フォトレジストからなることを特徴とする。
また、本発明は、上記のドライエッチング方法で、エッチングガスとして、NガスとOガスとを含むエッチングガスを用いることを特徴とする。
また、本発明は、上記のドライエッチング方法で、前記マスク層が、ArFフォトレジストからなることを特徴とする。
また、本発明は、上記のドライエッチング方法で、エッチングガスとして、CFガスとOガスとを含むエッチングガスを用いることを特徴とする。
また、本発明は、上記のドライエッチング方法で、エッチングガスの

Claims (16)

  1. 所定のパターンに形成されたマスク層を介して、有機系反射防止膜をエッチングするドライエッチング方法であって、
    CFガスとOガスとを含むエッチングガスを用いたプラズマエッチングにより、前記有機系反射防止膜をエッチングすることを特徴とするドライエッチング方法。
  2. 請求項1記載のドライエッチング方法において、
    前記所定のパターンは、隣接するパターン同士が近接して密に配置された部分と、隣接するパターン同士が離間して疎に配置された部分を有することを特徴とするドライエッチング方法。
  3. 請求項1記載のドライエッチング方法において、
    前記マスク層が、フォトレジストからなることを特徴とするドライエッチング方法。
  4. 請求項4記載のドライエッチング方法において、
    前記マスク層が、ArFフォトレジストからなることを特徴とするドライエッチング方法。
  5. 請求項1記載のドライエッチング方法において、
    前記マスク層及び前記有機系反射防止膜を、横方向にエッチングして、線幅のトリミングを行うことを特徴とするドライエッチング方法。
  6. 隣接するパターン同士が近接して密に配置された部分と隣接するパターン同士が離間して疎に配置された部分を有する所定パターンに形成されたマスク層を介して、有機系反射防止膜をエッチングするドライエッチング方法であって、
    ガスとOガスとを含むエッチングガスを用いたプラズマエッチングにより、前記有機系反射防止膜をエッチングすることを特徴とするドライエッチング方法。
  7. 請求項6記載のドライエッチング方法において、
    前記エッチングガスが、NガスとOガスとを略同量含むことを特徴とするドライエッチング方法。
  8. 請求項6記載のドライエッチング方法において、
    前記マスク層が、フォトレジストからなることを特徴とするドライエッチング方法。
  9. 請求項6記載のドライエッチング方法において、
    前記マスク層及び前記有機系反射防止膜を、横方向にエッチングして、線幅のトリミングを行うことを特徴とするドライエッチング方法。
  10. 所定のパターンに形成された少なくとも一つのマスク層を介して、有機系反射防止膜をエッチングするドライエッチング方法であって、前記少なくとも一つのマスク層を横方向にエッチングして、線幅のトリミングを行うことを特徴とするドライエッチング方法。
  11. 請求項10記載のドライエッチング方法において、
    前記マスク層が、フォトレジストからなることを特徴とするドライエッチング方法。
  12. 請求項10記載のドライエッチング方法において、
    エッチングガスとして、NガスとOガスとを含むエッチングガスを用いることを特徴とするドライエッチング方法。
  13. 請求項11記載のドライエッチング方法において、
    前記マスク層が、ArFフォトレジストからなることを特徴とするドライエッチング方法。
  14. 請求項13記載のドライエッチング方法において、
    エッチングガスとして、CFガスとOガスとを含むエッチングガスを用いることを特徴とするドライエッチング方法。
  15. 請求項13記載のドライエッチング方法において、
    エッチングガスの総流量に対するOガスの流量比(O流量/(CF流量+O流量))を変化させてトリミング量を制御することを特徴とするドライエッチング方法。
  16. 請求項13記載のドライエッチング方法において、
    下部電極に印加する高周波電力の電力密度を変化させてトリミング量を制御することを特徴とするドライエッチング方法。
JP2003513026A 2001-07-10 2002-07-05 ドライエッチング方法 Expired - Fee Related JP4133810B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2001210034 2001-07-10
JP2001210034 2001-07-10
PCT/JP2002/006830 WO2003007357A1 (fr) 2001-07-10 2002-07-05 Procede de gravure a sec

Publications (2)

Publication Number Publication Date
JPWO2003007357A1 true JPWO2003007357A1 (ja) 2004-11-04
JP4133810B2 JP4133810B2 (ja) 2008-08-13

Family

ID=19045586

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003513026A Expired - Fee Related JP4133810B2 (ja) 2001-07-10 2002-07-05 ドライエッチング方法

Country Status (5)

Country Link
US (1) US7179752B2 (ja)
JP (1) JP4133810B2 (ja)
CN (1) CN1277293C (ja)
TW (1) TWI270937B (ja)
WO (1) WO2003007357A1 (ja)

Families Citing this family (350)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4538209B2 (ja) * 2003-08-28 2010-09-08 株式会社日立ハイテクノロジーズ 半導体装置の製造方法
JP4727171B2 (ja) * 2003-09-29 2011-07-20 東京エレクトロン株式会社 エッチング方法
US7261745B2 (en) * 2003-09-30 2007-08-28 Agere Systems Inc. Real-time gate etch critical dimension control by oxygen monitoring
SG147285A1 (en) * 2004-04-07 2008-11-28 Sensfab Pte Ltd Dry reactive ion etching
CN100365772C (zh) * 2004-06-16 2008-01-30 东京毅力科创株式会社 半导体装置的制造方法
US7723238B2 (en) * 2004-06-16 2010-05-25 Tokyo Electron Limited Method for preventing striation at a sidewall of an opening of a resist during an etching process
US7097779B2 (en) * 2004-07-06 2006-08-29 Tokyo Electron Limited Processing system and method for chemically treating a TERA layer
US7398505B2 (en) * 2006-02-07 2008-07-08 International Business Machines Corporation Automatic back annotation of a functional definition of an integrated circuit design based upon physical layout
JP2007234770A (ja) * 2006-02-28 2007-09-13 Tokyo Electron Ltd プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体
US7943523B2 (en) * 2006-02-28 2011-05-17 Tokyo Electron Limited Plasma etching method and computer readable storage medium
US20070238304A1 (en) * 2006-04-11 2007-10-11 Jui-Hung Wu Method of etching passivation layer
JP5046639B2 (ja) * 2006-08-23 2012-10-10 富士フイルム株式会社 カラーフィルタの製造方法
JP5403897B2 (ja) * 2007-02-07 2014-01-29 富士フイルム株式会社 固体撮像素子用カラーフィルタの製造方法
JP4861947B2 (ja) * 2007-09-26 2012-01-25 株式会社日立ハイテクノロジーズ Al2O3膜のドライエッチング方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP2010217409A (ja) * 2009-03-16 2010-09-30 Fujifilm Corp カラーフィルタの製造方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8298951B1 (en) 2011-04-13 2012-10-30 Asm Japan K.K. Footing reduction using etch-selective layer
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5699064B2 (ja) * 2011-09-29 2015-04-08 富士フイルム株式会社 カラーフィルタの製造方法
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
KR102249196B1 (ko) * 2014-10-06 2021-05-11 삼성전자주식회사 반도체 소자의 미세 패턴의 형성을 위한 식각 공정의 제어 방법
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4066A (en) * 1845-06-02 Double bellows
US3997367A (en) * 1975-11-20 1976-12-14 Bell Telephone Laboratories, Incorporated Method for making transistors
US6143476A (en) * 1997-12-12 2000-11-07 Applied Materials Inc Method for high temperature etching of patterned layers using an organic mask stack
JP3247096B2 (ja) 1998-09-04 2002-01-15 松下電器産業株式会社 プラズマエッチング装置及びプラズマエッチング方法
JP2000091318A (ja) 1998-09-09 2000-03-31 Fujitsu Ltd 半導体装置の製造方法
TW417165B (en) * 1999-06-23 2001-01-01 Taiwan Semiconductor Mfg Manufacturing method for reducing the critical dimension of the wire and gap
JP3990076B2 (ja) 1999-06-30 2007-10-10 株式会社東芝 半導体装置の製造方法
JP2001176855A (ja) * 1999-12-16 2001-06-29 Tokyo Electron Ltd 基板処理方法および基板処理装置
US6864041B2 (en) * 2001-05-02 2005-03-08 International Business Machines Corporation Gate linewidth tailoring and critical dimension control for sub-100 nm devices using plasma etching
WO2002095800A2 (en) * 2001-05-22 2002-11-28 Reflectivity, Inc. A method for making a micromechanical device by removing a sacrificial layer with multiple sequential etchants
US6794230B2 (en) * 2002-10-31 2004-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Approach to improve line end shortening

Also Published As

Publication number Publication date
CN1535474A (zh) 2004-10-06
WO2003007357A1 (fr) 2003-01-23
US7179752B2 (en) 2007-02-20
US20040214445A1 (en) 2004-10-28
CN1277293C (zh) 2006-09-27
JP4133810B2 (ja) 2008-08-13
TWI270937B (en) 2007-01-11

Similar Documents

Publication Publication Date Title
JP4133810B2 (ja) ドライエッチング方法
JP4652140B2 (ja) プラズマエッチング方法、制御プログラム、コンピュータ記憶媒体
US7256135B2 (en) Etching method and computer storage medium storing program for controlling same
TWI450317B (zh) 光罩圖案之形成方法及半導體裝置之製造方法
US7723238B2 (en) Method for preventing striation at a sidewall of an opening of a resist during an etching process
JP4351806B2 (ja) フォトレジストマスクを使用してエッチングするための改良技術
JP4722550B2 (ja) 半導体装置の製造方法
JP4522892B2 (ja) 微細パターン形成方法
US7285498B2 (en) Etching method
KR100593826B1 (ko) 드라이 에칭 방법
US10607835B2 (en) Etching method
US7604908B2 (en) Fine pattern forming method
KR20070044374A (ko) 반도체 장치의 제조 방법, 반도체 장치의 제조 장치, 제어프로그램 및 컴퓨터 기억 매체
JP3986808B2 (ja) ドライエッチング方法
TW201729286A (zh) 蝕刻方法
US6914010B2 (en) Plasma etching method
JP4546667B2 (ja) ドライエッチング方法
TWI822918B (zh) 電漿處理方法及電漿處理裝置
JP2003068709A (ja) ドライエッチング方法
US11328934B2 (en) Etching method and substrate processing apparatus
JPH05267245A (ja) 半導体装置の製造方法
JPH0897191A (ja) エッチング方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050414

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20080527

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20080602

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110606

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4133810

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140606

Year of fee payment: 6

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees