JPS61296278A - 集積回路テスト装置 - Google Patents

集積回路テスト装置

Info

Publication number
JPS61296278A
JPS61296278A JP61146315A JP14631586A JPS61296278A JP S61296278 A JPS61296278 A JP S61296278A JP 61146315 A JP61146315 A JP 61146315A JP 14631586 A JP14631586 A JP 14631586A JP S61296278 A JPS61296278 A JP S61296278A
Authority
JP
Japan
Prior art keywords
test
input
output
inputs
block
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP61146315A
Other languages
English (en)
Other versions
JPH0658397B2 (ja
Inventor
エドワード・バツクスター・エイケルバーガー
ロジヤー・ネイル・ラングメイド
エリツク・リンドブルーム
フランコ・モテイカ
ジヨン・ローレンス・シンチヤク
ジヨン・アーサー・ワイクコースキー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JPS61296278A publication Critical patent/JPS61296278A/ja
Publication of JPH0658397B2 publication Critical patent/JPH0658397B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318544Scanning methods, algorithms and patterns
    • G01R31/318547Data generators or compressors
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3183Generation of test inputs, e.g. test vectors, patterns or sequences
    • G01R31/318385Random or pseudo-random test pattern
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318566Comparators; Diagnosing the device under test
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/319Tester hardware, i.e. output processing circuits
    • G01R31/31903Tester hardware, i.e. output processing circuits tester configuration
    • G01R31/31908Tester set-up, e.g. configuring the tester to the device under test [DUT], down loading test patterns
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • G06F11/22Detection or location of defective computer hardware by testing during standby operation or during idle time, e.g. start-up testing
    • G06F11/26Functional testing
    • G06F11/273Tester hardware, i.e. output processing circuits
    • G06F11/277Tester hardware, i.e. output processing circuits with comparison between actual response and known fault-free response
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2201/00Indexing scheme relating to error detection, to error correction, and to monitoring
    • G06F2201/83Indexing scheme relating to error detection, to error correction, and to monitoring the solution involving signatures

Landscapes

  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Quality & Reliability (AREA)
  • Tests Of Electronic Circuits (AREA)
  • Test And Diagnosis Of Digital Computers (AREA)

Abstract

(57)【要約】本公報は電子出願前の出願データであるた
め要約のデータは記録されません。

Description

【発明の詳細な説明】 以下の順序で本発明を説明する。
A、産業上の利用分野 B、開示の概要 C0従来技術 り0発明が解決しようとする問題点 E0問題点を解決するための手段 F、実施例 Fl、テスト方法の全般的概念(第1図)F2.テスト
の概念 F3.擬ランダム・パターン発生器(第2図)F4.重
みづけ(第3図) F5.レベル感知走査装置(第6図) F6.テスト装置の概略(第4図) F7.LSSDのテスト手順(第6図)F8.重みづけ
のアルゴリズム F9.重みづけ F2O3重み計算のアルゴリズム(第5図)F110診
断テスト G0発明の効果 A、産業上の利用分野 本発明はテスト方法、具体的には超大規模集積回路のテ
スト方法に関する。
B、開示の概要 本発明に従い、超大規模集積回路装置、具体的にはレベ
ル感知走査設計(L S S D)装置のテスト方法が
与えられる。被テスト装置の入力端子の各々に並列に異
なる構成の擬ランダム・パターンの系列を印加し、各出
力端子からの出力応答を並列に収集して、これ等の出力
を組合せてサイン(識別応答)を得る。このサインはす
べての並列出力の系列の予じめ定まった関数である。こ
のテスト・サインを計算機のシミュレーションによって
得られた既知の良好なサインと比較する。入力テスト励
振はさらにテストされる装置の構造の関数として予定の
手順に従って変更され、都合の良い様に2進1もしくは
Oの数を変えて入力を重みづける。
C0従来技術 単一の半導体チップ上に製造した複雑な超大規模集積回
路装置は、個別にアクセスしてテストすることができな
い数千の機能回路素子を含んでbXる。内部相互接続が
複雑なためと、その組合せが相互に依存しているために
、装置の完全性のテストは回路の素子の数が増大するに
つれて、次第に時間がかかる様になった。
例えば、半導体チップが50個の入力を有するものとす
ると、入力の組合せの数は25 Gである。
多くの異なる入カバターンを印加して、出力応答を記録
して、これ等の応答を当然生ずべき応答と比較する事が
出来るが、これは極めて困難な作業であり、現在の製造
テスト方法では不可能である。
上述の様なテストのプロトコルは米国特許第36146
08号に開示されている。テストに必要なパターンの数
を減少するために、この特許は乱数発生器を使用して、
テスト・パターンを発生している。この方法は装置をテ
ストするのに必要なパターンの数を著しく減少する。乱
数発生器は2進カウンタと違って、かなりの場合、2進
0と1の間の比率が50%に近い2進語を発生する。そ
の語数は起りうる異なる語の総数よりもはるかに少い。
従って被テスト装置(DUT)への各入力はより少ない
数の入カバターンで2進0もしくは2進1を受取る確率
が50%になる。
テスト時間を減らす第2の方法は被テスト装置(DUT
)への入力として重みつきランダム・パターンを使用す
る。この方法は統計的に決められた分だけ2進1もしく
はOの数が多くなるように発生されるパターンをDUT
の入力ピンに印加する。その目的はアクセス不能な内部
回路素子に対して最大の効果を与える重みつきテスト・
パターンを印加する事にある。
重みつきランダム・パターン・テスト方法は米国特許第
3719885号に開示されている。この方法は擬ラン
ダム・パターン発生器を使用して2進語のランダムな系
列を発生している。この2進語は2進から10進に解読
され、その10進タツプは、2.3,4.5本等の群に
まとめ、て接続され、解読器から多重出力もしくは重み
づけ出力を発生している。これ等の出力は次に入力を受
取る時に出力を発生するビット変更装置に印加されてい
る。
重みづけランダム・パターン・テスト法の他の説明は1
975年7月刊のr計算機に関するIEEE論文集J 
 (IEEE Transactions on Co
mputers)第C−24巻、第7号の第695頁以
降のエイチ・ディ・シュヌルマン(H,D、Schnu
rmann)等の論文「重みづけランダム・テスト・パ
ターン発生器」(The Weighted Rand
om Te5t−Pattern Generator
)になされている。
テスト可能性を改良する他の方法はチップにテスト専用
の追加の回路接続体を組込む事である。
明らかに、これ等の回路はテストの要望を満足しながら
、最小にしなければならない。それは装置の正規の機能
を行う回路の面積の利用可能性が減るからである。この
組込みテスト可能性を具体化した装置は特公昭52−2
8614号に開示されている。この特許の第7図をその
まま再掲鴫た本願の第6図から明らかなように、装置の
シフトレジスタ部分は外部接続部から直接入力を受取り
、出力を供給するので直接テストのためにアクセス可能
である。これはLSSD (レベル感知走査設計)装置
として知られているが、これは以下に説明する方法によ
ってテストするのに最も適している。
特公昭52−25287号は上述のLSSD装置をテス
トするために特別に設計した方法を開示している。
個々のテストの応答を既知の良好な出力応答と比較する
代りにサイン(識別特性)を使用する方法は米国特許第
3976864号に開示されている。
従来のテスト方法はその時点での複雑な装置をテストす
るには適しているが、回路の密度の増大によって、テス
ト時間を減少するだけでなく、これ等の装置の機能上の
完全性を保証するより洗練したテスト技法を必要とする
様になった。欠陥のある集積回路は修復出来ないが、少
なく共2.3の故障しがちな素子について装置の故障モ
ードを診断出来、装置の製造時のプロセスを変更して故
障数を最小にする事が出来るならば望ましい事である。
D1発明が解決しようとする問題点 本発明の目的は複雑な超大規模集積回路装置をテストし
、不合格装置の故障モードを診断する技法を改良する事
にある。
本発明に従って、入力テスト・パターンの源として複数
の擬ランダム・パターン発生器を与え、予じめ決められ
た順序の、テスト・パターンの部分集合(サブセット)
を被テスト装置に印加し、装置の部分集合の出力応答を
記憶及び分析する方法が与えられる。
本発明に従い、被テスト装置の入力として重みづけラン
ダム・パターンを使用する。印加テスト・パターンの重
みは装置の夫々の入力端子上の入力信号によって直接、
間接に影響を受ける内部回路素子の数及び種類の関数で
ある。
本発明に従い、各入力端子に与えられる夫々の重みを計
算するためのアルゴリズムが与えられる。
E1問題点を解決するための手段 本発明においては、集積回路装置の各入力端子毎に、夫
々異なった系列の擬ランダム・ビット・パターンを発生
する擬ランダム・パターン発生器を設け、出力端子から
発生されるすべての出力応答に基いてテスト・サインを
発生する。このテスト・サインを基準のテスト・サイン
と比較して、良否を判定する。
F、実施例 Fl、テスト方法の全般的な概念 第1図は本発明のテスト装置及び方法の全般的な概念を
示す。テストのプロトコルは広範囲の固体装置に対して
一般的であるので各個々の部品番号の装置のためのプロ
トコルの開発は部品番号(P N)論理モデル10から
出発する。この論理モデルは計算機中に含まれ、各異な
る部品番号の装置の概略を、入力及び出力端子間の内部
機能素子間の相互接続のすべて並びに各機能素子、即ち
シフト・レジスタ・ラッチ、ANDゲート、AND/反
転ゲート、ORゲート、OR/反転ゲート等の性質と共
に含んでい−る。
この論理モデル(計算機のソフトウェア形式をなす)は
計算機のセグメント20(第1図では′“良好な機械の
シミュレーション”と記入されている)に導入される。
このセグメント2oはソフトウェアであるランダム・パ
ターン発生器30によって供給される相継ぐ入力励振の
各ポイントで良好な装置の出力応答をシミュレートする
。セグメント20からのシミュレート応答は多重入力サ
イン・レジスタ・シミュレータ(MISRSIM) 2
5中で組合される。この多重入力サイン・レジスタ・シ
ミュレータ25は良好な機械シミュレータ20からの入
力応答を相継いで受取り、これから誘導関数を発生する
。特定のDUT (被テスト装置)をテストする時には
1発生器30はテスタ・ハードウェア・ランダム・パタ
ーン発生器40を条件付けて、シミュレーションに使用
したものと同じパターンをLSSD  DUT50に印
加する。被テスト装置はこれ等のテスト・パターンに応
答して、相継ぐテスト応答を発生する。テスト応答はハ
ードウェアのtIsR55中で処理され、現実のサイン
を発生する。
シミュレーションによって発生した装置25中の期待良
好サインはテスト中に記憶され、テスタ・サイン比較装
置6o中で比較され、DUTが故障かどうかを決定する
。故障装置は破棄されるか、診断ルーチン装置70で検
査をうける。この診断ルーチン装置70は、論理モデル
10に基いて、故障DUTによって発生された出力と似
た出力を発生するのに必要な縮退故障のシミュレーショ
ンを行なう計算機プログラムである。
F2.テストの概念 テストのプロトコルは複雑な固体装置中の内部の機能回
路素子がOもしくは1の縮退故障であるかを判定する様
に設計される。テスト速度はすべての回路素子が夫々の
安定な状態を得るのに十分な時間が与えられる様に調節
される。
被テスト装置(DUT)及びテスト・プロトコル自体は
厳密な2進論理の予じめ定まった規定に従う。従って、
DUTの出力応答はテスト・サイクルのすべての時間に
印加入力励振の履歴の関数として前もって予測出来る。
ここで「履歴」なる用語は多くの論理装置が組合せ論理
及び順序論理の両方の関数である出力を発生する事を示
すために選択したものである。
CUTが複雑なために、その動作を計算機によってシミ
ュレートして、テスト出力を比較する基準データを与え
なくてはならない。基準データの用意は容具なるDUT
のテストの前に行われる。
この事前シミュレーション中に、各個別の装置の一最適
テスト・プロトコルも決定される。
ここで「最適テスト・プロトコル」は計算機が前もって
どの特定のテスト・パターンを印加しなければならない
かを決定するものと解してはならない。最適テスト・プ
ロトコルはテスト・パターンが何であるにせよ、最も確
定的なテストのために、これをどこで何時特定の装置に
印加しなければならないかを決定する。最適テスト・プ
ロトコるは又各夫々の装置に対して、入力装置のどの入
力端子が2進1及び2進Oのどちらを優先的に、どの様
な統計的割合で受取らなければならないかを決定する。
計算機シミュレーションは印加するテスト・パターンの
特定のビット構成を予じめ決めるものではなく、擬乱数
発生の既知の進行を利用して、どの様なパターンが印加
されるか、どの様な出力応答がこれから得られなくては
ならないかが予測出来るものである。
テスト・プロトコルはテスト励振源として擬乱数を使用
する。32ビツト容量の最大容量の擬乱数発生器は2”
−1個の語を発生し、すべてのその後のサイクルでは同
じ語の系列を繰返す。従ってテストの開始時に発生器が
(すべてOを除く)所定の一定数に初期設定(プリセッ
ト)されると、サイクル中の任意の乱数のビット構成を
知る事が出来る。時刻O(プリセット時間)に、ビット
構成は現在値となる。その後の任意の時間のビット構成
はサイクル中の相対位置によって定まる。又計算機のシ
ミュレーションによっても、ノjターンの構造が決定出
来、サイクル中の位置と相関づけることかできる。パタ
ーンは不変であるがら例えばテーブル索引中に記憶出来
る。
テスタ中で、擬乱数発生器のステッピングと同期してス
テップするカウンタが2”−1のパターンのうちのどれ
を入力励振源として使用するかを選択する。例えば、も
しパターン0−99を使用するのであれば、これ等のパ
ターンの構成がわかる。擬乱数パターンを、テスト・パ
ターンを発生するための基本として使用するので、例と
してあげた100個のテスト・パターンは統計的に略5
0対50の2進1及び0に分かれる。パターンの数を増
すと0及び1の分かれは(2’−2)/2nの限界に迄
増大する。
2は2nと比較して非常に小さいから、0対1の実効比
は1:1となる。
入力及び出力応答の系列はわかるが、テスト・プロトコ
ルはDUTの応答を各々の入力とは比較しない。これに
代ってテスト・プロトコルは便宜上サインと呼ぶ出力応
答の系列の誘導関数を発生し、これと既知の良好なサイ
ンを比較する。サインは一般に知られている組織化され
た2進プロセツサ中で誘導される32ビツトの2進数で
ある。
2進プロセツサは一部のOUT出力を受取り、これに応
答してサインを誘導する。入力励振が知られているので
計算機はこれに対する個々の良好な装置の応答をシミュ
レート出来、任意の入力応答の群に対するサインを誘導
する。
テスタが計算機の発生するサインと一致しないサインを
発生する時は、その装置には欠陥がある。
もしサインが一致すると、装置は悪くない事がわかるが
、必ずしも良好なわけではない。高い確度で装置が良好
であると決定出来るのはすべてのサイン比較で一致が得
られた後である。
共通の故障サインを有する故障装置はおそらく共通の内
部欠陥を有するであろうが、必ずしもそうとは限らない
、従って、装置は最初の故障サインを検出した時に、放
棄されるが、2以上の故障サインが存在するかどうかを
決定するために、いくつかのより多くのテスト・パター
ンでテストを延長する事が故障診断に有用である。
故障診断(70)のために、装置は故障サインを生じた
パターン群だけで再テストする。診断デス1〜中はサイ
ンは使用しない。それはサイン自体は分析しても故障個
所を探知出来ないからである。
その主な目的は故障もしくは非故障の決定の速度をはや
める事にある。
故障診断を行うためには、各個々の相継ぐ入力励振に対
するDUTの出力応答を記録する。これ等の出力応答を
計算機によって比較して、どの特定のパターンもしくは
複数のパターンが故障を示−したかを決定する。計算機
のシミュレートによって、入カバターンに応答して装置
内の各回路節点の動作を調べて、どの節点が故障を生じ
たかを決定する。
F3.擬ランダム・パターン発生器 擬ランダム・パターン発生器及び多重入力サイン・レジ
スタ(M I S R)のすべては第2図に示した32
ビツトの線形フィードバック・シフトレジスタ(LFS
R)100を使用する。
各2進フイードバツク・シフトレジスタは32個のシフ
トレジスタ段100−0乃至100−31より成り、各
段は共通のA及び共通のBクロック線(図示されず)に
接続されている。クロック線は交互にパルスを受けて、
各2進ビツトを次の後続段にシフトする。
擬ランダム・パターンを発生するために、最後の段10
0−31の出力は排他的○Rアゲート01−3,101
−5,101−6,101−9゜101−11.101
−12,101−14,101−15,101−16,
101−17,101−18,101−21.101−
23.101−25及び101−26中で組合せてフィ
ードバックされる。これ等のフィードバックのタップは
多くのフィードバック組合せのうちの一部にすぎないが
、これ等があるために、各32ビツトの232−1個の
擬ランダム・パターンの完全な系列を各動作サイクル中
に繰返し発生する事が出来る。
番号101が付された排他的ORゲートの各々は段10
0−31の出力が2進1である時に、先行する夫々の段
の出力の符号を反転する。
テスタ中に使用する擬−乱数発生器の各々は異なるフィ
ードバックのタップの組を有し、従って各発生器は異な
る系列の乱数を発生する。すべての発生器は完全な乱数
の組を発生する。各LFSRは最初O以外の異なる所定
の「種(seed) J数にプリセットされる。プリセ
ット線(図示されず)が各夫々の段のセット入力もしく
はリセット入力に接続されていて、種数をプリセットす
る。
各LFSRの種数及び構造がわかっているので。
プリセット位置からのシフト数がわかると、各サイクル
の各時点の各擬ランダム・パターン発生器の2進パター
ンがわかる。
並列入力の使用によって、直列動作に比して動作速度が
当然増大するだけでなく、より重要な事であるが、異な
る構造の乱数発生器(夫々が異なる乱数の系列を与える
)を使用する事によって任意の2つの入力端子が繰返し
同じ人力励振を受取る統計的確率を減少する。この様に
して単一のパターン発生器及び直列の動作モードを使用
する勅の個有の相互依存性が減少する。
第2図の擬乱数発生器は上述の従来技術の特許に説明さ
れているものよりもはるかに優れている。
これ等の特許では、多数のフィードバック・タップはモ
ジュロ2加算器で加算されて第1の段に導入されている
。当然の事ながら、この方法は多数の、具体的にはフィ
ードバックのタップの数よりも一つ少ない排他的ORゲ
ートをカスケード接続する必要がある。この方法は各ゲ
ートが安定するのに時間がかかるので繰返し率が低い。
第2図の実施例では、フィードバック・ループに唯一個
の排他的ORゲートを使用するので、唯一つの遅延が生
ずるだけである。
線形フィードバック・シフトレジスタ(LFSR)を多
重入力シフトレジスタ(MISR)として使用する時は
、DUTからのテスト出力に接続した追加の並列入力を
並列に6個の端子103A乃至103Fに印加する。こ
れ等の入力は夫々排他的ORゲート104−5,104
−9,104−13,104−21.104−25及び
IQ4−29に導入される。フィードバック・タップと
同じ様に、これ等の並列入力は2進1を導入する時だけ
段間でシフトされるビットを変化させる。
第5の段と第6の段間の様に、フィードバック・タップ
及びMI SR大入力同じ段に接続される時は、2つの
直列に接続した排他的OR(即ち101−5及び104
−5)が図示の様に接続される。
第2図のLFSRは、擬乱数発生器もしくはMISRの
いずれで動作する様に接続されているかにかかわらず、
多くの方法のうちの一つで配線出来る。一度配線すると
、これ等は不変の論理規則−に従う既知の方法で繰返し
動作する。従って、各発生器もしくはMISRの動作は
予測可能で、又シミュレート可能である。
F4.重みづけ DUTへの入力を重みづけて2進1及び2進0のどちら
か一方をより多く発生したい時には、第2図の擬乱数発
生器には、第3図に示した追加の回路が与えられる。第
2図の発生器100は簡略して示されている。擬乱数発
生器100は第2図のフィードバック接続を有するもの
とし、又個別の乱数発生器中の組合せは異なるものとす
る。
重みづけ回路300は擬ランダム・パターン発生器とし
て配線されている(DUT入力がない時はMI SRに
なる)LFSRloo (第2図)の最初の2段の出力
を受り、これを図示した様に、直接カスケードされたA
NDゲート301乃至304を介して重み選択器(MP
X)、305に接続する。LFSRlooからの各線は
略50対5゜の割合で0及び1を発生する。この事は擬
ランダム・パターン発生器の特徴から当然の事である。
従って線300Eは50%の1もしくはOを発生する。
しかしながら、線300Eを線300DとANDにする
時は、AND301の出力301Aで2進1を発生する
可能性(オツズ)はわずか25%になる。逆に云えばこ
の出力で2進Oを発生する確率は75%になる。オツズ
を相継いで半分にする事によって、各出力線上に2進1
もしくは0を発生する確率は次の通りになる。
Julのオツズ−0のオツズ    重み300E  
    50%     50%  1:1301A 
     25%     75%  1:3302A
    12.5%    87.5%   1ニア3
03A    6゜25%   93.75  1:1
5304A   3.125% 96.875%  1
:31重み選択器兼マルチプレクサ(MPX)は入力線
300E、301A、302A、303Aもしくは30
4Aの1つを選択して、出力g305Aに通過させる働
きを有すると共に、いつゲート・アウトするかを選択す
る働きをする。もし線300Eを重みづけ(重み1)に
選択したのであれば、重み選択器兼マルチプレクサはL
FSRlooの各シフト・サイクルにこの線をゲートす
る。線3゜IAの場合には、ゲート・アウトは第2のシ
フト毎に生ずる。線302Aの場合には、ゲート;アウ
トは第3のシフト毎に生ずる。線303Aの場合には第
4のシフト毎、線304Aの場合には第5のシフト毎に
生ずる。
シフトを5回毎のシフト迄遅延する理由は相継ぐパター
ンの相互依存性を減少し、1掲の数表の統計的重みづけ
に最も近く接近させる事にある6制御入力305B及び
305Cは入力線の選択及びゲートしなければならない
時刻を与える。最後の制御入力は入力306Aによって
与えられ、これは2進0もしくは2進1のどちらが大き
な重みを有すべきかを選択する。入力306A上に制御
信号が存在しない時には、排他的○R306は線305
Aの出力をそのまま通過させて、線306B上に「0の
オツズ」出力(1掲の表の第3欄)を与える。端子30
6A上に電圧を与えると、出力は2進1主体に重みづけ
られる。これは1掲の表の第2Ii及び第3欄の数値を
入換えた2進1のための重み1.3.7.15もしくは
31の選択を与える。
F5.レベル感知走査設計装置 本発明のテスト方法は直接テスト出来ない多数の内部機
能素子を有する多くの固体装置をテストする様に働くが
、特公昭52−28614号に説明しであるレベル感知
走査設計装置(L S S D)をテストするのに特に
適している。
参照を容易にするために、上記特公昭52−28614
号の第7図を本明細書の第6図として同じ参照番号のま
ま再掲しである。LSSDへのデータ入力はS及びIN
(線45)と記された端子を介して導入される。上述の
特許に説明がある様に、S入力(励振入力)はデータの
組であり、実〜際の装置中では第6図の回路が多数存在
する。テスト装置の動作を説明するために、30及び3
1で示された様な3つの組合せ回路が存在し、各々は入
力Sの組を有するものと仮定する。さらに33及び34
で示された様なカスケード接続したラッチの組より成る
3つのシフトレジスタが存在するものと仮定する。シフ
トレジスタの各々に対して、別個のIN入力が存在する
出力には多くの個々の出力線より成るR1及びシフトレ
ジスタからの線46を介するOUTがある。OUT出力
はシフトレジスタが2個以上存在する場合、各シフトレ
ジスタ毎に存在する。
制御入力はA及びBシフト・クロックを含む。
この入力はシフトレジスタを通して直列にデータをシフ
トする。これ等のA及びBクロックは各シフトレジスタ
に個々に与えられる。C0,C2及びC3(図示されず
)は所謂システム・クロックであり、組合せ論理回路網
の出力を夫々のラッチの組にゲートするものである。L
SSDの動作中はA及びBクロックは通常使用されない
。これ等のクロックはテストに使用される6Cクロツク
は通常の動作及びテス1〜のために使用される。
LSSDをテストする複雑さを理解するために、装置は
96もの入力及び出力端子を有するものと仮定する。こ
の仮定は説明のためだけであり、LSSD装置の寸法も
しくは複雑さについての制限はない。
F6.テスト装置の概呻 テスト装置を構成する素子、即ち擬乱数発生器、重み回
路1M工SR及びDUT自体の説明を要約すると、これ
等の素子の一各々がどの様な不変な論理規則に従い、従
って計算機のプログラミングによる正確なシミュレーシ
ョンが可能であるかについて説明した・これ等の素子の
相互作用は第4図を参照して明らかにされる。
DUT50は第6図を参照して説明した様な、入力50
−1乃至50−96.6本ずつの群にまとめられた出力
51−1乃至51−96並びに制御人力A工〜A3、B
工〜B1、C□〜C1を有するLSSDであると仮定す
る。
各入力に対して、第3図に示した様な擬乱数発生器及び
重みづけ回路300−1乃至300−96が存在する。
これ等の各々は個別の異なるシーケンスで2”−1個の
異なる構成の32ビツトの2進パターンの全系列を発生
する様に配線されていて、各々はそれ自身の重みづけ回
路を有する。
最初テスト・パターン発生器300の各々はプリセット
入力端子308に印加される制御電圧によってその種数
にプリセットされる。入力端子308は第2図もしくは
第3図には示されていないが、第4図では種数をプリセ
ットする各ラッチ段のセットもしくはリセット制御に選
択的に接続されていて、種数をプリセットする。種数は
各装置毎に異なるが、任意の所与の装置には常に同じ種
数がプリセットされる。
この時点で、各発生器300のための重みづけ選択入力
が305Bに導入され、306Aには各装置300の重
み0もしくは重み1が導入される。
もし任意の1つの端子入力の最大の重みが31である時
には、端子305Cはすべてのパターン発生器300の
中のマルチプレクサ305のすべてに5個の信号のうち
1個を導入し、5番目のシフトでテスト・パターンをゲ
ートする。最大の重みがより小さい場合には、4音訳1
.3音訳1.2音訳1及び1音訳1人力がマルチプレク
サのすべてを条件付ける。クロック入力309はクロッ
ク入力(A及びB)パルスを与え、乱数発生器を通して
ビットをシフトし、マルチプレクサ305をクロックし
、任意の1つの入力に割てられた最高の重みに従ってビ
ットをゲートする。クロック入力は又種数を与えた同じ
入力308によってリセットされる32ビット・カウン
タ310をステッピングする。カウンタ310の出力3
10A4;i乱数発生器の各々を通る乱数の進行を追跡
し、計算機から受取るテスト・プロトコルについての命
令を実行する。例えばテスト・プロトコルがピン入力の
所定の組に何万回となくテスト・パターンを印加する事
を要求すると、計算機は232 1個のパターンのうち
のどれを導入するかを指令する。
計算機が指令した計数に対応する計算を発生する−と、
パターンはマルチプレクサ30 a (第3図)を介し
て夫々DUT入力にゲートされる。
従って、擬乱数の計数を計算機のシミユレーシヨンと相
関させる事によって、DUTに導入される各パターンは
予じめ決定される。例えば、計数9856において、各
夫々の擬乱数発生器のパターンは各夫々の入力に対する
重みづけと共に知られる。この計数に対してピンのどれ
が入力を受取るかがわかる。従って、各ピンへの入力が
わかり、その入力が、計算機から受取った。DUTに特
定の記憶指令に従ってテスタによって実施される。
従って、DUT50は96個までの入力を並列に受取る
事が出来、入力の各相継ぐ並列入力が予じめ定められる
DUT50がテスト入力の各組を受取り、タイミング制
御入力が適切な時刻に付勢されると、テスト応答は6本
ずつの群をなす出力線51−1乃至51−96に現われ
る。これ等の群中の6本の出力の各々はLFSRloo
 (第2図)の入力103A乃至103Fに並列に導入
される。LFSRlooは第4図にはMISR400−
1乃至400−16とり、で示されテイル。MISR4
00−1乃至400−16の各々は6本の並列入力を受
取る。従って入力の総数は96となる。並列入力の各々
の相継ぐ組合せに対して、MISRの各々の中に存在す
るビット・パターンは1ビット位置シフトされ、フィー
ドバック・タップ及び並列入力の関数として変更された
り、変更されなかったりする。この様にして第1のレベ
ルのサインがMISR400−4乃至400−46の各
々によって発生される。予定数、例えば10000の入
力及び出力が発生するとテストは一時停止され。
MISR400−1乃至400−16の内容がマスタM
ISR401に導入される。第1のレベルのMI SR
の各々は32ビツトのサブ・サインを含む。このサブ・
サインは、印加した相継ぐ並列入力、LFSRの選択し
た構造及びプリセットした種数の所望の関数である。
16個の第1のレベルのMISRの出力は直列に取出さ
れ、32の相継ぐエントリでマスタMISR401の1
6個の段に並列に導入される。
MISR400−1乃至400−16の各々はこれ等を
予定の種数にプリセット線並びにA及びBクロック(ど
れも図示されず)を有する。これ等のMI SRはすべ
て、異なる構成の乱数を発生する必要はないので同じ種
数及び同じフィード・バック・タップを有してもよい。
その唯一の機能はDUTからの良好な出力に応答して予
測可能なサインを発生する事にある。予測したサインを
発生しなければ、DUTが故障である。
各テストのサブルーチンが完了すると、MISR401
が所望のサインを有し、このサインはクロック線401
A及び401Bに交互にパルスを与える事によって線4
01C上に直列読出され、比較器402に入力される。
比較器402はこのサインをメモリ403から引出した
、丁度完了したテストのサブサイクルに対応する既知の
良好なサインと比較する。各テストのサブサイクルのた
めの良好なサインのすべては計算機のシミュレーション
によって発生され、メモリにあらかじめロードされてい
る。
各サブサイクルが完了すると、MI SRはその初期状
態にプリセットされ、前のテストの結果は次のテスト結
果に影響を与えない。カウンタ310及びその出力は発
生しているパターンを追跡し続けているので、擬ランダ
ム・パターン発生器はリセットされる必要はない。
DUTを含む種々のタイミングは競合条件を避ける様に
条件付けられなければならない。D’UT内の素子のす
べては次のテスト・インパルスを印加する前に安定状態
になければならない。従ってマスク・タイミング発生器
(図示されず)が必要なタイミングを与えて、必要な安
定な状態の達成を保証する。
10000程度の離散的なテスト入力より成る各サブサ
イクル毎にサインを使用する事によってテスト時間が著
しく減少する。それは各新らしいパターン入力の粗銀に
でなく各サブサイクルの後に比較を行えばよいからであ
る。MI SR構造及び32ビツトのサイン・レジスタ
を使用する事によって故障DUTが良好サインを発生す
る確率が殆んど0になる。又、異なるテスト・プロトコ
ルで各相継ぐサインを発生する事によってテストの誤り
がさらに減少出来る。各々異なる独立したパターン・シ
ーケンスを発生する複数の擬ランダム・パターン発生器
を各入力光り一個使用する事によって全LSSDを確定
的にテストするテスト・パターンの数が減少出来る。
F7.LSSDのテスト手順 本発明のテスト方法の利点はテストのために直接アクセ
ス出来ない多くの内部機能素子を有する種々の複雑な半
導体装置に適用出来るが、これ等の利点はLSSDのテ
スト方法の場合に最も良く発揮される。
上述の様に、入力数が増大すると、複雑な構造体の完全
なテストをテスト入力のすべての順列。
組合せで行うのには実行不可能な程時間がいる。
種々の論理動作を遂行するためのLSSDの固有の柔軟
性によって、LSSD計算機に良く使用される様になっ
た。従って以下の説明ではLSSDによって本発明のテ
スト方法の汎用性を説明する。代表的なLSSDの構造
は第6図に示されている。
以下明らかになる様に、基本的な概念はテスト入力とし
て重みづけられた、もしくは重みづけられない、そして
最大限に相互に依存しないランダム・パターンを使用す
る事にある。
テスト装置を初期設定して、新らしいDUTに対して条
件付けた後1次の段階を使用して代表的なLSSDをテ
ストする。
(1)擬ランダムに組織さ−れた一連のビットをすべて
のシフト入力のIN端子(第6図)に並列に印加する。
これらのビットは、OUT端子(第6図)から集められ
、相継ぐエントリとしてMI SRの夫々の接続段に並
列に導入される。シフI−レジスタのラッチは父祖合せ
回路網32(第6図)に接続されているので、これ等の
R出力を同時に集めて、MI SRに導入する。ラッチ
出力は父祖合せ回路30.31にも送られるが、これ等
の回路網はR出力を発生しない。それはこれ等の出力は
システム・クロックC□−03によってラッチの組に導
入しなければならないからである。各エントリに対して
、擬乱数発生器及びMI SRの同期クロッキングが必
要であり、任意の出力が離散的に導入出来なければなら
ない。
(2)リセットする事なくMI SRの段階2が続く。
擬乱数発生器の各々からの単一ビットは重みづけられて
もしくは重みづけられないまま並列に組合せ回路網30
.31及び32のS入力に導入される。これ等の入力の
一部は主に回路網32に入力されるのでRに直接出力を
発生する。Sエントリから生ずるR出力は並列にMI 
SRに導入する・回路網30及び31の出力はシフトレ
ジスタのラッチの入力になるがシステム・クロックCが
ないと導入されない。
(3)追加のエントリがない場合には、段階3でLSS
Dの構造に依存してシステム・クロックC1、C2、C
1を順次付勢する。これ等のシステム・クロックの各々
はシフトレジスタへのエントリ及びこれからの他の組合
せ回路網への出力を制御し、R出力を変更する。各個別
のクロックに対する相継ぐR出力は並列にMI SRに
導入され、MISRは刻時的にシフトして各所らしいエ
ントリを収納する。
(4)段階4で、LSSDのBクロック入力は任意のシ
フトレジスタのランチ中に存在する任意のビット(組合
せ論理の出力から誘導される)を段の各ラッチ対の第2
のラッチにシフトする。これによってシフトレジスタと
して動作するラッチの内容をシフト・アウトする準備が
出来る。
(5)最終段階はLSSDのクロックA及びBを交互に
付勢する事によってシフトレジスタの内容を走査して出
力する。各シフトに対して、MISRもシフトされ、シ
フトレジスタのOUT信号及びR出力がMISRに収集
される。
装置及びテスト・クロックには、上述の5段階を繰返し
適用しても検出出来ない故障が生じうる事が実験的にわ
かっている。これ等の故障の検出には基本的シーケンス
の変形を必要とする。この様な1つの変形は段階4、即
ちLSSDのBクロックのパルスを省略して基本的シー
ケンスを繰返す事である。他の変形は段階4の前にター
ン・オン及びターン・オフする追加のLSSDのAクロ
ックを挿入する事である。第3の変形は段階3でのシス
テム・クロックを使用するか、もしくは全くシステム・
クロックを使用しない事である。全体的なパターン使用
の戦略は基本的な5段階を多く繰返し、特殊なシーケン
スを比較的少数回繰返す事にある。
この段階を何方回となく繰返し、出力応答を集める。テ
ストの終りに、第1のレベルのMI SRを直列に読出
し、第2のレベルのMI SRに並列に導入し、最終サ
インを発生する。この最終サインをシミュレーションに
よって発生したサインと比較する。
テスト入力を乱数発生器によって発生しても、LSSD
に導入される各ビットの極性がわかり、シミュレーショ
ン出来る事を再び強調しておきたい。従って各入力及び
各クロック・パルスに対するLSSDの応答はシミュレ
ーションによって予測可能である。MISRの動作も又
予測可能であり、テストによって発生したサインとシミ
ュレーションによって発生したサインが比較可能になる
もし、例えば、所与のピンに対する接続がないか、ある
いは特定のテスト段階で変化がなかったために、特定の
ピンの出力状態に変化がなかったとしても、この現象も
シミュレートされ、シミュレートされたサインを発生す
る。
テスタの並列動作を行ない、各入力に異なる構成の擬乱
数発生器を使用し、そしてDUTのすべての素子を通過
するわず−か数千のテスト°パターンの後に最終サイン
を並列に発生するようにしたことにより、高い精度を保
ったままテストの高速化が可能になる。
FB、重みづけのアルゴリズム 極めて高い精度で明確的なテスト結果を得るのに必要な
テスト・パターンの数の減少の大部分は入力の有意な重
みづけによって生ずる。簡単なシフトレジスタとして動
作しているラッチの機能をテストするのに重みづけは必
要ないが、組合せ論理回路網をテストする時は重みづけ
で必要なテスト・パターンが著しく減少する。
擬ランダム・パターン発生器の相継ぐ段の出力をAND
する事によって2進O及び1の種々の重みを発生し選択
する方法及び装置については第3図に関連して説明した
が、各個々の装置の入力に関する1もしくはOの重みの
選択についての原理についての説明はなされていない。
選択の原理は次の規則及びアルゴリズムに従って装置の
出力端子から入力装置迄のすべての可能な回路経路を計
算機で分析して装置自体を分析する事から導かれる。
概念的には1及びOの配分の仕方は何百とある。
排他的ORゲート306は端子306Aに制御1を印加
して1もしくは0のいずれかが主体になる様に重みづけ
る事が出来る。2進1の制御入力はマルチプレクサの出
力の極性を逆にし、2進Oの制御はこれを保存する。
重みづけを含まない成るテスト・プロトコルの場合には
、線300E上に現われるパルスのみがゲート・アウト
され、シフト・サイクル毎に0及び1の50対5oの割
合を生ずる。
F9.重みづけ 概念的にいえば重みづけは被テスト装置(DUT)の入
力端子に0もしくは1のどちらかを選択的に多く印加し
て、テストしたくても直接アクセス出来ない内部回路の
素子の故障を検出する確率を増大する事を意図している
。入力及び出力端子よりも内部回路素子の数が多いので
、所与の入力ピン上の入力が多くの内部素子の動作に影
響を与える。逆に個別の異なる複数の入力ピンに入力を
与えると、成る1つのもしくはそれ以上の素子に影響を
与える。同じ事は出力ピン上の応答についてもあてはま
る。
任意の入力ピン上の入力信号によって影響が与える内部
回路素子の数1回路素子の性質及び影響を受ける回路素
子の相互接続によって、個々のピンには異なる重みづけ
が割当てられるので、第3図に示した重みづけ回路は重
みのすべての可能な組合せを与えることができるように
、そして各人−力に対して設ける必要があり、それによ
ってDUTの任意の2つの入力が同じ人力励振を受ける
可能性を減少しなければならない。従って各擬乱数発生
器に対して、個々の重み制御を有する関連重みづけ回路
が与えられる。
任意の一つの入力ピンの重みを選択するためには、出力
ピンに接続した最後の回路素子から逆にたどって(バッ
クトレースして)すべての可能な経路を通って1乃至そ
れ以上の入力ピンに達する1種のディシイチェイン(い
もする式)分析が必要である。この分析は各出力ピンに
対して1乃至それ以上の入力ピンに達するすべての可能
な経路について行われる。各入力ピンに対する重みは第
5図のアルゴリズムを実施する計算機のプログラムによ
って行われる一連の計算によって決定出来る。
20個の入力を有するAND回路において、出力0の縮
退的故障をテストするためには、約100万個のランダ
ム・パターンが必要である。その検出には20個のすべ
ての入力が1状態にある必要がある。もし各入力に平等
に1もしくは0が与えられる機会があると、20人力の
すべてが1を受取る可能性は220分の1である。入力
に1を与える確率が増えると、テストに必要なランダム
・パターンの数は著しく減少する。一般に論理ブロック
に非支配的な値(non−controlling v
alue)を与える装置入力の値の発生確率を増大する
事が望ましい。AND型のブロックの入力では1の値の
発生確率を増大する事が好ましい。しかしながらAND
ゲートの1つの出力が1のまま(1の縮退故障)である
確率もない−わけではないから、すべての入力を1にす
るテストも決定的なテストではない。従ってANDゲー
トの入力の各々に少なくとも1つの0を与える事によっ
て1縮退故障のゲートを発見できる可能性もなければな
らない。
ブロックの任意の入力に非支配的な値を与える確率は次
の近似式に従って増大しなければならない。
ここでNはブロックの入力数である。
支配的な値に対する非支配的な値の所望の比はによって
与えられる。
4人力A、 N Dゲートの場合、そのブロックに関連
のあるすべての縮退故障をテストするのに必要なランダ
ム・パターンの数を最小するために、各入力にルベルを
与える確率は0768でなければならず、5人力AND
ゲー トの場合の確率は0゜809である。
この確率の計数は各入力を与える回路が同じ場合にだけ
有効である。実際には入力を与える回路が異なる場合が
存在するので、正確な重みづけを与えるにはブロック入
力に関連する回路の差異を補償する必要がある。
入力回路の差を補償する1つの方法はブロックの各入力
を制御する装置入力(主入力及びLSSDラッチ)の数
に基づいて確率を調節する方法である。この方法によっ
て、入力にブロックの非支配的な値を与える確率はぞの
ブロックを制御する装置入力の数とこの入力を制御する
装置入力の数の比だけ増大する。正確に云えば、この方
法は帰還ファンアウトもしくは多重ファンアウトのない
回路に対してだけ入力の複雑さを補償する。上述ノ様ナ
ファンアウトが存在する時は、この方法は入力回路の差
の効果を過剰に補償してしまう。
FIO,重み計算アルゴリズム 多くの異なる装置についての実際の実験によって、良好
な重みづけ方法は上述の方法の平均である事がわかった
。これ等の方法はP min公式(1)及びブロックの
各入力を制御する装置人力(主入力及びLSSDラッチ
)の数に基づけ確率の調節である。次に述べる段階を実
行してすべての装置入力に関連する重みづけを決定する
A0回路中の各論理ブロックにOの重み(WO)及び1
の重み(Wl)を表わす2つの数を割当てる。これ等の
数は先ず1に設定する。装置入力に対するWo及びWl
の最終値の比がその入力に0値を与えるオツズ(確率)
を示す。
88回路中の各論理ブロック毎に、このブロックを論理
的に制御する装置入力の数(NDI)を決定する。
C0各装置出力から(入力ピンもしくはL S S′D
ラッチに向けて)バックトレースを遂行し、バックトレ
ースがブロックXからブロックYに進行する時、ブロッ
クYのWO及びWl(WOy及びWly)を次の式に従
い、ブロックXの論理機能に依存して調節する。
ここで ND I x=ニブロックを制御する装置入力数NDI
y=ブロックYを制御する装置入力数Nx=ブロックX
の入力数 Rwin =ブロック入力の重みづけ因子(上述の式2
) ブロックXの機能の違いに対応して4つの場合がある。
Xブロックの型   WO立上 AND         ’    WOx     
 kXWlxAND−INVERT  Wlx    
  kXWOx○RkXWOx   Wlx OR−INVERT   kXWlx   WOxWO
yの新らしい値は上の表のWOとWOyの旧値のうちの
最大値である。同じ様にWlyの新らしい値はWlとW
lyの旧値のうちの最大値である。
D、各装置入力に対して、次のDl及びD2を決定する
Dl重みづけ値(WV)。この値はどの値が重みづけら
れるかを示す。もしWO>WlならWV=Oであり、逆
の場合はWV=1である。
D2.重みづけ因子(WF)。この値は装置入力に関連
する重みづけ因子を示す。この値はWOもしくはWlの
うち大きい方を小さい方で割る事によって得る。
重みの計算は第5図に概略的に示したプログラムによっ
て実施する。
色々な論理ブロックについて参照するが、Xブロックも
しくはYブロックは多くの種々の装置のうち任意のもの
に一般的に使用される。特定の装置の重みづけの計算の
際は、装置内の各論理素子は入力及び出力ピンの場合と
同様に一意的な同定番号を有する。各論理素子はその論
理機能及び他のすべての論理素子への接続によって特徴
づけられる。従って計算機は連鎖によってすべての可能
なルートを通って各出力ピンから任意の入力ピン達の各
経路をたどる事が出来る。第1図の論理モデル10はこ
の情報を含み、第5図に関連するトレースバックを制御
する。
最初の段階200で、計算機に記憶した論理モデル10
(第1図)を使用して、DUT中の各論理ブロックの論
理入力の数NDIを計算し、各ブロック毎にその値を記
憶する。論理モデルはDUTの内部回路の概要を含むの
で上述の動作は各論理節点に至る装置入力(ピン入力の
事ではない)を単に数える事である。
第2の段階201ですべての論理ブロックの0重み(W
 O)及び1重み(Wl)を単に1に初期設定する。
第3の段階202である1つの出力に接続された1つの
論理ブロック“X”を選択し、入力へのトレースバック
を開始する。そして段階203で−、その選択したXブ
ロックに接続されているいくつかの入力ブロックの1つ
を選択し、これを“Y Itと指定する。次に段階20
4.206もしくは208で、論理モデルから論理ブロ
ックXの機能を決定し、夫々段階205,207,20
9,210に分岐する。
分岐のどれを選択したかに依存し、0重み(WOy’)
及び1重み(Wly’)を処理ブロック中に示した公式
に従って計算する。その詳細゛は前に説明した。計算結
果を記憶した後、ブロック211に進む。もし211=
YESならば、トレースバックは完了し、即ちトレース
バックは入力ピン(LSSDラッチを含む)に進み、段
階205゜207.209もしくは210で得た計数値
がこのピンの重み値になる。
現在選択したトレースバックが完了した場合は、ブロッ
ク212において、他の装置出力があるかを調べ、他の
装置出力がある場合はブロック213において、次の装
置出力に関してブロックXを選択し、ブロック213に
戻る。他の装置出力がない場合は動作が終了する。
原Xブロックからのトレースバンクにおいて、1個のY
ブロックを通るだけで入力ピンに達しない時には(21
1にNo)、段階214で原Yブロックが新らしいXブ
ロックとなる。この新らしいXブロックが他の論理ブロ
ックへの入力である場合がある(215=YES)、こ
の時トレースはブロック216に進み、ブロック216
はトレースバックを原Xブロックに戻し、その第2もし
くは第3の経路を介してトレースバックを行う。
新らしいXブロックが入力でない場合は(215=No
)、新らしいXブロックがブロック203から始まるト
レースの出発点となり、入力ピンに到達する迄トレース
バックが続けられる。YブロックのXブロックへの置換
えは入力ピンに達する迄何回も行われる。
出力のすべてが入力ピンまでトレースバックされた時、
各入力ピンはすべての可能なトレースバック経路から計
算したOの重み及び1の重みを有する。0の重みもしく
は1の重みのうち大きな方がその特定のピンの重みとな
る。第3図の適切な重み制御及びタイミングが選択され
る。
シミュレーションを行う時は、各論理ブロックは同定番
号、論理ブロックの種類、先行及び後続の論理ブロック
の入出力接続、並びに入力及び出力ピンを示す番号を有
する。第5図のプログラムの概略を計算機で具体化する
時はX及びYの表示を使用しないで、実際の論理ブロッ
クの同定番号を使用する。この事によってXブロックが
端末論理素子であるか中間の素子であるかどうかを決定
する必要がなくなる。
F116診断テスト 管理の良好な装置の製造ラインでは故障装置はほとんど
製造されない。100%のテストが望まれているので、
テスト・プロトコルは最短のテスト時間で、故障装置を
分離し、これ等の少数の装置中の欠陥を発見するために
製造テストにしわ寄せを及ぼさなない様に設計する事が
好ましい。
何等かの理由で、製造テストが品質の不合格レベルを示
し、欠陥の性質を知って、品質レベルを改良したい場合
には診断テストを使用する。
診断テストは製造テストに使用したプロトコルを反復使
用するが、テストを小さなセグメントに分割する。故障
装置の最終サインは故障分析を受けないが、小さなセグ
メントのテスト結果は故障分析に用いられる。セグメン
トのテスト・プロトコルは製造テストと同じである。
故障チップ(製造テストに不合格)が存在すると、下記
のテストを行い、データ・バンクを発生する。このデー
タ・バンクを使用して、装置に故障を生じた欠陥を診断
する。
段階工 A、テスタを製造テストに使用したのと同じ状態に初期
設定する。
B、セグメント長カウンタを所望のセグメント長に初期
設定する。
C0製造テスト・プロトコルを選択したセグメント長に
等しい繰返し回数だけ反復し、中断する。
D、セグメント・テストによって発生した最終の第2の
レベルのサインをこのセグメントのテスートのシミュレ
ーションによって発生した良好な装置のサインと比較す
る。
E、サインが一致すると、テストは引続き次のセグメン
トに進行し、テストを故障セグメントを検出する迄行う
故障セグメントがみつかった場合には、段階Hに続く。
段階■ セグメントの系列中の任意の個所で発見した故障セグメ
ントを再テストする。
A、擬乱数発生器を、丁度実行したセグメント・テスト
の開始時の夫々の状態に初期設定する。
注:これは擬乱数の既知の発生順序を計算機のシミュレ
ーションによって得て記録したものである。
B、MISRをこのセグメントのテストの開始時の夫々
の状態に初期設定する。
C,セグメント長カウンタを繰返すべきセグメント長に
初期設定する。
D、このセグメント長分を完了するためテストを実行し
、次の事項を記録する。
1、このセグメント・テストの開始的の擬乱数発生器の
夫々の状態。
2、このセグメントのための反復製造テスト中、MI 
SRに導入したすべてのビット・パターン。
3、このセグメントに使用したテスタ・ループの数及び
種類。
E、この故障セグメントのテスト及びデータ収集の終り
に、段階■に進む。
段階■ 故障セグメントの再テストに続くセグメント・テスト。
A、故障セグメントに続くセグメント・テストの開始の
ために占めなければならない夫々の状態に擬ランダム発
生器を初期設定する。
注:シミュレーションによってわかる。
B、もし故障セグメント・テストが先行していなければ
、このセグメント・テストの開始のために占めなければ
ならない夫々の状態にMI SRを初期設定する。
注:シミュレーションによってわかる。
C0この次にセグメント長のためにセグメント長カウン
タを初期設定する。
D、テストを実行して完了する。
E、テスト結果が良好ならば1次のセグメント・テスト
に進む。
F、テストの結果が故障を示すと、段階■に従いこのセ
グメントを再テストする。
例えば、故障装置のデータを100個のセグメント長に
対して収集すると、このデータを計算機中の故障シミュ
レーションで分析して、0もしくは1の縮退故障の内部
素子を指摘出来る・内部素子の多くはセグメント内の少
数のパターンではおそらく働らかせる事は出来ないので
、どの素子(単数もしくは複数)が故障テスト出力を発
生したかを決定するタスクは著しく減少する。もし故障
を示したいくつかのセグメントを同様に分析し、共通の
故障モードを示すならば診断が増強出来る。
これに代って、もしこの故障モードが良好なテスト・セ
グメントに劣悪なテストがある事を示すならば良好なセ
グメントの分析によって成る故障モードを排除する事が
出来る。成る場合にセグメントの良好なテストを発生す
る装置の欠陥を補償出来る場合があるが、すべての場合
に補償出来ない。
すでに説明した様に、LSSD装置は厳密な論理規則に
従うので、任意の相継ぐ入力励振に対する出力応答及び
サイクルの変動をシミュレート出来る。擬ランダム・パ
ターン発生器によって発生する入力励振はテスト・プロ
トコル中の各時点でわかっている。同じ理由で、サイン
を発生するためにMISRによって・具体化出来る論理
機能もシミュレート出来る。
従って装置が製造テストされつつあるか1診断テストさ
れているかに拘らず、各チェック・ポイントの応答をシ
ミユレートしてDUTのサインと比較するサインもしく
は他のビット・パターンを発生出来る。
G6発明の効果 本発明に従えば、かなり少ない数のテスト・パーターン
で製造テスト時間をかなり減少することができる。それ
は。
a、入力端子毎に設けられた擬ランダム・パターン発生
器によってテスト入力が並列に与えられ。
従って各入力端子毎に夫々異なった系列の最適重みづけ
のテスト・パターンを印加することができ、そして、 b、すべての出力応答を並列に受取って全体のテスト・
サインを発生するサイン発生器を使翔するからである。
【図面の簡単な説明】
第1図はテスト・プロトコルのブロック図である。第2
図は擬ランダム・パターン発生器もしくは多重入力サイ
ン・レジスタとして使用出来る線形フィードバック・シ
フトレジスタの代表的な接続を示したブロック図である
。第3図は第2図のランダム・パターン発生器からの1
及び0の重みを選択するための重みづけ回路の図である
。第4図はテスト装置のブロック図である。第5図は重
みを計算するための計算機のプログラムの流れ図である
。第6図はレベル感知走査設計(L S S D)装置
の概略図である。 10・・・・部品論理モデル、20・・・・良好な機械
のシミュレーション、25・・・・多重入力サイン・レ
ジスタ・シミュレータ (MISRSIM)、30・・
・・ソフトウェア・ランダム・パターン発生器、40・
・・・テスタ・ハードウェア・ランダム・パターン発生
器、50・・・・LSSD装置の被テスト装置、55・
・・・MISR,60・・・・テスタ・サイン比較装置
、70・・・・診断ルーチン装置、100・・・・線形
フィードバラ−り・シフトレジスタ、101.104・
・・・排他的ORゲート、300・・・・擬乱数発生器
及び重みづけ回路、301,302゜303.304・
・・・ANDゲート、305・・・・重み選択器(MP
X) 、306・・・・排他的ORゲート、400.4
01・・・・多重入力シフトレジスタ、402・・・・
比較装置、403・・・・メモリ。 出願人  インターナショナル・ビジネス・マシーンズ
・コーポレーション 代理人  弁理士  山  本  仁  朗(外1名)

Claims (1)

  1. 【特許請求の範囲】 内部で相互接続した論理装置の数よりも入力端子及び出
    力端子の数が少ないような複雑な集積回路をテストする
    ための装置において、 各上記入力端子毎に設けられ、夫々が異なった系列の擬
    ランダム・ビット・パターンを発生する複数の擬ランダ
    ム・パターン発生装置と、 各上記発生装置からのビット系列をテスト入力として対
    応する入力端子に印加するための手段と、上記出力端子
    に発生されるすべての信号に応答して、これらの出力信
    号の所定の関数として定まるテスト・サインを発生する
    サイン・レジスタ手段と、 上記テスト・サインと基準テスト・サインとを比較する
    ための手段と、 を有する集積回路テスト装置。
JP61146315A 1985-06-24 1986-06-24 集積回路テスト装置 Expired - Lifetime JPH0658397B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US06/748,288 US4687988A (en) 1985-06-24 1985-06-24 Weighted random pattern testing apparatus and method
US748288 1985-06-24

Publications (2)

Publication Number Publication Date
JPS61296278A true JPS61296278A (ja) 1986-12-27
JPH0658397B2 JPH0658397B2 (ja) 1994-08-03

Family

ID=25008810

Family Applications (1)

Application Number Title Priority Date Filing Date
JP61146315A Expired - Lifetime JPH0658397B2 (ja) 1985-06-24 1986-06-24 集積回路テスト装置

Country Status (4)

Country Link
US (1) US4687988A (ja)
EP (1) EP0218791B1 (ja)
JP (1) JPH0658397B2 (ja)
DE (1) DE3670697D1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03245071A (ja) * 1990-02-23 1991-10-31 Fujitsu Ltd 試験装置
KR20210047286A (ko) * 2020-08-31 2021-04-29 베이징 바이두 넷컴 사이언스 앤 테크놀로지 코., 엘티디. 칩을 검증하는 방법, 장치, 전자 기기, 저장 매체 및 프로그램

Families Citing this family (72)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4688223A (en) * 1985-06-24 1987-08-18 International Business Machines Corporation Weighted random pattern testing apparatus and method
DE3639577A1 (de) * 1986-11-20 1988-05-26 Siemens Ag Logikbaustein zur erzeugung von ungleich verteilten zufallsmustern fuer integrierte schaltungen
US4791357A (en) * 1987-02-27 1988-12-13 Hyduke Stanley M Electronic Circuit board testing system and method
US5329471A (en) * 1987-06-02 1994-07-12 Texas Instruments Incorporated Emulation devices, systems and methods utilizing state machines
US6522985B1 (en) 1989-07-31 2003-02-18 Texas Instruments Incorporated Emulation devices, systems and methods utilizing state machines
US4817093A (en) * 1987-06-18 1989-03-28 International Business Machines Corporation Method of partitioning, testing and diagnosing a VLSI multichip package and associated structure
US6304987B1 (en) 1995-06-07 2001-10-16 Texas Instruments Incorporated Integrated test circuit
US4963824A (en) * 1988-11-04 1990-10-16 International Business Machines Corporation Diagnostics of a board containing a plurality of hybrid electronic components
US4989207A (en) * 1988-11-23 1991-01-29 John Fluke Mfg. Co., Inc. Automatic verification of kernel circuitry based on analysis of memory accesses
US4958347A (en) * 1988-11-23 1990-09-18 John Fluke Mfg. Co., Inc. Apparatus, method and data structure for validation of kernel data bus
CN1045655A (zh) * 1988-11-23 1990-09-26 约翰弗兰克制造公司 ***自动诊断的内核测试接口和方法
JP3005250B2 (ja) 1989-06-30 2000-01-31 テキサス インスツルメンツ インコーポレイテツド バスモニター集積回路
US5043988A (en) * 1989-08-25 1991-08-27 Mcnc Method and apparatus for high precision weighted random pattern generation
US5068852A (en) * 1989-11-23 1991-11-26 John Fluke Mfg. Co., Inc. Hardware enhancements for improved performance of memory emulation method
DE69218682T2 (de) * 1991-02-01 1997-09-18 Digital Equipment Corp Verfahren zum austesten eines softwareprogramms
JP2584172B2 (ja) * 1991-08-23 1997-02-19 インターナショナル・ビジネス・マシーンズ・コーポレイション デイジタル試験信号発生回路
US5331643A (en) * 1991-09-04 1994-07-19 International Business Machines Corporation Self-testing logic with embedded arrays
US5323400A (en) * 1991-09-09 1994-06-21 Northern Telecom Limited Scan cell for weighted random pattern generation and method for its operation
JPH05119122A (ja) * 1991-10-25 1993-05-18 Fujitsu Ltd スキヤン回路のテストパターン生成方法
GR920100088A (el) * 1992-03-05 1993-11-30 Consulting R & D Corp Koloni S Διαφανής έλεγχος ολοκληρωμένων κυκλωμάτων.
US5418931A (en) * 1992-03-27 1995-05-23 Cadence Design Systems, Inc. Method and apparatus for detecting timing errors in digital circuit designs
EP0608657A1 (en) * 1993-01-29 1994-08-03 International Business Machines Corporation Apparatus and method for preparing shape data for proximity correction
US5594741A (en) * 1993-03-31 1997-01-14 Digital Equipment Corporation Method for control of random test vector generation
US5422891A (en) * 1993-07-23 1995-06-06 Rutgers University Robust delay fault built-in self-testing method and apparatus
US5583786A (en) * 1993-12-30 1996-12-10 Intel Corporation Apparatus and method for testing integrated circuits
US5642362A (en) * 1994-07-20 1997-06-24 International Business Machines Corporation Scan-based delay tests having enhanced test vector pattern generation
US5561760A (en) * 1994-09-22 1996-10-01 International Business Machines Corporation System for localizing field replaceable unit failures employing automated isolation procedures and weighted fault probability encoding
US5831437A (en) * 1996-01-05 1998-11-03 Rutgers University Test generation using signal flow graphs
US6408413B1 (en) 1998-02-18 2002-06-18 Texas Instruments Incorporated Hierarchical access of test access ports in embedded core integrated circuits
US6405335B1 (en) 1998-02-25 2002-06-11 Texas Instruments Incorporated Position independent testing of circuits
DE19819264A1 (de) * 1998-04-30 1999-11-25 Micronas Intermetall Gmbh Verfahren zum Testen einer integrierten Schaltungsanordnung und integrierte Schaltungsanordnung hierfür
US6662327B1 (en) 1998-05-13 2003-12-09 Janusz Rajski Method for clustered test pattern generation
US6407569B1 (en) * 1999-03-24 2002-06-18 International Business Machines Corporation Integrated circuit with in situ circuit arrangement for testing integrity of differential receiver inputs
US7058862B2 (en) 2000-05-26 2006-06-06 Texas Instruments Incorporated Selecting different 1149.1 TAP domains from update-IR state
JP3833412B2 (ja) * 1999-04-09 2006-10-11 富士通株式会社 有限体演算における表現データ生成装置および方法
US6658616B1 (en) 1999-11-22 2003-12-02 Cadence Design Systems, Inc. Method for improving the efficiency of weighted random pattern tests through reverse weight simulation using effective pattern masks
US6327687B1 (en) 1999-11-23 2001-12-04 Janusz Rajski Test pattern compression for an integrated circuit test environment
US6874109B1 (en) * 1999-11-23 2005-03-29 Janusz Rajski Phase shifter with reduced linear dependency
US6684358B1 (en) * 1999-11-23 2004-01-27 Janusz Rajski Decompressor/PRPG for applying pseudo-random and deterministic test patterns
JP3845016B2 (ja) * 1999-11-23 2006-11-15 メンター・グラフィクス・コーポレーション テスト中回路技術分野へのテストパターンの連続的な適用およびデコンプレッション
US7493540B1 (en) 1999-11-23 2009-02-17 Jansuz Rajski Continuous application and decompression of test patterns to a circuit-under-test
US6557129B1 (en) 1999-11-23 2003-04-29 Janusz Rajski Method and apparatus for selectively compacting test responses
US6353842B1 (en) 1999-11-23 2002-03-05 Janusz Rajski Method for synthesizing linear finite state machines
US8533547B2 (en) * 1999-11-23 2013-09-10 Mentor Graphics Corporation Continuous application and decompression of test patterns and selective compaction of test responses
US9134370B2 (en) 1999-11-23 2015-09-15 Mentor Graphics Corporation Continuous application and decompression of test patterns and selective compaction of test responses
US9664739B2 (en) 1999-11-23 2017-05-30 Mentor Graphics Corporation Continuous application and decompression of test patterns and selective compaction of test responses
US6490702B1 (en) 1999-12-28 2002-12-03 International Business Machines Corporation Scan structure for improving transition fault coverage and scan diagnostics
US6453436B1 (en) 1999-12-28 2002-09-17 International Business Machines Corporation Method and apparatus for improving transition fault testability of semiconductor chips
US6728915B2 (en) 2000-01-10 2004-04-27 Texas Instruments Incorporated IC with shared scan cells selectively connected in scan path
US6532571B1 (en) * 2000-01-21 2003-03-11 International Business Machines Corporation Method to improve a testability analysis of a hierarchical design
US6769080B2 (en) 2000-03-09 2004-07-27 Texas Instruments Incorporated Scan circuit low power adapter with counter
US6748564B1 (en) * 2000-10-24 2004-06-08 Nptest, Llc Scan stream sequencing for testing integrated circuits
US6795948B2 (en) 2000-12-27 2004-09-21 Intel Corporation Weighted random pattern test using pre-stored weights
US20020194558A1 (en) * 2001-04-10 2002-12-19 Laung-Terng Wang Method and system to optimize test cost and disable defects for scan and BIST memories
US6968489B2 (en) * 2002-01-23 2005-11-22 International Business Machines Corporation Pseudo random optimized built-in self-test
US7085980B2 (en) * 2002-05-02 2006-08-01 International Business Machines Corporation Method and apparatus for determining the failing operation of a device-under-test
US7437640B2 (en) * 2003-02-13 2008-10-14 Janusz Rajski Fault diagnosis of compressed test responses having one or more unknown states
US7509550B2 (en) * 2003-02-13 2009-03-24 Janusz Rajski Fault diagnosis of compressed test responses
EP1595211B1 (en) * 2003-02-13 2008-07-09 Mentor Graphics Corporation Compressing test responses using a compactor
US7302624B2 (en) * 2003-02-13 2007-11-27 Janusz Rajski Adaptive fault diagnosis of compressed test responses
US7269805B1 (en) 2004-04-30 2007-09-11 Xilinx, Inc. Testing of an integrated circuit having an embedded processor
US7231621B1 (en) 2004-04-30 2007-06-12 Xilinx, Inc. Speed verification of an embedded processor in a programmable logic device
US7765450B2 (en) * 2005-10-20 2010-07-27 Jon Udell Methods for distribution of test generation programs
US7386778B2 (en) * 2005-10-20 2008-06-10 Jon Udell Methods for distributing programs for generating test data
EP2677328B1 (en) * 2006-02-17 2015-07-29 Mentor Graphics Corporation Multi-stage test response compactors
US7693676B1 (en) * 2006-09-08 2010-04-06 Cadence Design Systems, Inc. Low power scan test for integrated circuits
FR2960977B1 (fr) * 2010-06-07 2012-07-13 St Microelectronics Grenoble 2 Generateur de sequence a sollicitation variable pour circuit d'autotest integre
JP5695743B2 (ja) 2010-08-12 2015-04-08 アドバンテスト (シンガポール) プライベート リミテッド 基準スキャンチェーン試験データを発生させる試験装置、及び試験システム
CN106919365A (zh) 2016-08-29 2017-07-04 阿里巴巴集团控股有限公司 计算机***中随机数的生成方法及装置
CN107564492B (zh) * 2017-09-13 2021-07-09 武汉精测电子集团股份有限公司 一种自适应级联的图形信号发生***
US11079433B2 (en) 2019-11-25 2021-08-03 International Business Machines Corporation Logic built-in self test dynamic weight selection method
US11112457B2 (en) 2019-11-25 2021-09-07 International Business Machines Corporation Dynamic weight selection process for logic built-in self test

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6019020A (ja) * 1983-07-14 1985-01-31 Nippon Steel Corp ガス分離モジユ−ルの端部固定法

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3614608A (en) * 1969-05-19 1971-10-19 Ibm Random number statistical logic test system
US3719885A (en) * 1971-12-13 1973-03-06 Ibm Statistical logic test system having a weighted random test pattern generator
US3761695A (en) * 1972-10-16 1973-09-25 Ibm Method of level sensitive testing a functional logic system
US3976864A (en) * 1974-09-03 1976-08-24 Hewlett-Packard Company Apparatus and method for testing digital circuits
US4594711A (en) * 1983-11-10 1986-06-10 Texas Instruments Incorporated Universal testing circuit and method

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6019020A (ja) * 1983-07-14 1985-01-31 Nippon Steel Corp ガス分離モジユ−ルの端部固定法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03245071A (ja) * 1990-02-23 1991-10-31 Fujitsu Ltd 試験装置
KR20210047286A (ko) * 2020-08-31 2021-04-29 베이징 바이두 넷컴 사이언스 앤 테크놀로지 코., 엘티디. 칩을 검증하는 방법, 장치, 전자 기기, 저장 매체 및 프로그램

Also Published As

Publication number Publication date
EP0218791A1 (en) 1987-04-22
EP0218791B1 (en) 1990-04-25
DE3670697D1 (de) 1990-05-31
US4687988A (en) 1987-08-18
JPH0658397B2 (ja) 1994-08-03

Similar Documents

Publication Publication Date Title
JPS61296278A (ja) 集積回路テスト装置
JPS61296279A (ja) 集積回路構造体テスト入力パタ−ンの重みづけ方法
US4745355A (en) Weighted random pattern testing apparatus and method
US4801870A (en) Weighted random pattern testing apparatus and method
US4519078A (en) LSI self-test method
EP0568132A2 (en) Test generation by environment emulation
US4779273A (en) Apparatus for self-testing a digital logic circuit
US7831863B2 (en) Method for enhancing the diagnostic accuracy of a VLSI chip
EP1015899B1 (en) A method and apparatus for application of weighted random patterns to partial scan designs
JPS6013269A (ja) ホーミング方法
Muehldorf et al. LSI logic testing—An overview
US20030131294A1 (en) Stuck-at fault scan chain diagnostic method
US20200341057A1 (en) Low Pin Count Reversible Scan Architecture
Cheng et al. Compactor independent direct diagnosis
US6178534B1 (en) System and method for using LBIST to find critical paths in functional logic
Wohl et al. Effective diagnostics through interval unloads in a BIST environment
JP3129761B2 (ja) Plaのテスト容易化回路
US6721914B2 (en) Diagnosis of combinational logic circuit failures
US20050204237A1 (en) Method and system for providing interactive testing of integrated circuits
US5293387A (en) Method for increasing the resolution of a digital fault dictionary
CA1241375A (en) Weighted random pattern testing apparatus and method
US10247776B2 (en) Structurally assisted functional test and diagnostics for integrated circuits
Novak et al. Test-per-clock testing of the circuits with scan
Cheng et al. Enhance profiling-based scan chain diagnosis by pattern masking
Chillarige et al. High Throughput Chain Diagnosis Methodology with Minimal Failure Data Collection