JPS5929435A - 試料支持装置 - Google Patents

試料支持装置

Info

Publication number
JPS5929435A
JPS5929435A JP57138583A JP13858382A JPS5929435A JP S5929435 A JPS5929435 A JP S5929435A JP 57138583 A JP57138583 A JP 57138583A JP 13858382 A JP13858382 A JP 13858382A JP S5929435 A JPS5929435 A JP S5929435A
Authority
JP
Japan
Prior art keywords
sample
sections
adsorption
adsorption parts
parts
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP57138583A
Other languages
English (en)
Other versions
JPH0136707B2 (ja
Inventor
Yoshio Hokotani
鉾谷 義雄
Yoshihisa Namikawa
南川 佳久
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Ltd
Original Assignee
Hitachi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd filed Critical Hitachi Ltd
Priority to JP57138583A priority Critical patent/JPS5929435A/ja
Priority to US06/521,610 priority patent/US4520421A/en
Publication of JPS5929435A publication Critical patent/JPS5929435A/ja
Publication of JPH0136707B2 publication Critical patent/JPH0136707B2/ja
Granted legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02NELECTRIC MACHINES NOT OTHERWISE PROVIDED FOR
    • H02N13/00Clutches or holding devices using electrostatic attraction, e.g. using Johnson-Rahbek effect
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S269/00Work holders
    • Y10S269/903Work holder for electrical circuit assemblages or wiring systems
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T279/00Chucks or sockets
    • Y10T279/23Chucks or sockets with magnetic or electrostatic means

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

(57)【要約】本公報は電子出願前の出願データであるた
め要約のデータは記録されません。

Description

【発明の詳細な説明】 本発明は試料支持装置、特に真空室内で試料を支持する
のに適した試料支持装置1¥に関する。
たとえば半2n1体製造装置置として知られるイオン打
込み装置においては、試料としての多数のウエ−ハが打
込み室に用意され、これらを予め定められた位lid、
 K順次移動させながらイオン打込みが行われる。」j
込み室け183空にされなければならないことから、大
気中における試料の保持ないしは支持手段として知られ
る真空(吸着手段を打込み室内における試料支持千1々
として用いることはできない。このため、杓込み室内に
おける試料支持手段としてねじ止めを利用するのが一般
的である。しかし、とのねじ止めの場合tよ、サイズが
異なる試料の固定が容易でないこと、試別が破損し易い
ことなどの問題がある。
これらの問題を解決すべく、試料と絶縁体で構成された
試料吸着部との間に電圧を印加し、それによって生じる
静電吸引力によって前者を後者に吸着して支持する試み
がなされている。この場合、真空吸着におけるのと同程
度の吸引力(大気圧にほぼ等しい圧カニIK9/an2
)を得るためには、試料吸着部は厚さが数μmで、絶縁
破壊可、圧が10’V/cm程度以上の絶縁体でtli
成される必要がある。
試別吸着部を構成する絶縁体としてはマイカ(ma I
 c a )やSin、などが利用可能であるが、数/
I II+程度の一定厚さのマイカやsho、膜を得る
ことは実際上非常に困駿である。この問題を解決するた
めには膜を厚くすればよいが、そのようにすれは印加7
17.川を高めなければならないという問題が生じる。
したがって、本発明の目的シま所定の静′1(1吸引力
を1()るに当って、試料吸着部が絶縁体で作られる場
合に比べて試第1吸着部を叩くし、印力1ビdL川を低
くすることができる試料支持装置i1を提供することに
ある。
本発明によれば、それぞれ試別の一方の而と接触すべき
半411工性誘電体製の第1および第2の試料吸着部を
有し、これらの試II IJl&、肩部間に111.圧
が印加され、それによって試お)と第1および第2の試
料IRk着部との間に生じる静電吸引力により試別が第
1および第2の試料吸着部に吸着される。
第1図は本発明の原理の理解を助けるために示されたも
ので、同図を参照するに、試別支持基体1には電気絶縁
体2aおよび2bを介して、下面に゛「電極3aおよび
31〕が取イτjけられかつ半導電性誘電体で作られた
試料吸着部4aお」:び4bが固定されている。
試別吸着部4aおよび4bの上面には試別5が載置され
ている。この状態で試料吸着R1i 4 Flおよび4
シ間に11を源6からある電圧が印加されると、試料5
を介し7°C試料吸着部4aおよび4bの一方から他方
に向う誘電1束7が生じる。これによって、試別吸着部
4aおよび4bの下面にe」電極3aおよび3bにおけ
るのと反対も1号の電荷が、上面には同符号の電荷がそ
れぞれ形成され、そして試料5の、試別吸着部4aおよ
び4bとの接触面γ911には試料吸着部4aおよび4
bの上面におけるのと反対符号の11宅荷がそれぞれ形
成される。したがって、試別5と試別吸着部4aおよび
41〕との間にtま静電吸引力が生じ、これによって前
者が後者によって吸着きれ、支持される。
試料5と試別吸着部4aおよび41〕との間の接触抵抗
を1し6、その接触容量をCI 、試料1吸着部4aお
よび4bの抵抗を1h1並びに試料吸着部4aおよび4
シ間に印加される電圧1’、CV。とすると、第1図の
等価回路は第2図のように表わ宴れる。第2図から、接
触抵抗1シ、の両端電圧V、tJV −= R−V、n
 / (11,b−t−IL。) ==V0/((Tu
b /It、)+1〕で力えられる。
また、試別5と試別吸着部4aおよび4bとの間の平均
間隙をdとすると、試オ・[5と試料吸着部4aおよび
4bとの間に生じる静電吸引力はd2に逆比例し、V 
s ”  に比例する。
IL bおよびR、をそれぞれ体積固有抵抗(Ω・Cn
l )で表わすと、YNJ611′J:はぼ数Ω’ o
nである。したがって試別吸着部4aおよび4bが絶縁
体で作られる場合Qま、TLbは101tΩ・CI++
程度であるから、n b ”)p R−となッテ、V、
”:0(V)、!:なり、静電吸引力はほとんど発生し
安い。との静1σ5吸引力を発生させるためには絶縁体
で作られる試料吸着部4aおよび4bをY(りくしてI
L bを小さくすることによシv、金高くする心間があ
る。しかし、試料吸着部4aおよび4bの厚さを1μI
11にしたとし−Cも、ILbは約108Ω・CTnと
比較的太きい。
一方、半へ1不[1尤1牛誘屯体の体積固〕0抵抗&;
t 10 ’〜10’ Ω・cllIt呈度であるから
、試別吸着部4aおよび41〕が半・j’h FIL 
Kl・1;君市体で作られる場合C10ソ(7)J!j
2サカ1 tr m テEy Z)(!:き、IL h
 &よ102〜104Ω・Onとなる。これtよ、試料
吸着部4aおよび41〕が半’jlX Ilj性tf、
9Tlc体で作られる場合は、絶縁体で作られる場合に
比べて、所定の静電吸引力をイn Z)に肖って試料吸
メ′イ部4aおよび4bをJツくすることができ、かつ
印力旧ff、圧を1氏くし得ること2意味する。
試別吸着部4aお上び4bが半導電性誘電体で作られる
場合Cま、絶縁体で作られる場合とちがって、試別吸着
部48および4bが′重圧印加によって分極しても、’
に圧印加を止めることによって分極にもとづ< ′il
j’、イ:!fが直ちに消失し、そのためごみの付ノ+
fの問題が生じない。
試料吸7Iq部4aおよび41)が半導′電性誘電体製
である。喝4Nよ、絶縁体で作られる場合に比べて試別
吸y6f部4aおよび4bを厚くすることができるから
、試別吸着部4aおよび41〕定絶縁体で作る1ノ9合
にそれ5C薄くする必要があることに起因しで生じる恐
れのある試料吸着部4nおよび41〕の絶縁破壊の18
題が解決される。
試料吸着部4aおよび41)と試別5との間に71丁。
圧を印加しても試第15の吸着、支持は可能である。
しかし、この場合は、試料5と試料吸着部4aおよび4
1〕との間の電気的導通手段が必要であるが故に試料の
汚染やイ11り損の問題が生じ、また取1ルいの不便さ
も免れ得ない。
これに対して、第1図に示されるように、試H5の一方
の面、すなわち下面がそれぞれ接触すべき試別吸着部4
aおよび4b間に11丁、圧を印加するようにすれば、
試別5に対する電気的導通の必要がなくなるので、前述
したような問題tよ一挙に解される。
第1図でり試別吸着部41′Iおよび4bは互いに独立
しているが、これらは同じ半導電性誘?Tt体金介して
つながっていてもよい。
試料吸着部4aおよび4bを(直成する半導11モ性I
′lUt体としてはセルロース・カーボンやグラファイ
トなどを用いることができ、また印加電圧は必ずしも直
流である必要シュなく、交流であってもよい。
第3図〜第5図は本発明の一実施例の試別支持装置を採
用したイオン打込み装置の要部を示す。
同図において、打込み室1oを形成する打込み室基体l
itよ太い矢印の方向からの打込み用イオンビームが通
るための孔12と打込み室1oを真空にするように排気
するための真空ポンプ(図示せず)が接続されるべき排
気口13とをもっている。
打込み室10を形成しかつ打込み室基体11に耐n空的
に取りはずし可能に固定されている打込み室蓋14には
打込み用イオンビームに対して直角な平面において耐真
空的に移動可能な移動体15が11ノリつけられている
試料支持基体としての回転板16は打込み室10内に配
置され、かつ移動体15を耐真空的に5″1通する回転
中空軸17をもっている。回転板ill中空状に形成さ
れ、その中空状部には円形の仕切り板1Bが配置されて
いる。仕切り板18にはその中心部に設けられた孔と連
通するように固定中空’I’ll+ 19が取りつけら
ルCいる。
固定冷ノζ11ヘッド20は冷媒人口21および冷媒I
JJ ILI 22をもっていて、固定中空軸19シ」
i′i;媒人口21と連通するように固定冷却ヘッド2
0に固るように固定冷却ヘッド20に+iit R空的
に回転可能広部は−う・に係合されている。
回転板16はその同−内置」二に等間隔に配信された8
個のに別吸着支持部をもっており、これらには試A、・
1すなわちイオン打込み用ウェー\シバ23がそれぞれ
吸着、支持される。
8個の試イ;・1吸着支持部の各々ti底而面それぞれ
?It4仇24 aおよび241) i;(もつ、半導
電1生誘覗(本、たトエばセルロース・カーボン−やグ
ラファイトなどで作られた試料吸着部’l 5 aおよ
び25bを備え、これらは電気絶縁性接着剤2Gによっ
て回転板16に固定されCいる。
8個の試料吸着支持部の試別吸着部25aおよび25b
間には、第6図に示されるように、ミノ27からWIT
、(1供24 aおよび241)を介してそれぞれ電圧
が印加されるようになっており、そしてこのWIT、圧
印IJ11−スイッチ28により各試料吸着支持部ブσ
に独立して行われ得るようになっている。
動作を説明するに、打込み室蓋14を打込み室基体11
から取りけずして、試料23を試料吸着支持部にそれぞ
れ載置し、この状態でスイッチ28を閉じると、試料2
3は第1図および第2図を8照して説明されたのと同じ
原理にもとづき試料吸着支持部にそれぞれ吸着、支持さ
れる。
この後、打込み室蓋14は打込み室基体11に面1fi
I空的に取りつけられ、そして打込み室1oは所定のp
−空度((なるように排気口13全通して真空ポンプ(
1図示せず)Kより排気される。
この状態で回転中空+1+ll+17、したがって回転
板16を回転させると共に太い矢印の方向から打込み室
10に入射するイオンビームを紙面に対して直角な方向
に走査しつつ移動台15、したがって1回転板16をイ
オンビームの走査方向に対して1げ角な方向に予め定め
られたスピードで移動さぜると、試料23の各々に対す
る均一なイオン打込みが行われる。
一方、冷却ヘッド20の冷媒人口21からtよ冷媒であ
る冷却水が導入され、この冷却水d細い矢印で示される
経路を通って冷媒出口22から排111される。したが
って、試料23は冷却水によって冷却されるので、イメ
/打込みによる試別23の過度の温度上列が防止される
イオン打込み後試料28を取りはずしたいときは、すJ
込み室蓋14を取りはずし、スイッチ2Bを開けばよい
。この場合、8個のスイッチのうりの選択されたものだ
けを開けば、それによつ−C’ili。
圧印加が止められた試料吸着支持部の試料のみを取りけ
ずし、残りの試別を吸着、支持された洩1にしておくこ
とができる。
なお、固定冷却ヘツ゛ド20の固定手段、回転中空’l
’ll+ 17の回転手段および移動体15の移動手段
は公知であるため、図面および説明の簡略化の目的でそ
の図示は省略されである。
pt!77図は第5図に対応するもう一つの実施例を示
し、第5図と同じ部4(にtま同じ省1号が付ぜられて
いる。
第7図の実施例の第5図のそれに対する相違点d1試別
吸着部25 aおよび251〕の試料23側表面にアル
ミニウムなどの導電性膜291’lおよび29bが形成
されている点である。この実施例においては、導電性膜
27aおよび27!〕はこれらが形成されている側の試
料吸着部25aおよび251)の表面と反対の極性にな
るから、試料23の4電性膜側表面t」第5図における
試料23の試別吸着部側表面に対して反対の極性となる
。しかし、試別23には第5図の場合と同様に静電吸引
力が作用するから、試料21よ27’i’ IiL性膜
29aおよび29bによって吸着、支持されることにな
る。
導電性膜29aおよび29bはたとえば蒸着技術によっ
て容易に形成−t゛ることかでき、かつ凹凸のない平滑
な表面を容易に得ることができる。したがって、試別2
3と411(性膜29aおよび29bとの間の平均間隙
の減少にもとづく静電吸引力のへ1大が期待され得る。
加うるに、導電ゼロ1へ29+1および29bけ半導屯
性誘11を体に比べて−1)ノル伝導性が1好であるか
ら、試料23の放熱効弔という利点も期待され得る。
なお、第4図、第5図および第7図において、試料吸着
部25aおよび25bは独立し−Cいるが、これらは同
じ半導電性誘電体を介してつながっていてもよい。寸た
、試料吸着支持部の数08個に限られるものでυまなく
、それよりも多くても少なくてもよい。
第8図および第9図は本発明にもとづく試別支持装置の
もう一つの実施例を示す。
それぞれ底面に電枦30を有し、かつ半導電性誘電体で
作られる8個の扇形試料吸着部31は全体として円形状
に配置されるように電気絶縁性接着剤32を介して試料
支持基体33に接着されている。1,77、極30には
その極性がダ互に反対になるように電ぷ34から電圧が
印加される。これによって、互いに隣合う扇形試別吸着
部間に(,1試月35を通しての銹電束がそれぞれ生じ
るから、試科35 t;I: ’!’y 1図および第
2図を参照して説明されたのと同じF+j 3!++で
扇形試料吸着部31によって吸ン「、支持される。
この実Aイt>例U」走査形市:子顕微鏡のように試料
を、^、空中に配lif、 してぞの試料の観察や分析
全行なうす4合のn・(別支持手段として用いられ得る
以上の説明から明らかなように、本発明によれば、所定
の¥/rI電吸引力を得るに当って、試料吸着部が絶縁
体で作られる場合に比べて試料吸着部を厚く、印加1)
L圧を低くすることができ、かつ試料の試料吸着部に対
する低圧印加の必要がない。
【図面の簡単な説明】
第1図Cま本発明の原理の理解を助けるために示された
試料支持装置の要部の縦断面図、第2図は第1図(a)
の等価回路図、第3図は本発明の一実施例の試料支持装
置!(、を採用したイオン打込み装置の要部の縦断面図
、第4図は第3図の試料回転支持基板の右側面図、第5
図は第3図のP部の拡大図、第6図Cユ第3図乃至第5
図の実施例と共に用いられる電気回路図、第7図は第3
図のP部の、第5図に対応するもう一つの実施例の拡大
図、第8図tユ本発明にもとづく試料支持装置1(Cの
もう一つの実施例tD −’F 1ffi図、第9 図
t;I8r> 8図(7)IX  IX’?jに111
う断面図である。 、1.1(i、:13・・・試料支持基体、3,24,
3tl・・・電極、4,25.31・・・試料吸着部、
5,23゜35・・・試料、6,27.34・・・電源
、28・・・スイッチ。 代理人 ブP理士 高僑明夫゛、;。 ’=、=t− 薯g(El 茗q(a

Claims (1)

  1. 【特許請求の範囲】 1、それぞれ試t1の一方の而と接触すべき半?1%電
    性誘電体少すの?1〜1卦よび第2゛の試181吸着部
    を有し、これらの試料吸着部間にtl’、圧を印加し、
    それによって前記試料と前記第1および第2の試料吸着
    部との間に生じる静′「げ、吸引力により前記試料が前
    記第1および第2の試ネー罰”を着rs+s vc吸着
    されること全特徴とする試料支持装置1グ、。 2、それぞれ試料の一方の而と接触すべき導電性膜が形
    成される半導電性誘電体製の第1および第2の試お1吸
    着)“7bを有し、これらの試料吸着部間に71’i、
    圧を印加し、それによっ−〔前記試料と前記導電性膜と
    の間に生じるi’r電吸電力引力り前記試料が前記導電
    性膜に吸着さノシることを!1イ徴とする試料支持装置
    。 3、それぞれ試享1の一方の面と接融すべき半導電性誘
    電体製の第1および第2の試料吸着部をそれぞれ有する
    8、数個の試料吸着支持部を試料支持基体に設け、前記
    第1および第2の試′A”l吸着部間に前記各試料吸着
    支持部毎に独立にTlj、圧を印加し、それによって前
    記試料と前記第1および第2の試料吸着部との間に生じ
    るIl!?−電ic吸引力により前記試料が前記第1お
    よび第2の試オ′・F吸着部に吸着されることを’i!
    1Iri″にとする試J’l支持装置。 4、それぞれ試料の一方の面と接触すべき導電性j11
    Wが形成される半導電性誘’Wl(体製の第1および第
    2の試料吸着部?それぞれ有する複数個の試オ・1.1
    17゜着支持部を試(′1支持基体に設け、前記第1お
    よび第2の試料吸着部間に前iC8試料吸着支持部毎に
    独立にTli圧を印加し、それによって前記試料と前記
    導電性膜との間に生じる静電吸引力により前記試料がi
    iI記2!を電性膜に吸着されることを特徴とする試料
    支持装j4゜
JP57138583A 1982-08-11 1982-08-11 試料支持装置 Granted JPS5929435A (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP57138583A JPS5929435A (ja) 1982-08-11 1982-08-11 試料支持装置
US06/521,610 US4520421A (en) 1982-08-11 1983-08-09 Specimen supporting device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP57138583A JPS5929435A (ja) 1982-08-11 1982-08-11 試料支持装置

Publications (2)

Publication Number Publication Date
JPS5929435A true JPS5929435A (ja) 1984-02-16
JPH0136707B2 JPH0136707B2 (ja) 1989-08-02

Family

ID=15225507

Family Applications (1)

Application Number Title Priority Date Filing Date
JP57138583A Granted JPS5929435A (ja) 1982-08-11 1982-08-11 試料支持装置

Country Status (2)

Country Link
US (1) US4520421A (ja)
JP (1) JPS5929435A (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5092729A (en) * 1989-10-27 1992-03-03 Hitachi, Ltd. Apparatus for transporting a wafer and a carrier used for the same
KR100463782B1 (ko) * 1995-09-20 2005-04-28 가부시끼가이샤 히다치 세이사꾸쇼 정전흡착전극및그제작방법

Families Citing this family (232)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4692836A (en) * 1983-10-31 1987-09-08 Toshiba Kikai Kabushiki Kaisha Electrostatic chucks
US4884026A (en) * 1987-06-24 1989-11-28 Tokyo Electron Limited Electrical characteristic measuring apparatus
JPH0730468B2 (ja) * 1988-06-09 1995-04-05 日電アネルバ株式会社 ドライエッチング装置
US5001594A (en) * 1989-09-06 1991-03-19 Mcnc Electrostatic handling device
US5452177A (en) * 1990-06-08 1995-09-19 Varian Associates, Inc. Electrostatic wafer clamp
EP0460955A1 (en) * 1990-06-08 1991-12-11 Varian Associates, Inc. Clamping a workpiece utilizing polyphase clamping voltage
US5055964A (en) * 1990-09-07 1991-10-08 International Business Machines Corporation Electrostatic chuck having tapered electrodes
US5325261A (en) * 1991-05-17 1994-06-28 Unisearch Limited Electrostatic chuck with improved release
US5184398A (en) * 1991-08-30 1993-02-09 Texas Instruments Incorporated In-situ real-time sheet resistance measurement method
US5213349A (en) * 1991-12-18 1993-05-25 Elliott Joe C Electrostatic chuck
US5600530A (en) * 1992-08-04 1997-02-04 The Morgan Crucible Company Plc Electrostatic chuck
WO1994011944A1 (en) * 1992-11-06 1994-05-26 Varian Associates, Inc. Electrostatic wafer clamp
US5801915A (en) * 1994-01-31 1998-09-01 Applied Materials, Inc. Electrostatic chuck having a unidirectionally conducting coupler layer
US5822171A (en) * 1994-02-22 1998-10-13 Applied Materials, Inc. Electrostatic chuck with improved erosion resistance
US6141203A (en) * 1994-03-03 2000-10-31 Sherman; Arthur Electrostatic chuck
JPH07321176A (ja) * 1994-05-20 1995-12-08 Hitachi Ltd 基板搬送方法
US5670066A (en) * 1995-03-17 1997-09-23 Lam Research Corporation Vacuum plasma processing wherein workpiece position is detected prior to chuck being activated
US5781400A (en) 1995-09-20 1998-07-14 Hitachi, Ltd. Electrostatically attracting electrode and a method of manufacture thereof
US6370007B2 (en) 1995-09-20 2002-04-09 Hitachi, Ltd. Electrostatic chuck
US5751537A (en) * 1996-05-02 1998-05-12 Applied Materials, Inc. Multielectrode electrostatic chuck with fuses
US6055150A (en) * 1996-05-02 2000-04-25 Applied Materials, Inc. Multi-electrode electrostatic chuck having fuses in hollow cavities
US6441514B1 (en) 1997-04-28 2002-08-27 Ultratech Stepper, Inc. Magnetically positioned X-Y stage having six degrees of freedom
US5886432A (en) * 1997-04-28 1999-03-23 Ultratech Stepper, Inc. Magnetically-positioned X-Y stage having six-degrees of freedom
US5841624A (en) * 1997-06-09 1998-11-24 Applied Materials, Inc. Cover layer for a substrate support chuck and method of fabricating same
US5969934A (en) * 1998-04-10 1999-10-19 Varian Semiconductor Equipment Associats, Inc. Electrostatic wafer clamp having low particulate contamination of wafers
US6219219B1 (en) * 1998-09-30 2001-04-17 Applied Materials, Inc. Cathode assembly containing an electrostatic chuck for retaining a wafer in a semiconductor wafer processing system
GB2354114A (en) * 1999-09-13 2001-03-14 Gareth John Monkman Micro-scale electrostatic gripper
US6538873B1 (en) 1999-11-02 2003-03-25 Varian Semiconductor Equipment Associates, Inc. Active electrostatic seal and electrostatic vacuum pump
US6362946B1 (en) 1999-11-02 2002-03-26 Varian Semiconductor Equipment Associates, Inc. Electrostatic wafer clamp having electrostatic seal for retaining gas
US6686598B1 (en) 2000-09-01 2004-02-03 Varian Semiconductor Equipment Associates, Inc. Wafer clamping apparatus and method
US6780696B1 (en) 2000-09-12 2004-08-24 Alien Technology Corporation Method and apparatus for self-assembly of functional blocks on a substrate facilitated by electrode pairs
US20040066601A1 (en) * 2002-10-04 2004-04-08 Varian Semiconductor Equipment Associates, Inc. Electrode configuration for retaining cooling gas on electrostatic wafer clamp
US7199994B1 (en) * 2004-01-12 2007-04-03 Advanced Micro Devices Inc. Method and system for flattening a reticle within a lithography system
KR100773723B1 (ko) 2005-09-08 2007-11-06 주식회사 아이피에스 플라즈마 처리장치
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP6356516B2 (ja) * 2014-07-22 2018-07-11 東芝メモリ株式会社 プラズマ処理装置およびプラズマ処理方法
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS55115047U (ja) * 1979-02-06 1980-08-13
JPS5685828A (en) * 1979-12-17 1981-07-13 Nec Corp Electrostatic wafer holder
JPS58102537A (ja) * 1981-12-14 1983-06-18 Hitachi Ltd 静電吸着式チヤツキング装置
JPS58190037A (ja) * 1982-04-28 1983-11-05 Toshiba Corp 静電チヤツク装置およびその製造方法
JPS6114660A (ja) * 1984-06-29 1986-01-22 Casio Comput Co Ltd コロナ放電装置

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3916270A (en) * 1974-05-02 1975-10-28 Tektronix Inc Electrostatic holddown apparatus
US3983401A (en) * 1975-03-13 1976-09-28 Electron Beam Microfabrication Corporation Method and apparatus for target support in electron projection systems
US4184188A (en) * 1978-01-16 1980-01-15 Veeco Instruments Inc. Substrate clamping technique in IC fabrication processes
US4384918A (en) * 1980-09-30 1983-05-24 Fujitsu Limited Method and apparatus for dry etching and electrostatic chucking device used therein

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS55115047U (ja) * 1979-02-06 1980-08-13
JPS5685828A (en) * 1979-12-17 1981-07-13 Nec Corp Electrostatic wafer holder
JPS58102537A (ja) * 1981-12-14 1983-06-18 Hitachi Ltd 静電吸着式チヤツキング装置
JPS58190037A (ja) * 1982-04-28 1983-11-05 Toshiba Corp 静電チヤツク装置およびその製造方法
JPS6114660A (ja) * 1984-06-29 1986-01-22 Casio Comput Co Ltd コロナ放電装置

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5092729A (en) * 1989-10-27 1992-03-03 Hitachi, Ltd. Apparatus for transporting a wafer and a carrier used for the same
KR100463782B1 (ko) * 1995-09-20 2005-04-28 가부시끼가이샤 히다치 세이사꾸쇼 정전흡착전극및그제작방법

Also Published As

Publication number Publication date
US4520421A (en) 1985-05-28
JPH0136707B2 (ja) 1989-08-02

Similar Documents

Publication Publication Date Title
JPS5929435A (ja) 試料支持装置
US6781812B2 (en) Chuck equipment
ATE154163T1 (de) Elektrostatische halteplatte
JP2001298072A (ja) 静電吸着装置及びこれを用いた真空処理装置
KR920010821A (ko) 유지장치 및 그것을 사용한 반도체 제조장치
JPH0351101B2 (ja)
JP3230821B2 (ja) プッシャーピン付き静電チャック
JP3287996B2 (ja) 静電チャック装置
JPH07130826A (ja) 静電チャック
JPH058140A (ja) 静電チヤツク
JP3101354B2 (ja) 静電チャック及びこの静電チャックを備えたプラズマ装置
JPS6325706B2 (ja)
JPH08115871A (ja) 試料ホルダの固定装置
JPS59132139A (ja) 静電チヤツク板
JPH07321186A (ja) 静電吸着装置
JPS63299137A (ja) 試料保持装置
JPS58102537A (ja) 静電吸着式チヤツキング装置
JPH11145266A (ja) 静電吸着装置および静電吸着方法、ならびにそれを用いた基板搬送装置および基板搬送方法
JP3254701B2 (ja) 静電チャック及びその製造方法
US713863A (en) Process of coating phonograph-records.
JPH0531300B2 (ja)
JP2008103753A (ja) 半導体製造装置用静電吸着ステージ
JP2004186356A (ja) 試料保持装置及び試料保持部材の接地方法
JPH0553239U (ja) 試料保持装置
JPH0243752A (ja) 静電チャック型ウエハホルダ