JPH0351101B2 - - Google Patents

Info

Publication number
JPH0351101B2
JPH0351101B2 JP19012482A JP19012482A JPH0351101B2 JP H0351101 B2 JPH0351101 B2 JP H0351101B2 JP 19012482 A JP19012482 A JP 19012482A JP 19012482 A JP19012482 A JP 19012482A JP H0351101 B2 JPH0351101 B2 JP H0351101B2
Authority
JP
Japan
Prior art keywords
sample
electrostatic chuck
chuck body
ammeter
dielectric layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired
Application number
JP19012482A
Other languages
English (en)
Other versions
JPS5979545A (ja
Inventor
Tooru Tojo
Mineo Goto
Kazuyoshi Sugihara
Mitsuo Tabata
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Tokyo Shibaura Electric Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Shibaura Electric Co Ltd filed Critical Tokyo Shibaura Electric Co Ltd
Priority to JP19012482A priority Critical patent/JPS5979545A/ja
Publication of JPS5979545A publication Critical patent/JPS5979545A/ja
Publication of JPH0351101B2 publication Critical patent/JPH0351101B2/ja
Granted legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electron Beam Exposure (AREA)

Description

【発明の詳細な説明】 〔発明の技術分野〕 本発明は、微細加工に供される試料を保持固定
する静電チヤツク装置の改良に関する。
〔発明の技術的背景とその問題点〕
半導体ウエハやマスク等の試料を加工或いは検
査する工程においては、試料を加工機や検査機の
所定部位に保持固定することが必要となる。特
に、ウエハ上に微細なパターンを描画し多数のト
ランジスタ等を形成する集積回路の製作において
は、ウエハを平坦な面に確実に保持固定すること
が必要である。
従来、このような場合の保持手段として、取り
扱いが簡単で、かつ真空中でも作動可能な静電チ
ヤツク装置が用いられている。この静電チヤツク
装置は、2つの互いに反対に帯電されたコンデン
サ板(電極)間の吸引力を利用するもので、例え
ば第1図に示す如く構成されている。すなわち、
導電性基板1上に絶縁誘電層2を被着してなる静
電チヤツク本体3、及びこの静電チヤツク本体3
上に載置される試料4と導電性基板1との間に高
電圧を印加する電源5から構成され、上記導電性
基板1と試料4とが電極として作用するものとな
つている。なお、静電チヤツク本体3における試
料4の吸引力Fは上記印加電圧の大きさに影響さ
れ、一般に次式で示される。
F=1/2εO・εS・S(V/t)2……(1) ただし、εOは真空誘電率、εSは比誘電率、Sは
面積、Vは印加電圧、tは絶縁誘電層2の厚さで
ある。
ところで、この種の装置を電子ビーム描画装置
等の微細加工装置に用いる場合、前記静電チヤツ
ク本体が真空に保持された試料室内に設置される
ことになる。静電チヤツク本体が大気中で操作可
能な所にあれば、試料の平坦度を何らかの方法で
測定したり、ピンセツト等で直接試料を動かして
みて、試料の吸着状態を知ることは可能である。
しかし、静電チヤツク本体が真空中にある場合、
上記のチエツク方法を適用することは困難であ
り、このため微細加工装置に用いられる静電チヤ
ツク装置では試料の吸着状態を知ることはできな
かつた。そして、試料が静電チヤツクに吸着され
ていない状態で微細加工を行うことは、加工精度
の低下、ひいては加工不能の状態を招くことにな
る。
なお、試料が吸着されていない状態としては、
試料が静電チヤツクに完全に接触していない場
合、配線コードが何らかの原因で断線した場合、
或いは絶縁誘電層が絶縁破壊した場合等が考えら
れる。
〔発明の目的〕
本発明の目的は、静電チヤツク本体が真空中に
あつても、静電チヤツク本体上に載置される試料
が確実に保持固定されているかを容易、かつ正確
に知ることができ、試料の微細加工や検査等の信
頼性向上に寄与し得る静電チヤツク装置を提供す
ることにある。
〔発明の概要〕
本発明の骨子は、静電チヤツク本体に流れる電
流を検出し、この検出電流値から試料の吸着状態
を判断することにある。すなわち、静電チヤツク
本体に使用されている絶縁誘電層は、絶縁物とは
云うものの無限大の抵抗値を有するものではな
く、その両面に高電圧を印加することによつて微
小な電流が流れる。そして、この電流は回路が開
いていれば零となり、試料が吸着されていればそ
の吸着面積の大きさに対応する値となる。また、
絶縁誘電層が絶縁破壊されていると過大な電流が
流れる。したがつて、上記電流を検出することに
よつて、試料の吸着状態を判断できることにな
る。
本発明はこのような点に着目し、静電チヤツク
本体及びこの静電チヤツク本体に高電圧を印加す
るための電源回路からなる静電チヤツク装置にお
いて、上記静電チヤツク本体と電源回路との間に
電流計を挿入し、この電流計の検出値から試料の
吸着状態を判断できるようにしたものである。
〔発明の効果〕
本発明によれば、電流計の検出値から試料の吸
着状態を判断できるので、試料が静電チヤツク本
体に確実に保持固定されているかを容易、かつ確
実に知ることができ、さらに真空中にあつても上
記保持固定されているかを知ることができる。こ
のため、微細加工装置や検査装置等に用いた場
合、真空中に配置される試料の吸着状態を判断で
きることから加工精度及び検査精度の向上をはか
り得る等の効果が得られる。
〔発明の実施例〕
第2図は本発明の一実施例に係わる静電チヤツ
ク装置を示す概略構成図である。図中10は静電
チヤツク本体で、これは前記第1図に示したもの
と基本的には変わらない構造である。すなわち、
導電性基板11の表面全面を被覆するよう絶縁誘
電層12が形成され、導電性基板11の下面には
ねじ等からなる電極端子13が取着されている。
ここで、絶縁誘電層12は導電性基板11に、例
えばアルミナを溶射してなるものである。そし
て、静電チヤツク本体10はその上面が平坦に形
成され、この平坦部に半導体ウエハ等の試料が載
置されるものとなつている。
一方、前記電極端子13には保護抵抗15を介
して直流電源(電源回路)16の正極側が接続さ
れ、前記試料14には電流計17を介して直流電
源16の負極側(接地側)が接続されている。そ
して、導電性基板11と試料14との間に高電圧
が印加され、これらの間に働く吸引力により試料
14が静電チヤツク本体10上に保持固定される
ものとなつている。保護抵抗15は前記絶縁誘電
層12が絶縁破壊したときに過大電流が流れるの
を防止するもので、電流計17は数〔nA〕〜数
〔μA〕の微小電流を検出するものである。また、
電流計17の検出値は比較回路18に供給されて
いる。この比較回路18は上記検出値と定常状態
における電流値、すなわち試料14が静電チヤツ
ク本体10に確実に保持固定されているときの電
流値とを比較するもので、両者の差が所定の値を
越えるとき異常信号を出力するものとなつてい
る。
このような構成であれば、試料14の静電チヤ
ツク本体10との吸着状態により試料14と導電
性基板11との間の抵抗が変化し、この抵抗変化
に応じて電流計17の指示値も変化する。このた
め、試料14を直接観察することなく、さらに試
料14に機械的な力を加えることなく、電流計1
7の指示値若しくは比較回路18の出力から試料
14の吸着状態を判断できることになる。すなわ
ち、装置が正常に作動している場合、静電チヤツ
ク本体10には微小電流が流れる。この電流は、
印加電圧、絶縁誘電層12の厚さ及び単位面積当
りの抵抗が予め定まつているので、試料14の静
電チヤツク本体10との密着面積に比例したもの
となる。また、試料14の表面には酸化膜や窒化
膜等が形成されていることもあり、この場合これ
らの膜厚によつても上記電流は変化する。本発明
者等の実験によれば、印加電圧を400〔V〕、試料
14として4インチ径シリコンウエハを用いたと
ころ、試料14が静電チヤツク本体10上に確実
に保持固定されている正常な状態で、試料14表
面の酸化膜等の膜厚により数〔nA〕〜数〔μA〕
の範囲の電流が流れることが確認された。
いま、何らかの原因によつて試料14が静電チ
ヤツク本体10と離れている場合、或いは通電ラ
インに断線が生じている場合、電流計17の指示
値は零となる。また、絶縁誘電層12が絶縁破壊
している場合、電流計17の指示値は正常時より
大幅に増大することになる。したがつて、電流計
17の指示値から試料14の吸着状態が判断でき
ることになる。
かくして本装置によれば、電流計17の指示値
を見るだけで、試料14が静電チヤツク本体10
上に確実に保持固定されているかを容易に、かつ
正確に知ることができる。このため、静電チヤツ
ク本体10が真空中に設置されていたとしても、
試料14の保持固定状態を知ることができ、電子
ビーム描画装置等に用いて絶大なる効果を発揮す
る。また、従来装置に電流計17を付加するのみ
の極めて簡易な構成で実現し得る等の利点があ
る。
第3図及び第4図はそれぞれ他の実施例を示す
概略構成図であり、これらは先に説明した実施例
の静電チヤツク本体10を改良したものである。
すなわち、第3図に示すものでは静電チヤツク本
体10が絶縁基板21、この基板21上に被着さ
れた2枚の電極板22a,22b、及びその上面
を被覆した絶縁誘電層12で形成されている。そ
して、上記電極板22a,22b間に電圧が印加
されるものとなつている。この場合、電極板22
aから試料14に電気力線が真直ぐ入り、試料1
4内には磁界は発生せず電極板22bに真直ぐ入
ることになり、これにより試料14が静電チヤツ
ク本体10上に保持固定される。また、第4図に
示すものでは静電チヤツク本体10の絶縁誘電層
12上の一部に金属膜23が蒸着形成されてい
る。そして、この金属膜23と電極端子13との
間に電圧が印加されるものとなつている。
このような構成であれば、先の実施例と同様な
効果を奏するのは勿論のことであり、さらに試料
14に直接配線コードを接触させる必要がない等
の利点がある。
なお、本発明は上述した各実施例に限定される
ものではない。例えば、前記静電チヤツク本体の
絶縁誘電層は、アルミナの溶射に限定されるもの
ではなく、導電性基板の酸化物、その他絶縁物で
あれば用いてもよい。さらに、静電チヤツク本体
の構造は何ら実施例に限定されるものではなく、
仕様に応じて適宜変更可能である。また、静電チ
ヤツク本体に印加する電圧は必ずしも直流に限る
ものではなく、交流であつてもよい。この場合、
電流計には静電チヤツク本体の静電容量に対応す
る電流も流れることになるが、試料の吸着状態に
より変わる電流は直流電圧を印加した場合と同様
であるので、電流計の指示値から試料の吸着状態
を判断することが可能である。また、前記比較回
路は必ずしも必要なものではないが、この比較回
路の出力を計算機等に供給しておけば、試料の吸
着状態の自動モニタも可能である。さらに、電流
計の挿入個所も仕様に応じて適宜変更可能であ
る。その他、本発明の要旨を逸脱しない範囲で、
種々変形して実施例することができる。
【図面の簡単な説明】
第1図は従来の静電チヤツク装置を示す概略構
成図、第2図は本発明の一実施例を示す概略構成
図、第3図及び第4図はそれぞれ他の実施例を示
す概略構成図である。 10……静電チヤツク本体、11……導電性基
板、12……絶縁誘電層、13……電極端子、1
4……試料、15……保護抵抗、16……直流電
源(電源回路)、17……電流計、21……絶縁
基板、22a,22b……電極板、23……金属
膜。

Claims (1)

    【特許請求の範囲】
  1. 1 電極板及びこの電極板と静電的に保持固定さ
    れる試料間に介在すべき誘電層とを有する静電チ
    ヤツク本体と、前記電極板と試料間に電圧を印加
    するための電源回路と、この電源回路と前記電極
    板及び試料を含む回路に流れる電流を検出する電
    流計とを具備してなることを特徴とする静電チヤ
    ツク装置。
JP19012482A 1982-10-29 1982-10-29 静電チャック装置 Granted JPS5979545A (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP19012482A JPS5979545A (ja) 1982-10-29 1982-10-29 静電チャック装置

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP19012482A JPS5979545A (ja) 1982-10-29 1982-10-29 静電チャック装置

Publications (2)

Publication Number Publication Date
JPS5979545A JPS5979545A (ja) 1984-05-08
JPH0351101B2 true JPH0351101B2 (ja) 1991-08-05

Family

ID=16252789

Family Applications (1)

Application Number Title Priority Date Filing Date
JP19012482A Granted JPS5979545A (ja) 1982-10-29 1982-10-29 静電チャック装置

Country Status (1)

Country Link
JP (1) JPS5979545A (ja)

Families Citing this family (206)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61270046A (ja) * 1985-05-22 1986-11-29 Toshiba Mach Co Ltd 静電チヤツク装置
JPH0697676B2 (ja) * 1985-11-26 1994-11-30 忠弘 大見 ウエハサセプタ装置
JPH0760849B2 (ja) * 1986-06-05 1995-06-28 東陶機器株式会社 静電チャック板
JPS63139634A (ja) * 1986-11-29 1988-06-11 Tokuda Seisakusho Ltd 静電チヤツク
JPH0691024B2 (ja) * 1987-10-09 1994-11-14 富士電機株式会社 乾式薄膜加工装置
JP2896155B2 (ja) * 1989-03-17 1999-05-31 富士通株式会社 ウェーハ用静電チャックの絶縁膜検査装置と検査方法
US5179498A (en) * 1990-05-17 1993-01-12 Tokyo Electron Limited Electrostatic chuck device
US5325261A (en) * 1991-05-17 1994-06-28 Unisearch Limited Electrostatic chuck with improved release
JP2586768B2 (ja) * 1991-10-31 1997-03-05 株式会社日立製作所 静電吸着装置
JP2804664B2 (ja) * 1992-01-21 1998-09-30 株式会社日立製作所 試料の静電吸着機構及び電子線描画装置
JP3271548B2 (ja) * 1997-04-30 2002-04-02 日新電機株式会社 静電チャック回路の断線検知方法
JP2000340640A (ja) * 1999-05-31 2000-12-08 Toto Ltd 非接触型静電吸着装置
JP2004233672A (ja) * 2003-01-30 2004-08-19 Shin-Etsu Engineering Co Ltd 基板貼り合わせ装置
JPWO2010021317A1 (ja) * 2008-08-20 2012-01-26 株式会社アルバック 静電チャックの使用限界判別方法
JP2011077127A (ja) * 2009-09-29 2011-04-14 Tokyo Electron Ltd 搬送装置
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
JP2019125603A (ja) * 2018-01-11 2019-07-25 株式会社アルバック 吸着方法
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的***及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN113862645B (zh) * 2021-09-28 2023-09-08 北京北方华创微电子装备有限公司 承载装置及半导体工艺腔室

Also Published As

Publication number Publication date
JPS5979545A (ja) 1984-05-08

Similar Documents

Publication Publication Date Title
JPH0351101B2 (ja)
US6917195B2 (en) Wafer probe station
US10096507B2 (en) Thin substrate electrostatic chuck system and method
JPH039624B2 (ja)
JPH06204325A (ja) 静電吸着装置およびその吸着方法
JPH06326176A (ja) 自己バイアス測定方法及び装置並びに静電吸着装置
JP2695436B2 (ja) 静電チャックの劣化検出回路
JP2976861B2 (ja) 静電チャック及びその製造方法
US5097214A (en) Method and apparatus for determining the properties of an insulation layer by maintaining an output current ratio generated by directing ions at the insulation layer
JP2965176B2 (ja) 静電チャックの過渡特性評価方法
GB2293689A (en) Electrostatic chuck
JPS6114660B2 (ja)
JP2973758B2 (ja) 静電チャック
JP3458548B2 (ja) ワーク電位の測定方法
JP4009009B2 (ja) 吸着状態判断方法
JPS59132139A (ja) 静電チヤツク板
JP3771766B2 (ja) 静電チャック評価装置及び静電チャック評価方法
JP3123956B2 (ja) 静電吸着装置及びそれを用いた電子線描画装置
JPS5967629A (ja) 静電吸着装置
US4296370A (en) Method of detecting a thin insulating film over a conductor
JPH07263529A (ja) 静電吸着装置
JP2507213Y2 (ja) 半導体ウエハ−の加工、測定用真空吸着盤
JP2005310945A (ja) 半導体製造装置およびウェハの静電吸着方法・除電方法
JPH036661B2 (ja)
JPS6311426B2 (ja)