JPH1174250A - Substrate-treating method - Google Patents

Substrate-treating method

Info

Publication number
JPH1174250A
JPH1174250A JP10056952A JP5695298A JPH1174250A JP H1174250 A JPH1174250 A JP H1174250A JP 10056952 A JP10056952 A JP 10056952A JP 5695298 A JP5695298 A JP 5695298A JP H1174250 A JPH1174250 A JP H1174250A
Authority
JP
Japan
Prior art keywords
substrate
passivation
vacuum chamber
stripping
amine
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP10056952A
Other languages
Japanese (ja)
Other versions
JP3795220B2 (en
Inventor
Jian Chen
ジアン チェン,
Peter Hsieh
ピーター シェイ,
Carmel Ish-Shalom
カーメル イッシャロム,
Wesley Lau
ウェスレイ ロウ,
James S Papanu
ジェームス エス. パパニュ,
Steve S Y Mak
スティーヴ エス.ワイ. マク,
Charles S Rhoades
チャールズ スティーヴン ローデス,
Brian Shieh
ブライアン シエイ,
Ian S Latchford
スコット イアン ラッチフォード,
Karen A Williams
カレン エー. ウィリアムス,
Victoria Yu-Wang
ヴィクトリア ユーウォン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US08/268,377 external-priority patent/US5545289A/en
Priority claimed from US08/369,237 external-priority patent/US5631803A/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH1174250A publication Critical patent/JPH1174250A/en
Application granted granted Critical
Publication of JP3795220B2 publication Critical patent/JP3795220B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks

Landscapes

  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

PROBLEM TO BE SOLVED: To perform a stripping, a passivation and a control in a short time by exposing a substrate to plasma formed by a process gas equipped with steam, oxygen, an nitrogen and then to a specified alkylamine. SOLUTION: An etched substrate is carried into a vacuum chamber, which is kept at suitable temperature and pressure and is exposed in a vacuum to plasma formed by a process gas equipped with steam, oxygen and nitrogen. Next, the substrate is exposed to alkylamine designated by an equation. In the equation, R1 designates an alkyl groups, R2 , R3 each designates a hydrogen atom or an alkyl group, and R2 , R3 may be identical or different from each other. Here, preferably, a part of amine exists in a gaseous state for producing the vapor of amine in the vacuum chamber and is absorbed by the substrate.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、半導体基板のスト
リッピング、パッシベーション及び腐食の抑制に関す
る。
The present invention relates to stripping, passivation and corrosion control of semiconductor substrates.

【0002】[0002]

【従来の技術】集積回路の製造においては、金属を備え
る層を基板上に堆積し、この層の上にポリマー又は酸化
物により構成されるレジストを形成し、そして層の露出
部分をエッチングすることにより、導電性の造作(ぞう
さく)が形成される。特にハロゲン含有エッチャント
(例えば、Cl2、BCl3、CCl4、SiCl4、CF
4、NF3、SF6及びこれらの混合物、これらは例えばS
ilicon Processing for the VLSI Era, Vol.1, Chapter
16, by Wolf and Tauber, Lattice Press,1986に記載
され、この開示内容は、参照としてここに併合される)
が、基板のエッチングに用いられ、例えば、反応性イオ
ンエッチングにおいては、エッチングされた基板はエッ
チャント残留物及び副生成物によって汚染される。特
に、造作がAl−CuやTi−Wの如く電池対物質とな
ることができるような合金で構成されている場合に、こ
れらの汚染物は、特に雰囲気中の湿気と共同して、導電
性の造作を腐食することがある。汚染物副生成物は、例
えば、エッチャントからの残留ハロゲン、導電性の造作
からの金属、及び/又はポリマーのレジスト材料との間
の反応により生成される。これらは導電性の造作の側部
上で反応副生成物が凝縮することにより、側壁堆積物の
形態となることがある。また、エッチングの後に、エッ
チャントガスによるエッチングがされなかった残留レジ
ストが、基板上に残ることもある。
BACKGROUND OF THE INVENTION In the manufacture of integrated circuits, depositing a layer comprising a metal on a substrate, forming a polymer or oxide resist on this layer, and etching the exposed portions of the layer. As a result, a conductive feature is formed. In particular, halogen-containing etchants (eg, Cl 2 , BCl 3 , CCl 4 , SiCl 4 , CF
4 , NF 3 , SF 6 and mixtures thereof, such as S
ilicon Processing for the VLSI Era, Vol.1, Chapter
16, by Wolf and Tauber, Lattice Press, 1986, the disclosure of which is incorporated herein by reference.)
Is used to etch the substrate, for example, in reactive ion etching, the etched substrate is contaminated by etchant residues and by-products. These contaminants, especially when the features are made of alloys that can be cell-to-material, such as Al-Cu and Ti-W, can become conductive, especially in conjunction with atmospheric moisture. May corrode features. Contaminant by-products are produced, for example, by reactions between residual halogen from the etchant, metals from conductive features, and / or polymeric resist materials. These can be in the form of sidewall deposits due to condensation of reaction by-products on the sides of the conductive features. After the etching, a residual resist that has not been etched by the etchant gas may remain on the substrate.

【0003】[0003]

【発明が解決しようとする課題】このような汚染物の不
利な効果を減らすためにエッチング済み基板を処理し、
基板上の残留レジストを除去することが知られている。
この基板の処理は、(i)残留レジスト(通常、ストリ
ッピングと称される)を除去し、(ii)汚染物を除去
又は変換し(通常、パッシベーションと称され、例えば
CF4 プラズマへの暴露を通じてなされる)、(ii
i)導電性の造作の全ての部分の上に保護層を形成する
(通常、抑制と称され、例えばCHF3 プラズマへの
暴露を通じてなされる)。しかし、既知のストリッピン
グ、パッシベーション及び抑制の処理は、非常に長い処
理時間を要し、及び/又は、高価で使用が難しく又は危
険な材料を使用することを要する。
In order to reduce the adverse effects of such contaminants, the etched substrate is processed,
It is known to remove residual resist on a substrate.
The processing of the substrate includes (i) removing residual resist (commonly referred to as stripping), and (ii) removing or converting contaminants (commonly referred to as passivation, eg, exposure to CF 4 plasma). Through (ii), (ii)
i) Form a protective layer over all parts of the conductive features (commonly referred to as suppression, for example, through exposure to CHF3 plasma). However, known stripping, passivation and suppression processes require very long processing times and / or require the use of expensive, difficult to use or dangerous materials.

【0004】また、既知のパッシベーション及びストリ
ッピングの方法は、非常にはやく、例えば1から5時間
内に、効果がなくなり基板の腐食を許してしまうことが
ある。基板の処理において少なくとも次のステップ(典
型的には、レジスト及び/又は汚染物の少なくとも一部
を除去するストリッピング処理)までは、基板上のエッ
チング済み造作の腐食を防止することが、一般的には重
要である。腐食を防止する処理が、短い時間しか効果的
でなければ、このことが、製造プロセスの時間設定に関
して重大な制限となり、例えば、装置の故障により製造
に不測の遅れが生じた場合は、全バッチウエハが損失す
ることにもなり得る。
[0004] Also, known passivation and stripping methods are very fast, for example within one to five hours and may fail and allow corrosion of the substrate. It is common to prevent corrosion of etched features on a substrate at least until the next step in the processing of the substrate, typically a stripping process that removes at least a portion of the resist and / or contaminants. Is important. If the process to prevent corrosion is only effective for a short period of time, this can be a serious limitation on the timing of the manufacturing process, e.g. if an equipment failure causes an unexpected delay in manufacturing, the whole batch wafer Can be lost.

【0005】[0005]

【課題を解決するための手段】本発明の第1の側面に従
って、エッチャント残留物及び副生成物の不利な効果を
減ずるためのエッチング済み基板を処理する改良プロセ
スを、我々は見出した。このプロセスにおいては、真空
下で、水蒸気、酸素及び窒素を備えるプロセスガスから
形成されるプラズマに、基板が暴露される。(i)水蒸
気と(ii)酸素及び窒素の合計との体積の比は、
(1)約1:2から約2:1、好ましくは0.8:1か
ら1:0.8、そして特に約1:1、又は、(2)約
1:4から約1:40、好ましくは1:6から1:2
0、そして特に約1:10である。好ましくは、約1か
ら約10トールの圧力及び約150゜から約400℃の
温度の真空チャンバ内で、このプロセスが遂行される。
基板がプラズマに暴露される時間は、一般的には、約1
0から約240秒、好ましくは約20から約60秒であ
る。一般的に、処理時間が長いほど、腐食が防止される
時間も長くなる。
SUMMARY OF THE INVENTION In accordance with a first aspect of the present invention, we have found an improved process for treating an etched substrate to reduce the adverse effects of etchant residues and by-products. In this process, a substrate is exposed to a plasma formed from a process gas comprising water vapor, oxygen and nitrogen under vacuum. The volume ratio of (i) water vapor to (ii) the sum of oxygen and nitrogen is:
(1) from about 1: 2 to about 2: 1, preferably 0.8: 1 to 1: 0.8, and especially about 1: 1, or (2) about 1: 4 to about 1:40, preferably Is 1: 6 to 1: 2
0, and especially about 1:10. Preferably, the process is performed in a vacuum chamber at a pressure of about 1 to about 10 Torr and a temperature of about 150 ° to about 400 ° C.
The time that the substrate is exposed to the plasma is typically about 1
0 to about 240 seconds, preferably about 20 to about 60 seconds. In general, the longer the treatment time, the longer the time that corrosion is prevented.

【0006】本発明の第2の側面に従って、基板を真空
下で下式のアルキルアミンに暴露する事を備えた、エッ
チャント残留物及び副生成物の不利な効果を減ずるため
のエッチング済み基板を処理する第2の改良プロセス
を、我々は見出し:
In accordance with a second aspect of the present invention, treating an etched substrate to reduce the adverse effects of etchant residues and by-products, comprising exposing the substrate to an alkylamine of the formula under vacuum: A second refinement process that we headline:

【化1】 ここで、R1 はアルキル基であり、好ましくは、1から
5の炭素原子を含むアルキル基であり、例えば、メチ
ル、エチル又はプロピルであり:R2 とR3 のそれぞれ
は、同じでも異なっていてもよいが、水素原子又はアル
キル基であり、好ましくは、1から5の炭素原子を含む
アルキル基であり、例えば、メチル、エチル又はプロピ
ルである。好ましくは、アミンの一部分がガス状の形態
で存在しアミンのこの一部分が基板上で吸着されるよう
に、真空チャンバ内のアミンの蒸気圧がなることが好ま
しい。
Embedded image Here, R 1 is an alkyl group, preferably an alkyl group containing 1 to 5 carbon atoms, for example methyl, ethyl or propyl: each of R 2 and R 3 is the same or different It may be a hydrogen atom or an alkyl group, preferably an alkyl group containing 1 to 5 carbon atoms, for example, methyl, ethyl or propyl. Preferably, the vapor pressure of the amine in the vacuum chamber is such that a portion of the amine is in gaseous form and the portion of the amine is adsorbed on the substrate.

【0007】[0007]

【発明の実施の形態】本発明のプロセスは、シリコンや
ガリウムヒ素等の半導体材料を典型的に備えたエッチン
グ済み基板20の上で行われる。基板20上の導電性の
造作22は好ましくは、金属層、例えば、アルミニウ
ム、Al−Cu合金等のアルミニウム合金、銅及び場合
によってはシリコンを備え、また、例えば、Ti、W、
Ti−W合金、又は、TiN、及び/又は、例えばS
i、TiN又はTi−W合金等の反射防止層を含む拡散
遮蔽層を備えていてもよい。基板20は、(i)エッチ
ャント副生成物24、(ii)残留レジスト26、及び
(iii)造作の側壁上の側壁堆積物27を有する、エ
ッチング済みの導電性の造作22を有している。造作2
2上のエッチャント副生成物24は、典型的には、基板
20のエッチング中に生成されるラジカル及び化合物を
含有する残留ハロゲンを備えている。残留レジスト26
は、エッチング後に基板上に残るレジストの一部であ
る。造作22の側壁堆積物27は典型的には、(i)炭
素及び水素、(ii)アルミニウム等の金属含有層から
の金属、及び、(iii)ボロン及び窒素等のエッチャ
ントガスを含んだ有機化合物を備える。
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS The process of the present invention is performed on an etched substrate 20, typically comprising a semiconductor material such as silicon or gallium arsenide. The conductive features 22 on the substrate 20 preferably comprise a metal layer, e.g., aluminum, an aluminum alloy such as an Al-Cu alloy, copper and optionally silicon, and also e.g.
Ti-W alloy or TiN and / or for example S
A diffusion shielding layer including an anti-reflection layer such as i, TiN or a Ti-W alloy may be provided. Substrate 20 has etched conductive features 22 having (i) etchant by-product 24, (ii) residual resist 26, and (iii) sidewall deposits 27 on the feature sidewalls. Feature 2
The etchant byproduct 24 on 2 typically comprises residual halogen containing radicals and compounds generated during etching of the substrate 20. Residual resist 26
Is a part of the resist remaining on the substrate after etching. Sidewall deposits 27 of feature 22 typically comprise organic compounds including (i) carbon and hydrogen, (ii) metals from metal-containing layers such as aluminum, and (iii) etchant gases such as boron and nitrogen. Is provided.

【0008】パッシベーション、ストリッピング及び基
板20の腐食抑制に適した装置50は、図2に模式的に
示される。装置50は、エッチングチャンバ(図示され
ず)を備え、これは、真空に保たれたロードロック移送
領域(図示されず)により真空チャンバ52に接続さ
れ、これは、プラズマ発生領域54と真空領域56とを
有する。ガス流入口60を通って真空チャンバ52内に
プロセスガスが進入し、「シャワーヘッド」タイプの拡
散器62によって真空領域56内で均一に分散される。
「バスケット」フープ状支持体(図示の如く)又はペデ
スタル(図示されず)を備えてもよい基板支持体64
が、真空チャンバ内に基板20を保持するために備えら
れ、フォーカスリング70が基板20の周りにプロセス
ガスの流れを維持する。基板の加熱には、赤外ランプ7
2等の熱源が用いられてもよい。ガス状の副生成物と消
費済みプロセスガスは、真空チャンバ52内を少なくと
も1ミリトールの圧力に維持することが可能な排気シス
テム(図示されず)により、排気口74を通って真空チ
ャンバ52から排出される。
An apparatus 50 suitable for passivation, stripping and inhibiting corrosion of the substrate 20 is shown schematically in FIG. Apparatus 50 comprises an etching chamber (not shown), which is connected to vacuum chamber 52 by a load lock transfer area (not shown) maintained at vacuum, which comprises a plasma generation area 54 and a vacuum area 56. And Process gas enters the vacuum chamber 52 through the gas inlet 60 and is uniformly distributed within the vacuum region 56 by a “showerhead” type diffuser 62.
Substrate support 64 which may include a "basket" hoop support (as shown) or pedestal (not shown)
Is provided for holding the substrate 20 in a vacuum chamber, and a focus ring 70 maintains a flow of process gas around the substrate 20. An infrared lamp 7 is used to heat the substrate.
A heat source such as 2 may be used. Gaseous by-products and spent process gas are exhausted from the vacuum chamber 52 through an exhaust port 74 by an exhaust system (not shown) capable of maintaining a pressure of at least 1 millitorr in the vacuum chamber 52. Is done.

【0009】装置50のプラズマ発生領域54に接続さ
れたマイクロウェーブプラズマ発生器組立体80を用い
て、プロセスガスからプラズマを発生させてもよい。適
切なマイクロウェーブ発生器組立体80は、マサチュー
セッツ州ウォバーンのApplied Science & Technology,I
nc.から商業的に入手可能な「ASTEX」マイクロウ
ェーブプラズマ発生器である。典型的には、マイクロウ
ェーブ発生器組立体80は、マイクロウェーブアプリケ
ータ82、マイクロウェーブ同調組立体84、及びマグ
ネトロンマイクロウェーブ発生器86を備える。また、
RF−発生のプラズマや誘導結合のプラズマ等の別のプ
ラズマも、効果的である。
The plasma may be generated from the process gas using a microwave plasma generator assembly 80 connected to the plasma generation region 54 of the apparatus 50. A suitable microwave generator assembly 80 is available from Applied Science & Technology, Iowa, Woburn, Mass.
"ASTEX" microwave plasma generator commercially available from nc. Typically, the microwave generator assembly 80 includes a microwave applicator 82, a microwave tuning assembly 84, and a magnetron microwave generator 86. Also,
Other plasmas, such as RF-generated and inductively coupled plasmas, are also effective.

【0010】本発明のプロセスを行うにあたり、エッチ
ング済み基板20が、適当な温度及び圧力に維持された
真空チャンバ52内に移送される。エッチング済みの基
板を処理して基板状のエッチャント残留物及び副生成物
の不利な効果を減少させる改良方法は、真空下で、水蒸
気、酸素及び窒素を備えるプロセスガスから形成される
プラズマに、基板を暴露する事を含む。(i)水蒸気と
(ii)酸素及び窒素の合計との体積の比は、(1)約
1:2から約2:1、好ましくは0.8:1から1:
0.8、そして特に約1:1、又は、(2)約1:4か
ら約1:40、好ましくは1:6から1:20、そして
特に約1:10である。
In performing the process of the present invention, the etched substrate 20 is transferred into a vacuum chamber 52 maintained at a suitable temperature and pressure. An improved method of treating an etched substrate to reduce the adverse effects of substrate-like etchant residues and by-products is to apply a plasma to a plasma formed from a process gas comprising water vapor, oxygen and nitrogen under vacuum. Includes exposing. The volume ratio of (i) water vapor and (ii) the sum of oxygen and nitrogen is (1) about 1: 2 to about 2: 1, preferably 0.8: 1 to 1:
0.8, and especially about 1: 1, or (2) about 1: 4 to about 1:40, preferably 1: 6 to 1:20, and especially about 1:10.

【0011】水蒸気の体積(VH2O )と(ii)酸素及
び窒素両方(VO2+N2)の比に依存して、プロセスガ
スは主にパッシベーション機能を有するか、又は、主に
ストリッピング機能を有する。体積による比(V
H2O ):(VO2+VN2)が、約1:2から約2:1、好
ましくは0.8:1から1:0.8、特に約1:1であ
る場合は、プロセスガスは、主にパッシベーションガス
として機能し;そして、別のレジストストリッピングの
ステップが用いられて基板上のレジストを除去する。体
積による比(VH2O ):(VO2+VN2)が、約1:4か
ら約1:40、好ましくは1:6から1:20、特に約
1:10である場合は、プロセスガスは、主にストリッ
ピングガスとして機能し;そして、別のパッシベーショ
ンのステップが用いられて基板を保護する。ストリッピ
ングガスとして主に作用するには、適切なストリッピン
グ速度を与えるために、水蒸気含有量は体積で、酸素と
窒素のガス含有量の合計の約20%よりも少なくなるべ
きである。どのプロセスガス混合物においても、窒素に
対する酸素の体積流量は、好ましくは約1:1から約5
0:1、更に好ましくは1:1から20:1、特に1
0:1である。
Depending on the volume of water vapor (V H2 O ) and (ii) the ratio of both oxygen and nitrogen (V O2 + V N2 ), the process gas has either a predominantly passivation function or a predominantly stripping function. Have. Ratio by volume (V
H2O ): If ( VO2 + VN2 ) is from about 1: 2 to about 2: 1, preferably from 0.8: 1 to 1: 0.8, especially about 1: 1 the process gas is mainly And acts as a passivation gas; and another resist stripping step is used to remove the resist on the substrate. If the ratio by volume (V H2 O ) :( V O2 + V N2 ) is from about 1: 4 to about 1:40, preferably from 1: 6 to 1:20, especially about 1:10, the process gas is: It functions primarily as a stripping gas; and another passivation step is used to protect the substrate. To serve primarily as a stripping gas, the water vapor content should be less than about 20% of the sum of the oxygen and nitrogen gas contents by volume to provide an adequate stripping rate. In any process gas mixture, the volumetric flow rate of oxygen to nitrogen is preferably from about 1: 1 to about 5
0: 1, more preferably 1: 1 to 20: 1, especially 1: 1
0: 1.

【0012】好ましくは、このプロセスは、約1から約
10トールの圧力及び約150゜から約400℃の温度
において真空チャンバ内で遂行される。基板がプラズマ
に暴露される時間は、一般的には、約10から約240
秒、好ましくは20から60秒である。一般的に、処理
時間が長いほど、腐食が防止される時間も長くなる。
[0012] Preferably, the process is performed in a vacuum chamber at a pressure of about 1 to about 10 Torr and a temperature of about 150 ° to about 400 ° C. The time that the substrate is exposed to the plasma is generally between about 10 and about 240
Seconds, preferably 20 to 60 seconds. In general, the longer the treatment time, the longer the time that corrosion is prevented.

【0013】このプロセスで用いられる水蒸気は、ボイ
ラないしバブラ100内で形成でき、これはフィードラ
イン102により真空チャンバ52に接続されている。
ボイラないしバブラは、水を蒸発させるに充分高い温度
及び充分低い圧力に維持される。ボイラが用いられる場
合は、ボイラ内の水は水の沸点近くの温度まで加熱され
ている。典型的には、ボイラ内の圧力は、約50トール
から約200トール、更に好ましくは100トールから
150トールの範囲にある。バブラが用いられる場合
は、水蒸気を真空チャンバ52へ移動させるためにアル
ゴンやヘリウム等の不活性キャリアガスがバブラ内を通
過していてもよい。
The steam used in this process can be formed in a boiler or bubbler 100, which is connected to a vacuum chamber 52 by a feed line 102.
The boiler or bubbler is maintained at a sufficiently high temperature and a sufficiently low pressure to evaporate the water. If a boiler is used, the water in the boiler is heated to a temperature near the boiling point of water. Typically, the pressure in the boiler will range from about 50 Torr to about 200 Torr, more preferably 100 Torr to 150 Torr. If a bubbler is used, an inert carrier gas, such as argon or helium, may be passed through the bubbler to transfer water vapor to the vacuum chamber 52.

【0014】好ましくは、水蒸気、酸素及び窒素を備え
たプロセスガスは、(i)複数のパッシベーションのス
テップ、又は(ii)複数のパッシベーション及びレジ
ストストリッピングのステップを備えた複数のサイクル
のプロセスに用いられる。複数のサイクルのパッシベー
ションのプロセスは、少なくとも2つのパッシベーショ
ンのサイクルを有し、基板上にエッチャント副生成物の
みが存在する場合、又は別のストリッピングのステップ
で基板のストリッピングを行う方が望ましい場合に望ま
しい。第1のサイクルでは、チャンバ52内に上述の組
成のパッシベーションガスが導入され、パッシベーショ
ンガスからプラズマが発生する。プラズマ活性化された
パッシベーションガスは、基板20上でエッチャント副
生成物24と反応してガス上の副衛生物を生成し、これ
は真空チャンバから排出される。その後、パッシベーシ
ョンガスの流れが止められ、チャンバ内のプラズマが消
失する。第2のパッシベーションのサイクルでは、チャ
ンバ内にパッシベーションガスが再び導入され、チャン
バ内にプラズマが再び発生される。
Preferably, the process gas comprising water vapor, oxygen and nitrogen is used in a multiple cycle process comprising (i) a plurality of passivation steps, or (ii) a plurality of passivation and resist stripping steps. Can be The multi-cycle passivation process has at least two passivation cycles, when only etchant by-products are present on the substrate, or when it is desirable to strip the substrate in a separate stripping step Desirable. In the first cycle, a passivation gas having the above-described composition is introduced into the chamber 52, and plasma is generated from the passivation gas. The plasma-activated passivation gas reacts with the etchant by-product 24 on the substrate 20 to produce gaseous by-products that are exhausted from the vacuum chamber. Thereafter, the flow of the passivation gas is stopped, and the plasma in the chamber disappears. In a second passivation cycle, the passivation gas is re-introduced into the chamber and a plasma is again generated in the chamber.

【0015】更に典型的には、残留レジスト及びエッチ
ャント副生成物が基板から同時に除去できるように、ス
トリッピングのステップ及びパッシベーションのステッ
プを共に備えた複数のサイクルのプロセスを用いること
が望ましい。パッシベーションのステップでは、上述の
組成のパッシベーションガスがチャンバ52内に導入さ
れ、パッシベーションガスからプラズマが発生されて、
基板20をパッシベーションする。ストリッピングのス
テップでは、ストリッピングガスがチャンバ内に導入さ
れ、ストリッピングガスからプラズマが発生されて、基
板20上のポリマーのレジスト又は酸化物ハードマスク
をストリッピングする。このストリッピングのステップ
及びパッシベーションのステップは少なくとも一回、好
ましくはこれらステップが最初に行われた同じ順番で、
繰り返され、複数のサイクルのプロセスを生じさせる。
このプロセスにおいて、パッシベーションのステップは
ストリッピングのステップの前に行われてもよく、その
逆でもよい。典型的には、各プロセスステップの間に、
安定化のステップが行われ、引続くステップのために、
このステップ中にプロセス条件が安定化される。
[0015] More typically, it is desirable to use a multiple cycle process with both stripping and passivation steps so that residual resist and etchant by-products can be simultaneously removed from the substrate. In the passivation step, a passivation gas having the above-described composition is introduced into the chamber 52, and plasma is generated from the passivation gas.
The substrate 20 is passivated. In the stripping step, a stripping gas is introduced into the chamber and a plasma is generated from the stripping gas to strip the polymer resist or oxide hardmask on substrate 20. This stripping step and the passivation step are performed at least once, preferably in the same order in which these steps were first performed,
Repeated, resulting in a multiple cycle process.
In this process, the passivation step may be performed before the stripping step and vice versa. Typically, during each process step,
A stabilization step is performed and for subsequent steps,
During this step, the process conditions are stabilized.

【0016】一般的には、複数のサイクルのプロセスの
いずれにおいても、たとえプロセス全体の継続時間の全
時間は増加しなくとも、サイクルの数が多いほど、処理
済みの基板20に、より高い耐腐食性を与える。しか
し、サイクルの数が少なくなれば、より速いプロセスの
スループットを与えるため、特に各プロセスステップの
間の安定化の継続時間が長い場合は、サイクルの数は、
好ましくは約1から約10サイクル、更に好ましくは約
2から約5サイクルである。
In general, the greater the number of cycles, the greater the resistance of the processed substrate 20 to any of the multiple cycle processes, even if the overall duration of the entire process does not increase. Gives corrosiveness. However, the smaller number of cycles gives faster process throughput, especially if the duration of stabilization between each process step is long, the number of cycles is
Preferably about 1 to about 10 cycles, more preferably about 2 to about 5 cycles.

【0017】好ましくは、複数のサイクルプロセスの各
パッシベーションのステップ又は随意のストリッピング
のステップは、約1から約60秒、更に好ましくは2か
ら30秒、最も好ましくは2から20秒の、継続時間を
有する。複数のサイクルのパッシベーション及びストリ
ッピングのプロセスでは、同じサイクルの中のパッシベ
ーションのステップは、典型的には各サイクルのストリ
ッピングのステップと同じ継続時間を有するが、これら
のステップはまた異なる継続時間を有していてもよい。
Preferably, each passivation step or optional stripping step of the multiple cycle process has a duration of about 1 to about 60 seconds, more preferably 2 to 30 seconds, and most preferably 2 to 20 seconds. Having. In a multi-cycle passivation and stripping process, the passivation steps in the same cycle typically have the same duration as the stripping steps in each cycle, but these steps also have different durations. You may have.

【0018】複数のサイクルプロセスに使用するための
別のパッシベーションガスは、基板20上のエッチャン
ト副生成物24と反応して真空チャンバ52からの排気
が可能なガス状副生成物を生成することが可能な、何ら
かのガスを含んでいる。例えば、エッチャント副生成物
24が塩素を含んでいる場合は、パッシベーションガス
は、水素含有ガスを備え、これは塩素と反応して塩化水
素酸等のエッチャント副生成物を生成し、このエッチャ
ント副生成物は真空チャンバ52から排出される。
(i)アンモニア及び酸素、又は、(ii)随意酸素及
び窒素を有する水蒸気、を含んだ適切なパッシベーショ
ンガスは、このステップに用いることが可能である。パ
ッシベーションガスがアンモニア及び酸素を備える場合
は、酸素に対するアンモニアの体積流量比は、約1:1
から約1:20、更に好ましくは約1:5から約1:1
5、最も好ましくは約1:10である。5リッターの容
量のチャンバ52に対しては、好ましいガス流れは、3
00sccmNH3 と3000sccmO2 とを備え
る。アンモニアと酸素のパッシベーションガスを用いた
場合は、10秒のパッシベーションのステップと10秒
のストリッピングのステップとを備える2−サイクルの
プロセスが、高い対腐食性と高いプロセススループット
効率の最善の組み合わせを与える。
Another passivation gas for use in a multiple cycle process may react with the etchant byproduct 24 on the substrate 20 to produce a gaseous byproduct that can be evacuated from the vacuum chamber 52. Contains some possible gas. For example, if the etchant by-product 24 contains chlorine, the passivation gas comprises a hydrogen-containing gas, which reacts with chlorine to produce an etchant by-product such as hydrochloric acid, The object is discharged from the vacuum chamber 52.
A suitable passivation gas comprising (i) ammonia and oxygen, or (ii) water vapor with optional oxygen and nitrogen, can be used for this step. When the passivation gas comprises ammonia and oxygen, the ammonia to oxygen volume flow ratio is about 1: 1.
To about 1:20, more preferably from about 1: 5 to about 1: 1.
5, most preferably about 1:10. For a 5 liter capacity chamber 52, the preferred gas flow is 3
00 sccm NH 3 and 3000 sccm O 2 . When using ammonia and oxygen passivation gases, a two-cycle process with a 10 second passivation step and a 10 second stripping step provides the best combination of high corrosion resistance and high process throughput efficiency. give.

【0019】水蒸気のみを備えるパッシベーションガス
もまた、エッチャント副生成物24のパッシベーション
に用いることが可能である。真空チャンバ52が5リッ
ターの容量を有する場合は、水蒸気の流量は好ましくは
約100から1000sccm、更に好ましくは約50
0sccmである。水蒸気を備えるパッシベーションガ
スに対しては、各20秒間の継続時間を有するパッシベ
ーションのステップとストリッピングのステップとを備
えた3サイクルの複数サイクルプロセスを用いれば、最
善の腐食性の結果が得られた。
A passivation gas comprising only water vapor can also be used for passivating the etchant by-product 24. If the vacuum chamber 52 has a capacity of 5 liters, the flow rate of water vapor is preferably about 100 to 1000 seem, more preferably about 50 sccm.
0 sccm. For a passivation gas comprising water vapor, the best corrosive results were obtained using a three cycle multi-cycle process with a passivation step and a stripping step each having a duration of 20 seconds. .

【0020】複数のサイクルのストリッピング及びパッ
シベーションプロセスにおいてポリマー樹脂をストリッ
ピングする適切なストリッピングガスは、(i)酸素、
及び、(ii)水蒸気、窒素ガス、又はフルオロカーボ
ンガス等の酸素活性化ガス又は蒸気とを含むが、フルオ
ロカーボンガスには、CF4 、C26、CHF3 、C3
26 及びC242 (例えば、ローズへの米国特許
第5,221,424号、及びフワンらへの米国特許第
5,174,856号に開示され、これら両者はここに
参照として併合される)が含まれる。好ましいストリッ
ピングガスの組成は、酸素と窒素とを、約6:1から約
200:1、更に好ましくは10:1から12:1の体
積流量比で備え、例えば、5リッターの真空チャンバ5
2に対する適切なガス流量は、O2 が3000から35
00sccm、N2 が300sccmである。
Suitable stripping gases for stripping the polymer resin in a multiple cycle stripping and passivation process include (i) oxygen,
And (ii) oxygen-activated gas or steam such as water vapor, nitrogen gas, or fluorocarbon gas, wherein the fluorocarbon gas includes CF 4 , C 2 F 6 , CHF 3 , C 3
H 2 F 6 and C 2 H 4 F 2 (for example, U.S. Patent No. 5,221,424 to Rose, and are disclosed in U.S. Patent No. 5,174,856 to Fuwan et al, both of which here Merged as a reference). A preferred stripping gas composition comprises oxygen and nitrogen in a volume flow ratio of about 6: 1 to about 200: 1, more preferably 10: 1 to 12: 1, for example, a 5 liter vacuum chamber 5.
A suitable gas flow rate for O 2 is 3000 to 35 for O 2
00 sccm and N 2 are 300 sccm.

【0021】酸素ハードマスクのストリッピングに適切
なストリッピングガスは、ハロゲン含有ガスを含み、例
えば、CF4 、C26、CHF3 、C326 、C24
2及びHFである。また、BCl3 、CCl4 又はS
iCl4 等の他のハロゲンガスを用いて側壁堆積物の除
去を容易にすることもできるが、塩素含有ガスを用いた
場合は、パッシベーションチャンバの塩素ガスによる汚
染を防止するために、装置50のエッチングチャンバ
(図示されず)内で酸化物ストリッピングプロセスが行
われるべきである。典型的には、ストリッピングのステ
ップにおいては酸化物ハードマスクの一部だけがストリ
ッピングされ、これに続くステップが用いられて、基板
上に誘電又は絶縁層を堆積する。
Suitable stripping gases for stripping oxygen hard masks include halogen containing gases, such as CF 4 , C 2 F 6 , CHF 3 , C 3 H 2 F 6 , C 2 H 4
It is an F 2 and HF. In addition, BCl 3 , CCl 4 or S
Other halogen gases, such as iCl 4, may be used to facilitate removal of sidewall deposits. However, if a chlorine-containing gas is used, the apparatus 50 may be used to prevent contamination of the passivation chamber with chlorine gas. An oxide stripping process should be performed in an etching chamber (not shown). Typically, only a portion of the oxide hardmask is stripped during the stripping step, and subsequent steps are used to deposit a dielectric or insulating layer on the substrate.

【0022】複数のサイクルのプロセスにおいては、真
空チャンバ52の圧力及び温度は、その後のパッシベー
ションのステップ又はストリッピングのステップの間に
変化されてもよく、又は、その後のサイクル間で変化さ
れてもよく、又は、実質的に一定に維持されてもよい。
好ましくは、真空チャンバ52は、約1から約100ト
ール、更に好ましくは1から10トール、最も好ましく
は2トールに維持される。随意、第2のステップ又はサ
イクルにおいて、チャンバ52内の圧力は、約1トール
より低い、更に典型的には500ミリトールよりも低
い、最も典型的には、約100ミリトールよりも低い第
2の低い圧力に減じられる。典型的には、基板20は、
ランプヒータ72を用いて、約150℃から約400
℃、更に好ましくは200℃から380℃の温度に加熱
される。好ましくは、プロセススループット効率を向上
するため、その後のステップの間の圧力及び温度の変化
は最小限にされる。
In a multiple cycle process, the pressure and temperature of the vacuum chamber 52 may be changed during subsequent passivation or stripping steps, or may be changed between subsequent cycles. Or may be maintained substantially constant.
Preferably, vacuum chamber 52 is maintained at about 1 to about 100 Torr, more preferably 1 to 10 Torr, and most preferably 2 Torr. Optionally, in a second step or cycle, the pressure in chamber 52 is a second low, less than about 1 Torr, more typically less than 500 mTorr, and most typically less than about 100 mTorr. Reduced to pressure. Typically, the substrate 20 is
Using the lamp heater 72, from about 150 ° C. to about 400
° C, more preferably from 200 ° C to 380 ° C. Preferably, changes in pressure and temperature during subsequent steps are minimized to improve process throughput efficiency.

【0023】装置50のマイクロウェーブプラズマ発生
器80を用いて、ストリッピング又はパッシベーション
ガスからプラズマが生成される。プラズマが基板20の
加熱を生じさせる場合は、基板の温度が実質的に一定と
なるように、マイクロウェーブ発生器80のパワーレベ
ルが制御される。典型的には、マイクロウェーブ発生器
80のパワー出力は、500から2500ワット、更に
好ましくは800から1500ワットの範囲にある。
Using the microwave plasma generator 80 of the apparatus 50, a plasma is generated from the stripping or passivation gas. If the plasma causes heating of the substrate 20, the power level of the microwave generator 80 is controlled such that the temperature of the substrate is substantially constant. Typically, the power output of the microwave generator 80 is in the range of 500 to 2500 watts, more preferably, 800 to 1500 watts.

【0024】複数のサイクルパッシベーションプロセス
は、従来のプロセスよりも、高いプロセススループット
と優れた耐腐食性を与えることができる。シングルサイ
クルのプロセスを用いて得られると同等又はより優れた
耐腐食性が、短い継続時間のプロセスで得られる能力
は、複数のサイクルのプロセスの予期せぬ商業的な利点
である。複数のサイクルのパッシベーションプロセスは
高いスループットを与えることができると考えられてお
り、その理由は、より速い拡散のメカニズムにより、エ
ッチャント副生成物をより速く除去させることができる
からである。第1のパッシベーションのステップでは、
エッチャント副生成物24は、基板20の表面から除去
される。その後、パッシベーション種は残留レジスト2
4及び側壁堆積物27の中へと拡散するに違いなく、そ
こでエッチャント副生成物24と反応し、そして、その
反応生成物が拡散によりレジスト又は側壁から出て行く
に違いなく、その後脱着される。パッシベーションガス
の流れが複数のサイクルのパッシベーションプロセスに
おいて停止された場合は、パッシベーション副生成物の
脱着が更に速く生じるはずである。その後に続く空乏化
及び拡散のプロセスにより、エッチャント副生成物24
のパッシベーションをより速くより効率良くすることが
できる。
Multiple cycle passivation processes can provide higher process throughput and better corrosion resistance than conventional processes. The ability to achieve the same or better corrosion resistance as obtained with a single cycle process, but with a short duration process, is an unexpected commercial advantage of a multiple cycle process. It is believed that the multi-cycle passivation process can provide high throughput because the faster diffusion mechanism allows for faster removal of etchant by-products. In the first passivation step,
The etchant by-product 24 is removed from the surface of the substrate 20. After that, the passivation species is
4 and diffuses into the sidewall deposit 27 where it reacts with the etchant by-product 24 and the reaction product must exit the resist or sidewall by diffusion and is subsequently desorbed . If the flow of the passivation gas is stopped in a multi-cycle passivation process, desorption of passivation by-products should occur faster. Subsequent depletion and diffusion processes result in etchant byproducts 24
Can be made faster and more efficient.

【0025】複数のサイクルのパッシベーション及びス
トリッピングのプロセスは、従来技術よりも速いスルー
プット及び優れた耐腐食性を実証した。ストリッピング
のステップが残留レジスト26及び側壁堆積物27の部
分を除去し、その結果、残留レジスト26及び側壁堆積
物27をより薄く、より多孔的にし、また、残留レジス
ト26内へのパッシベーション種の拡散と、レジスト2
6から外への反応生成物の拡散とを促進する。複数のサ
イクルのプロセスは、基板のパッシベーション後少なく
とも24時間、更に典型的には少なくとも48時間、雰
囲気湿度による腐食への耐性を有する基板を提供する。
これは、約1から2時間の耐腐食性しか典型的に与えな
い従来技術に対して実質的な改善である。改善された耐
腐食性により、更に効率の高い処理スケジュールを可能
にし、製造のロスを低くする。
The multiple cycle passivation and stripping process has demonstrated faster throughput and better corrosion resistance than the prior art. The stripping step removes portions of the residual resist 26 and sidewall deposits 27, thereby making the residual resist 26 and sidewall deposits 27 thinner and more porous, and of passivating species into the residual resist 26. Diffusion and resist 2
6 to promote the diffusion of the reaction product out. The multiple cycle process provides a substrate that is resistant to corrosion by atmospheric humidity for at least 24 hours, and more typically at least 48 hours, after passivation of the substrate.
This is a substantial improvement over the prior art, which typically gives only about 1-2 hours of corrosion resistance. Improved corrosion resistance allows for more efficient processing schedules and lower manufacturing losses.

【0026】本発明の他の側面に従って、エッチャント
残留物及び副生成物の不利な効果を減ずるためのエッチ
ング済み基板を処理する改良プロセスは、基板を真空下
で下式のアルキルアミンに暴露する事を備え:
In accordance with another aspect of the present invention, an improved process for treating an etched substrate to reduce the adverse effects of etchant residues and by-products is to expose the substrate to an alkylamine of formula With:

【化1】 ここで、R1 はアルキル基であり、好ましくは、1から
5の炭素原子を含むアルキル基であり、例えば、メチ
ル、エチル又はプロピルであり:R2 とR3 のそれぞれ
は、同じでも異なっていてもよいが、水素原子又はアル
キル基であり、好ましくは、1から5の炭素原子を含む
アルキル基であり、例えば、メチル、エチル又はプロピ
ルである。好ましくは、アミンの一部分がガス状の形態
で存在しアミンのこの一部分が基板上で吸着されるよう
に、真空チャンバ内のアミンの蒸気圧がなることが好ま
しい。このアミンの抑制のステップでは、アミンは、造
作の表面に吸着されたパッシベーション層を形成し、こ
れは造作の腐食を抑制する。アミン抑制層は、高い電池
対活性を示す金属合金を含む造作22に対して、これら
の金属の腐食を防止するに有用である。
Embedded image Here, R 1 is an alkyl group, preferably an alkyl group containing 1 to 5 carbon atoms, for example methyl, ethyl or propyl: each of R 2 and R 3 is the same or different It may be a hydrogen atom or an alkyl group, preferably an alkyl group containing 1 to 5 carbon atoms, for example, methyl, ethyl or propyl. Preferably, the vapor pressure of the amine in the vacuum chamber is such that a portion of the amine is in gaseous form and the portion of the amine is adsorbed on the substrate. In this amine inhibition step, the amine forms an adsorbed passivation layer on the surface of the feature, which inhibits corrosion of the feature. The amine inhibiting layer is useful for features 22 that include metal alloys that exhibit high battery activity, to prevent corrosion of these metals.

【0027】アミン抑制のステップを行うに際しては、
アミンの蒸気が真空チャンバ52のシンク領域内に、基
板20に充分なアミンを吸着させるに充分な時間導入さ
れ、基板が雰囲気に暴露された際に少なくとも24時間
基板20の腐食を抑制する。一般には、この24時間の
間に、基板20の腐食を抑制する必要性を取り除く付加
的な処理ステップを受ける。基板20のアミン暴露の時
間が長いほど、腐食の抑制の効率が高くなる。しかし、
プロセスのスループットの効率に対しては、約120秒
未満、更に好ましくは約90秒未満、最も好ましくは約
60秒未満、アミンが基板に暴露される。アミン露出の
ステップでは、真空領域56は、好ましくは約1から約
100トールの範囲に、更に好ましくは約1トールから
約10トールの範囲の圧力に維持される。
In performing the step of inhibiting amine,
Amine vapor is introduced into the sink region of the vacuum chamber 52 for a time sufficient to adsorb sufficient amine on the substrate 20 to inhibit corrosion of the substrate 20 for at least 24 hours when the substrate is exposed to the atmosphere. Typically, during this 24 hour period, additional processing steps are taken that obviate the need to inhibit corrosion of the substrate 20. The longer the amine exposure time of the substrate 20, the higher the efficiency of corrosion inhibition. But,
The amine is exposed to the substrate for less than about 120 seconds, more preferably less than about 90 seconds, and most preferably less than about 60 seconds, for the throughput efficiency of the process. In the amine exposure step, vacuum region 56 is maintained at a pressure preferably in the range of about 1 to about 100 Torr, more preferably in the range of about 1 Torr to about 10 Torr.

【0028】アミンの蒸気圧は真空チャンバ52内でア
ミンの少なくとも一部がガス化するように充分高く、且
つ、真空チャンバ52内でアミンの少なくとも1部が基
板20に吸着されるよう充分低くなるべきである。アミ
ンのアルキル部分は、メチル、エチル及びプロピル等の
アルキルを備えることが好ましく、各アルキルは、1か
ら5個の炭素を備えることが好ましい。適切なアミンに
は、モノ−アルキル、ジ−アルキル及びトリ−アルキル
置換の、メチルアミン、エチルアミン、プロピルアミン
が含まれ、これはモノメチルアミン、ジメチルアミン及
びトリメチルアミン等であり、その理由は、これらのア
ミンは沸点が低く、商業的にすぐに入手可能だからであ
る。使用可能な特定のアミンには、トリメチルアミン
(BP2.9℃)、これは好ましいものであり、ジエチ
ルアミン(BP7.4℃)及びモノメチルアミン(BP
−6.3℃)である。好ましくは、アミンは、少なくと
も2つのアルキル部分、更に好ましくは3つのアルキル
部分を備える。これらのアミンの中で、トリメチルアミ
ンが好ましく、その理由は、三級(トリアルキル)アミ
ンが二級(ジアルキル)アミンよりも効率の高い抑制剤
と考えられるからであり、次に、二級アミンは、一級
(モノアルキル)アミンよりも効率の高い抑制剤である
と考えられる。しかし、これらのアミンの毒性及び商業
的な入手可能性もまた、適当なアミンの選択を支配す
る。
The vapor pressure of the amine is high enough so that at least a portion of the amine is gasified in the vacuum chamber 52 and low enough so that at least a portion of the amine is adsorbed to the substrate 20 in the vacuum chamber 52. Should. Preferably, the alkyl portion of the amine comprises an alkyl such as methyl, ethyl and propyl, and each alkyl preferably comprises 1 to 5 carbons. Suitable amines include mono-alkyl, di-alkyl and tri-alkyl substituted methylamine, ethylamine, propylamine, such as monomethylamine, dimethylamine and trimethylamine, because of Amines have a low boiling point and are readily available commercially. Specific amines that can be used include trimethylamine (BP 2.9 ° C), which is preferred, diethylamine (BP 7.4 ° C) and monomethylamine (BP
-6.3 ° C). Preferably, the amine comprises at least two alkyl moieties, more preferably three alkyl moieties. Of these amines, trimethylamine is preferred because tertiary (trialkyl) amines are considered more efficient inhibitors than secondary (dialkyl) amines, and secondary amines are It is considered to be a more efficient inhibitor than primary (monoalkyl) amines. However, the toxicity and commercial availability of these amines also dictate the choice of the appropriate amine.

【0029】アミン蒸気は、アミンガス又は液体アミン
を備えたアミンソース104から発生される。液体アミ
ンソース104は、フィードライン102によって真空
チャンバ52に流体的に接続され、液体アミンの少なく
とも一部を気化させるに充分に高い温度及び充分に低い
圧力に維持された、ボイラ又はバブラを備えている。好
ましくは、ボイラ又はバブラは、室温に維持される。ボ
イラの圧力は、好ましくは約50トールから約200ト
ール、更に好ましくは100から150トールである。
ボイラが用いられる場合は、ボイラは液体アミンの沸点
と実質的に同じ温度に維持されて、アミン蒸気を発生さ
せる。バブラが用いられる場合は、バブラ内でバブルと
なったアルゴン又はヘリウム等のキャリアガスが、真空
チャンバ52へアミン蒸気を運ぶ。
Amine vapor is generated from an amine source 104 with an amine gas or liquid amine. The liquid amine source 104 comprises a boiler or bubbler fluidly connected to the vacuum chamber 52 by the feed line 102 and maintained at a sufficiently high temperature and a sufficiently low pressure to vaporize at least a portion of the liquid amine. I have. Preferably, the boiler or bubbler is maintained at room temperature. The boiler pressure is preferably from about 50 Torr to about 200 Torr, more preferably 100 to 150 Torr.
If a boiler is used, the boiler is maintained at substantially the same temperature as the boiling point of the liquid amine to generate amine vapor. If a bubbler is used, a carrier gas, such as argon or helium, bubbled within the bubbler carries the amine vapor to the vacuum chamber 52.

【0030】ストリッピング、パッシベーション及び随
意アミン抑制のステップの後、パッシベーションされス
トリッピングされた基板20はチャンバから取り出さ
れ、エッチャント溶液内でエッチングされエッチングプ
ロセスで形成する側壁堆積物27を除去する。従来から
のウェットケミカルエッチャント溶液が適している。こ
のプロセスでは、ウエハは、ニュージャージ州アレンタ
ウンのAdvanced Chemical Technologiesから商業的に入
手可能な「ACT」900シリーズ又はHF含有ウェッ
トケミカルエッチャントでエッチングされる。ウェット
エッチングの後、ウエハは脱イオン水でリンスされ残留
しているウェットエッチャントを除去する。
After the steps of stripping, passivation, and optional amine suppression, the passivated and stripped substrate 20 is removed from the chamber and etched in an etchant solution to remove sidewall deposits 27 formed in the etching process. Conventional wet chemical etchant solutions are suitable. In this process, the wafer is etched with an "ACT" 900 series or HF containing wet chemical etchant, commercially available from Advanced Chemical Technologies of Allentown, NJ. After wet etching, the wafer is rinsed with deionized water to remove residual wet etchant.

【0031】[0031]

【実施例】以下の実施例が、本発明のプロセスを例示す
る。実施例の全てが、カリフォルニア州サンタクララの
アプライドマテリアルズ社から商業的に入手可能な「A
MAT PRECISION 5000 METAL
ETCHER」において実施された。この「PRECI
SION 5000」装置は、パッシベーション及びス
トリッピングチャンバ(図示のように)に接続されるエ
ッチングチャンバ(図示されず)を有し、雰囲気に暴露
されることなく、基板はエッチングチャンバからパッシ
ベーション及びストリッピングチャンバへと移送が可能
である。装置のエッチングチャンバ(図示されず)はま
た、チャンバ内のプラズマ強度を増強する磁場を随意発
生させるために、チャンバを包囲する誘導コイルを備え
ている。これらの実施例は、直径約200mm(8イン
チ)、厚さ0.73mmのシリコンウエハ上で実施され
た。
The following examples illustrate the process of the present invention. All of the examples are based on commercially available "A" from Applied Materials, Inc. of Santa Clara, CA.
MAT PRECISION 5000 METAL
ETCHER ". This "PRECI
The SION 5000 "apparatus has an etching chamber (not shown) connected to a passivation and stripping chamber (as shown) so that the substrate can be removed from the etching chamber without being exposed to the atmosphere. Transfer to is possible. The etching chamber (not shown) of the apparatus also includes an induction coil surrounding the chamber to optionally generate a magnetic field that enhances the plasma intensity in the chamber. These examples were performed on silicon wafers about 200 mm (8 inches) in diameter and 0.73 mm thick.

【0032】パッシベーション及びストリッピングの
後、所定の時間のインターバルの間、処理済みの基板を
雰囲気に暴露し、又は相対湿度約40%までの範囲の高
い湿度レベルに暴露し、その後、暗視野条件の顕微鏡又
は電子操作顕微鏡により、基板を測定することにより、
基板の耐腐食性が試験される。基板の腐食は、金属の造
作上に形成された、腐食した水化種により生じた散乱光
の小片として目に見える。腐食は、パッシベーション及
びストリッピングのステップの直接の後、2時間から7
日までのインターバルにおいて測定され、ないしは、ウ
ェットケミカルエッチングの後、1、2又は3週間のイ
ンターバルにおいて測定される。
After passivation and stripping, the treated substrate is exposed to the atmosphere or to high humidity levels ranging up to about 40% relative humidity for a predetermined time interval, and then exposed to dark field conditions. By measuring the substrate with a microscope or an electronically operated microscope,
The corrosion resistance of the substrate is tested. Substrate corrosion is visible as small pieces of scattered light caused by corroded hydrated species formed on metal features. Corrosion can be from 2 hours to 7 hours directly after the passivation and stripping steps.
It is measured at intervals of up to days or at intervals of 1, 2 or 3 weeks after wet chemical etching.

【0033】(実施例1〜11)これらの実施例におい
ては、(i)TiWバリア層;(ii)厚さ約550n
mのアルミニウム−シリコン−銅導電性金属層で、この
アルミニウム合金はシリコン1.5%と銅0.5%を含
み;及び(iii)チタンの反射防止層を備える造作を
有する基板上において、単一又は複数のサイクルのパッ
シベーション及びストリッピングのプロセス実施され
た。この以前に、基板はBCl3 、Cl2 及びN2 ガス
混合物を用いた反応性イオンエッチングにおいてエッチ
ングされていた。
(Examples 1 to 11) In these examples, (i) a TiW barrier layer; (ii) a thickness of about 550 n
m of an aluminum-silicon-copper conductive metal layer, the aluminum alloy comprising 1.5% silicon and 0.5% copper; and (iii) on a substrate having features comprising an anti-reflection layer of titanium. One or more cycles of passivation and stripping processes were performed. Prior to this, the substrate had been etched in a reactive ion etch using a gas mixture of BCl 3 , Cl 2 and N 2 .

【0034】実施例1〜11の腐食試験のプロセス条件
及び結果が、表1に示される。パッシベーションのステ
ップは、500sccmの体積流量で流される水蒸気を
用いてなされた。ストリッピングのステップは、酸素、
窒素、及び随意水蒸気を記載の流量で備えるストリッピ
ングガスを用いて実施された。これらのプロセス全てに
おいて、真空チャンバは2トールの圧力に維持された。
一般に、複数のサイクルのパッシベーション及びストリ
ッピングプロセスは、単一サイクルのプロセスと比較し
て、優れた腐食性の結果を提供した。
Table 1 shows the process conditions and results of the corrosion tests of Examples 1 to 11. The passivation step was performed with steam flowing at a volume flow rate of 500 seem. The stripping step involves oxygen,
The test was carried out using a stripping gas provided with nitrogen and optional steam at the indicated flow rates. In all of these processes, the vacuum chamber was maintained at a pressure of 2 Torr.
In general, multiple cycle passivation and stripping processes provided superior corrosive results as compared to single cycle processes.

【0035】実施例7に用いられた複数のサイクルのパ
ッシベーション及びストリッピングプロセスは、最良の
耐腐食性を提供した。このプロセスでは、各パッシベー
ションステップ及びストリッピングステップは継続時間
が20秒であり、また、パッシベーションステップとス
トリッピングステップは、3回繰り返された。72時間
よりも長く96時間迄の耐腐食性が得られた。
The multiple cycle passivation and stripping process used in Example 7 provided the best corrosion resistance. In this process, each passivation and stripping step was 20 seconds in duration, and the passivation and stripping steps were repeated three times. Corrosion resistance of more than 72 hours and up to 96 hours was obtained.

【0036】実施例6と7とを比較することにより、同
じ全複数サイクルプロセスの時間に対して、サイクルの
数が増加すれば、より良好な耐腐食性を与えることが示
唆される。
Comparison of Examples 6 and 7 suggests that for the same full multi-cycle process time, increasing the number of cycles gives better corrosion resistance.

【0037】実施例7と9とを比較すれば、最終のスト
リッピングのステップが基板の耐腐食性を実質的に増加
させていることが見出される。最終のストリッピングス
テップが実施されていない実施例9では、3から7時間
までの耐腐食性が見出された;実施例7では、72時間
を超える耐腐食性が見出された。最終のストリッピング
ステップが耐腐食性を増加させるのは、ストリッピング
ガスの酸素が造作のアルミニウムを酸化し、金属の造作
上に酸化アルミニウムの保護層を形成するからであると
考えられる。
Comparing Examples 7 and 9, it is found that the final stripping step substantially increases the corrosion resistance of the substrate. In Example 9 where the final stripping step was not performed, corrosion resistance was found up to 3 to 7 hours; in Example 7, corrosion resistance was found in excess of 72 hours. It is believed that the final stripping step increases the corrosion resistance because the oxygen of the stripping gas oxidizes the aluminum features and forms a protective layer of aluminum oxide on the metal features.

【0038】実施例11は、パッシベーション及びスト
リッピングのサイクルの数を増加させることにより、最
も速い全処理時間が実現されることが可能であることを
例証している。
Example 11 illustrates that the fastest overall processing time can be achieved by increasing the number of passivation and stripping cycles.

【0039】[0039]

【表1】 (実施例12〜40)これらの実施例では、(i)30
00sccm及び300sccmのアンモニアを備える
パッシベーションガスと、(ii)酸素3000scc
mと窒素300sccmを備えるストリッピングガスを
用いた複数サイクルプロセスが用いられた。
[Table 1] (Examples 12 to 40) In these examples, (i) 30
A passivation gas comprising 00 seem and 300 seem of ammonia; and (ii) 3000 seem of oxygen.
A multi-cycle process using a stripping gas with m and 300 seem of nitrogen was used.

【0040】これらの実施例用いられる基板の造作は、
順に、(i)銅0.5%を含むアルミニウム合金の厚さ
3,500オングストロームの層、(ii)450オン
グストロームのTiNの層、(iii)1,000オン
グストロームのTiの層、及び、(iv)365オング
ストロームのTiNの層を備えていた。この以前に、基
板は、BCl3 、Cl2 及びN2 、随意CF4 を備える
ガス混合物を用いた反応性イオンエッチングにおいてエ
ッチングされていた。
The features of the substrate used in these embodiments are:
In order, (i) a 3,500 Angstrom thick layer of an aluminum alloy containing 0.5% copper, (ii) a 450 Angstrom TiN layer, (iii) a 1,000 Angstrom Ti layer, and (iv) ) With a 365 Å layer of TiN. Prior to this, the substrate had been etched in a reactive ion etch using a gas mixture comprising BCl 3 , Cl 2 and N 2 , optionally CF 4 .

【0041】これらの全ての実施例に対して、継続時間
約10秒の初期安定化ステップが実施された。このステ
ップでは、基板は真空チャンバ移送された。チャンバの
温度は、約60から100℃の温度から、約325℃の
温度にまで勾配上昇し、チャンバの圧力は、約10から
50ミリトールの圧力から、約2トールの圧力に勾配上
昇した。O2 3000sccmとNH3 300sccm
を備えるパッシベーションガスが、チャンバ内を流され
た。安定化のステップの後、基板をパッシベーションし
ストリッピングするために適したプロセスガス条件が、
以下に記載されるように維持された。
For all these examples, an initial stabilization step of approximately 10 seconds in duration was performed. In this step, the substrate was transferred to a vacuum chamber. The temperature of the chamber was ramped up from a temperature of about 60 to 100 ° C. to a temperature of about 325 ° C., and the pressure of the chamber was ramped up from a pressure of about 10 to 50 mTorr to a pressure of about 2 Torr. O 2 3000 sccm and NH 3 300 sccm
Was passed through the chamber. After the stabilization step, suitable process gas conditions for passivating and stripping the substrate are:
Maintained as described below.

【0042】(実施例12〜21)実施例12〜21
は、L9(4つのプロセス変数に対して各変数が3つの
レベル)、直交要因配置実験を用いて処理された。表I
Iには、4つのプロセス変数と、各変数に対して用いら
れた3つのレベルが記載される。表IIIには、10の
ウエハのそれぞれを処理するために用いられた実際のプ
ロセス条件が記載される。実施例21は実施例12と同
じプロセス条件で試行され、実験の再現性を証明した。
これらの実施例では、最初のパッシベーション及びスト
リッピングサイクルは、約325℃の温度において行わ
れ、2番目のパッシベーションのサイクルの温度は、表
IIに示されるように変化された。表IIIでは、変数
PS/PTは、単一のパッシベーション及びストリッピ
ングサイクルの継続時間を全複数サイクルプロセス時間
で割ったものを表す。変数P/(P+S)は、単一のパ
ッシベーションステップの全時間をパッシベーション及
びストリッピングサイクルの全時間で割ったものを表
す。
(Examples 12 to 21) Examples 12 to 21
Was processed using L9 (three levels for each of the four process variables), orthogonal factor placement experiments. Table I
I describes the four process variables and the three levels used for each variable. Table III lists the actual process conditions used to process each of the ten wafers. Example 21 was run under the same process conditions as Example 12 and demonstrated the reproducibility of the experiment.
In these examples, the first passivation and stripping cycle was performed at a temperature of about 325 ° C., and the temperature of the second passivation cycle was varied as shown in Table II. In Table III, the variable PS / PT represents the duration of a single passivation and stripping cycle divided by the total multiple cycle process time. The variable P / (P + S) represents the total time of a single passivation step divided by the total time of a passivation and stripping cycle.

【0043】光学顕微鏡でウエハは100Xに拡大され
て検査され、ストリッピングの直後にウエハ上に残留す
るフォトレジストを評価し、また、6及び24時間ウエ
ハが雰囲気に暴露された後のウエハの腐食を同定した。
ウエハのいずれにも、フォトレジストは観察されず、6
及び24時間の試験のインターバルの後にも、いずれの
ウエハにおいても腐食の兆候は観察されなかった。これ
らの実施例は、複数のサイクルのパッシベーション及び
ストリッピングのプロセスを用いて得られる腐食性能の
変化性が低いことを例証している。
The wafer was inspected under an optical microscope at 100X magnification to evaluate the photoresist remaining on the wafer immediately after stripping and to corrode the wafer after the wafer was exposed to the atmosphere for 6 and 24 hours. Was identified.
No photoresist was observed on any of the wafers,
No signs of corrosion were observed on any of the wafers after the test interval of 24 hours. These examples illustrate the low variability of the corrosion performance obtained using a multiple cycle passivation and stripping process.

【0044】[0044]

【表2】 [Table 2]

【表3】 (実施例22〜31)実施例22〜31も、L9直交要
因配置実験を用いて処理された。要因配置変数及びレベ
ルは、表IVに列挙される。表Vは、実施例22〜31
の各々を処理するために用いられる処理条件を示す。実
施例31は、実施例22と同じプロセス条件で試行さ
れ、実験の再現性を証明した。
[Table 3] Examples 22-31 Examples 22-31 were also processed using the L9 orthogonal factor placement experiment. The causal variables and levels are listed in Table IV. Table V shows Examples 22 to 31.
Shows the processing conditions used to process each of the above. Example 31 was run under the same process conditions as Example 22 and demonstrated the reproducibility of the experiment.

【0045】処理の後、ウエハの各々は光学顕微鏡を用
いて100Xに拡大されて評価された。ウエハ上にフォ
トレジストは何も見出されず、ひどい腐食を示した実施
例30を除いて、6及び24時間後、どの実施例も腐食
は示さなかった。
After processing, each of the wafers was evaluated at 100 × magnification using an optical microscope. No photoresist was found on the wafer, and none of the examples showed corrosion after 6 and 24 hours, except for Example 30, which exhibited severe corrosion.

【0046】これらの実施例の結果、基板の耐腐食性
は、パッシベーション及びストリッピングのプロセスを
より長くしストリッピングプロセス温度をより高くする
ことにより向上することが例証されている。
The results of these examples demonstrate that the corrosion resistance of the substrate is improved by making the passivation and stripping process longer and the stripping process temperature higher.

【0047】[0047]

【表4】 [Table 4]

【表5】 (実施例32〜40)実施例32〜40では、複数のサ
イクルのパッシベーション及びストリッピングプロセス
を用いて、ウエハ2組が処理された。第1の組のウエハ
は、 ストリッピング及びパッシベーションプロセスの
直後のウエハの耐腐食性を評価するために処理された。
第2番目の組のウエハは、ストリッピング及びパッシベ
ーションの済んだウエハがウェットケミカルエッチング
された後の耐腐食性を評価するために処理された。
[Table 5] Examples 32-40 In Examples 32-40, two sets of wafers were processed using multiple cycles of passivation and stripping processes. The first set of wafers was processed to evaluate the corrosion resistance of the wafer immediately after the stripping and passivation processes.
The second set of wafers was processed to evaluate the corrosion resistance of the stripped and passivated wafer after wet chemical etching.

【0048】実施例32〜36に用いられた第1の組の
ウエハは、2サイクルのパッシベーション及びストリッ
ピングプロセスを用いて処理された。各サイクルにおけ
るパッシベーション及びストリッピングステップは、約
10秒の継続時間試行され、合計で40秒間の複数のサ
イクル処理時間を与えた。複数のサイクルの全処理時間
は、各パッシベーションステップ及びストリッピングス
テップの間に2秒のインターバルを3回含むので、49
秒であった。最初のサイクルのパッシベーションのステ
ップ及びストリッピングステップは、共に、325℃の
温度で実施され、2番目のサイクルのパッシベーション
のステップ及びストリッピングのステップは共に、38
0℃の温度で実施された。
The first set of wafers used in Examples 32-36 were processed using a two-cycle passivation and stripping process. The passivation and stripping steps in each cycle were tried for a duration of about 10 seconds, giving a total of 40 seconds of multiple cycle processing times. The total processing time of the multiple cycles is 49 because it includes three 2-second intervals between each passivation and stripping step.
Seconds. Both the passivation and stripping steps of the first cycle are performed at a temperature of 325 ° C., and the passivation and stripping steps of the second cycle are both 38
Performed at a temperature of 0 ° C.

【0049】処理がなされた後、ウエハは相対湿度40
%の室温の雰囲気下に保存された。所定の時間のインタ
ーバル後の、ウエハ上の残留レジスト及び腐食が、光学
顕微鏡を用いて評価された。ウエハの評価は、1、2、
3、4及び7日後に行われた;5枚のウエハ全てが最初
の日の後に評価され、4枚が第2日後、3枚が第3日
後、このようにして、第7日後に、ただ1つのウエハが
評価された。これらの時間のインターバルの後、ウエハ
のいずれにも腐食は見出されなかった。
After the processing, the wafer is subjected to a relative humidity of 40
It was stored under an atmosphere at room temperature of 50%. After a predetermined time interval, residual resist and corrosion on the wafer was evaluated using an optical microscope. Evaluation of the wafer is 1, 2,
Performed after 3, 4 and 7 days; all five wafers were evaluated after the first day, four after the second day, three after the third day, and thus only after the seventh day. One wafer was evaluated. After these time intervals, no corrosion was found on any of the wafers.

【0050】実施例37〜40に使用された第2番目の
組のウエハもまた、2−サイクルのパッシベーション及
びストリッピングプロセスを用いて処理された。実施例
37の各パッシベーション及びストリッピングのステッ
プの継続時間は10秒であった;実施例38では5秒;
実施例39では3秒;そして、実施例40では2秒であ
った。最初のサイクルのパッシベーション及びストリッ
ピングのステップは、共に、325℃ぼ温度で実施さ
れ、第2番目のサイクルのパッシベーション及びストリ
ッピングステップは共に、380℃の温度で行われた。
The second set of wafers used in Examples 37-40 were also processed using a two-cycle passivation and stripping process. The duration of each passivation and stripping step in Example 37 was 10 seconds; 5 seconds in Example 38;
3 seconds in Example 39; and 2 seconds in Example 40. Both the passivation and stripping steps of the first cycle were performed at a temperature of 325 ° C, and the passivation and stripping steps of the second cycle were both performed at a temperature of 380 ° C.

【0051】処理が行われた後、ウエハは40%の相対
速度環境下で室温で保存された。24時間後、観察され
る腐食はウエハのいずれにもなかった。
After processing, the wafers were stored at room temperature under a 40% relative speed environment. After 24 hours, no corrosion was observed on any of the wafers.

【0052】その後、HF含有の酸性溶液を用いてウェ
ットケミカルエッチングがなされた。エッチングが行わ
れた後、再びウエハは相対湿度40%のチャンバ内に室
温で保存され、1、2及び3週間のインターバルで、光
学顕微鏡により評価された。3週間の後においても、い
ずれのウエハにも腐食は観察されなかった。実施例37
〜40は、2秒間のパッシベーション及びストリッピン
グのステップの継続時間を用いて処理されたウエハと、
10秒間のパッシベーション及びストリッピングのステ
ップの継続時間を用いて処理されたウエハの間には差異
がなかったことを例証した。
Thereafter, wet chemical etching was performed using an acidic solution containing HF. After etching, the wafers were again stored at room temperature in a 40% relative humidity chamber and evaluated by optical microscopy at 1, 2, and 3 week intervals. After 3 weeks, no corrosion was observed on any of the wafers. Example 37
-40 are wafers processed using a 2 second passivation and stripping step duration;
It was demonstrated that there was no difference between wafers processed using a 10 second passivation and stripping step duration.

【0053】(実施例41〜53)実施例41〜53
は、単一又は複数のサイクルパッシベーション及びスト
リッピングのステップを用いて処理された。これらの実
施例全てにおいては、パッシベーションガスは下記の如
く、蒸気及び随意酸素と窒素を備えていた。
(Examples 41 to 53) Examples 41 to 53
Was processed using single or multiple cycle passivation and stripping steps. In all of these examples, the passivation gas comprised steam and optional oxygen and nitrogen, as described below.

【0054】実施例41〜53の基板上の造作は、
(i)厚さ100μmのTiのバリア層、(ii)10
00nm又は1050nmのいずれかの厚さの、Cuを
0.5%含有するAlの導電性層、及び(iii)36
nm又は45nmのいずれかの厚さのTiNの反射防止
層を備えていた。ウエハは、BCl3 、Cl2 及びN2
を備えるガス混合物を用いた反応性イオンエッチングに
おいてエッチングされており、エッチングの前にはフォ
トレジストは約1.8から2μmの厚さを有していた。
The features on the substrate in Examples 41 to 53 are as follows:
(I) a barrier layer of Ti having a thickness of 100 μm, (ii) 10
A conductive layer of Al containing 0.5% Cu and having a thickness of either 00 nm or 1050 nm; and (iii) 36
An anti-reflective layer of TiN with a thickness of either nm or 45 nm was provided. Wafers were made of BCl 3 , Cl 2 and N 2
Was etched in a reactive ion etch using a gas mixture comprising: before etching, the photoresist had a thickness of about 1.8 to 2 μm.

【0055】基板上に複数のサイクル及び単一サイクル
のプロセスの両方が実施されたが、単一サイクルプロセ
スのあるものは、50秒の全プロセス時間で、適切な耐
腐食性を提供した。これらの造作の金属合金の電解活性
が充分に低いため、全プロセス時間をこれ以上短くしよ
うとしなければ、複数サイクルプロセスは必要ないから
であると考えられる。
While both multiple cycle and single cycle processes were performed on the substrate, some of the single cycle processes provided adequate corrosion resistance with a total process time of 50 seconds. It is considered that the electrolytic activity of the metal alloy having these features is sufficiently low, and if the total process time is not further reduced, the multiple cycle process is not required.

【0056】(実施例41〜45)実施例41〜45に
おいては、ウエハ「バスケット」又はフープが、真空チ
ャンバ内で基板の支持に用いられた。ウエハバスケット
に基板が置かれた後、初期のチャンバ安定化のステップ
が、約15秒間実施された。この安定化のステップで
は、表VIに示された流量でプロセスガスが真空チャン
バ内に流され、真空領域の温度及び圧力は所望のプロセ
スレベルに維持された。安定化の後、表VIに示される
ように、単一のサイクルのパッシベーション及びストリ
ッピングのプロセスが実施された。プロセスのパッシベ
ーションのステップは、20秒の継続時間を有し、スト
リッピングのステップは、40秒の継続時間を有した。
Examples 41-45 In Examples 41-45, a wafer "basket" or hoop was used to support a substrate in a vacuum chamber. After the substrate was placed in the wafer basket, an initial chamber stabilization step was performed for about 15 seconds. In this stabilization step, process gas was flowed into the vacuum chamber at the flow rates shown in Table VI, and the temperature and pressure in the vacuum area were maintained at the desired process levels. After stabilization, a single cycle passivation and stripping process was performed, as shown in Table VI. The passivation step of the process had a duration of 20 seconds and the stripping step had a duration of 40 seconds.

【0057】実施例41から45までの腐食試験の結果
は、表VIに列挙される。基板の値腐食性がパッシベー
ションの温度を下げることに影響されず(実施例41と
42、並びに、実施例43と44を比較せよ)、また、
ストリッピング温度の上昇によっても影響されない(実
施例44と45を比較せよ)ことが観察された。
The results of the corrosion tests for Examples 41 to 45 are listed in Table VI. The corrosiveness of the substrate is not affected by lowering the passivation temperature (compare Examples 41 and 42 and Examples 43 and 44), and
It was observed that it was not affected by the increase in stripping temperature (compare Examples 44 and 45).

【0058】[0058]

【表6】 (実施例46〜52)実施例46〜52では、パッシベ
ーション及びストリッピングのステップのプロセス条件
は一定に維持され、パッシベーション及びストリッピン
グのステップの継続時間は、表VII及びVIIIに示
されるように、変化された。実施例46〜50では、パ
ッシベーションのステップはストリッピングのステップ
に先行し、実施例51及び52では、ストリッピングの
ステップがパッシベーションステップに先行した。実施
例49は、2−サイクルのパッシベーション及びストリ
ッピングのステップが用いられた。
[Table 6] Examples 46-52 In Examples 46-52, the process conditions for the passivation and stripping steps were kept constant, and the durations of the passivation and stripping steps were as shown in Tables VII and VIII. Changed. In Examples 46-50, the passivation step preceded the stripping step, and in Examples 51 and 52, the stripping step preceded the passivation step. Example 49 used a 2-cycle passivation and stripping step.

【0059】これらの実施例では、真空チャンバ内に基
板を保持するためにペデスタル(図示されず)が用いら
れた。このペデスタルが基板の温度の更なる制御を可能
にしたが、その理由は、ウエハバスケットホルダと比べ
てより大きなペデスタルの質量が、基板の温度を安定化
させるからである。
In these examples, a pedestal (not shown) was used to hold the substrate in the vacuum chamber. This pedestal allowed further control of the substrate temperature because the larger pedestal mass as compared to the wafer basket holder stabilized the substrate temperature.

【0060】パッシベーションのステップでは、H2
500sccm、O2 1000sccm及びN2 100
sccmを備えるプロセスガスが用いられて、真空領域
が約2トールの圧力に維持された。マイクロウェーブ発
生器86のパワーレベルは、約1400ワットに維持さ
れた。
In the passivation step, H 2 O
500 sccm, O 2 1000 sccm and N 2 100
A process gas comprising sccm was used to maintain a vacuum region at a pressure of about 2 Torr. The power level of the microwave generator 86 was maintained at about 1400 watts.

【0061】ストリッピングのプロセスにおいては、O
2 3500sccm及びN2 300sccmを備えるプ
ロセスガスが用いられて、真空チャンバが約2トールの
圧力に維持された。マイクロウェーブパワーレベルは、
約1000ワットに維持された。
In the stripping process, O
A process gas comprising 23500 sccm and 300 sccm N 2 was used to maintain the vacuum chamber at a pressure of about 2 Torr. The microwave power level is
Maintained at about 1000 watts.

【0062】これらの実施例は、200から300℃ま
での基板温度を用いた、単一サイクルの水ベースのパッ
シベーション及びストリッピングプロセスが、有効な耐
腐食性を与えることが可能であることを例証した。記載
された金属含有層を有する造作に対して、少なくとも約
150秒の全継続時間を有する単一サイクルプロセスが
適切な耐腐食性を与え、複数のサイクルのプロセスは必
要がなかった。これらの実施例はまた、パッシベーショ
ンのステップ及びストリッピングのステップの順番は、
基板の耐腐食性が影響しないことを例証した。
These examples demonstrate that a single cycle water-based passivation and stripping process using substrate temperatures from 200 to 300 ° C. can provide effective corrosion resistance. did. For features with the described metal-containing layer, a single cycle process with a total duration of at least about 150 seconds provided adequate corrosion resistance and multiple cycle processes were not required. These embodiments also show that the order of the passivation and stripping steps is
It has been demonstrated that the corrosion resistance of the substrate has no effect.

【0063】[0063]

【表7】 [Table 7]

【表8】 (実施例53)実施例53は、好ましい単一サイクルの
ストリッピング及びパッシベーションプロセスを例示
し、このプロセス条件は、表IXに開示される。このプ
ロセスは有利であり、その理由は、適度に高いウエハス
ループットを与える一方で、有効なパッシベーション及
びストリッピングの品質を維持するからである。このプ
ロセスを用いてパッシベーションされたウエハは、雰囲
気に暴露された場合に24時間以上の耐腐食性があるこ
とを例証した。
[Table 8] Example 53 Example 53 illustrates a preferred single cycle stripping and passivation process, the process conditions of which are disclosed in Table IX. This process is advantageous because it provides reasonably high wafer throughput while maintaining effective passivation and stripping quality. Wafers passivated using this process have been demonstrated to be more than 24 hours resistant to corrosion when exposed to the atmosphere.

【0064】[0064]

【表9】 (実施例54及び55)これらの実施例は、基板上の部
分的にエッチングされた高い腐食性のTi−W層の腐食
を防止するために、単一サイクルの水蒸気パッシベーシ
ョンプロセスを用いることが可能であることを例示す
る。これらの実施例では、完全な反応性イオンエッチン
グ、パッシベーション及びストリッピングプロセスのシ
ーケンスが記載される。これらの実施例に用いられるウ
エハは、(i)Ti−W合金のバリア層、(ii)アル
ミニウム含有合金の導電性層、及び(iii)反射防止
層を備えた造作を有していた。ウエハ上の造作は、下側
のTi−Wバリア層が露出するまでエッチングされた。
Ti−W層はエッチングされなかったが、その理由は、
その下の回路デバイスがプラズマエッチングプロセスに
よって損害されるからである。部分的にエッチングされ
たTi−Wバリア層は、雰囲気に暴露されれば急速に腐
食され、その理由は、合金中の金属の電解結合が腐食を
促進するからである。従って、部分的にエッチングされ
たバリア層は、エッチングの後直ちに水蒸気含有プラズ
マを用いてパッシベーションされる。
[Table 9] Examples 54 and 55 These examples can use a single cycle steam passivation process to prevent the corrosion of partially etched highly corrosive Ti-W layers on the substrate. Is exemplified. In these examples, a complete reactive ion etch, passivation and stripping process sequence is described. The wafers used in these examples had features with (i) a barrier layer of a Ti-W alloy, (ii) a conductive layer of an aluminum-containing alloy, and (iii) an anti-reflective layer. The features on the wafer were etched until the underlying Ti-W barrier layer was exposed.
The Ti-W layer was not etched, because
This is because the underlying circuit device is damaged by the plasma etching process. Partially etched Ti-W barrier layers corrode rapidly when exposed to the atmosphere because the electrolytic bonding of the metals in the alloy promotes corrosion. Thus, the partially etched barrier layer is passivated using a water vapor containing plasma immediately after the etching.

【0065】実施例54及び55の基板は、2−ステー
ジのエッチングプロセスを用いるエッチングチャンバ
(図示されず)内でエッチングされる。第1番目のエッ
チングのステージでは、流量50sccmのBCl3
流量40sccmのCl2 及び流量20sccmのN2
を備えたエッチャントガスが、エッチングチャンバ内に
導入された。チャンバ内の圧力は、約200ミリトール
に維持された。チャンバ内のカソードに印加されたRF
パワーは約400ワットであり、そして、誘導コイルを
用いて40ガウスの磁場が発生されて、プラズマを励起
した。第1番目のエッチングのステージでは、基板上の
アルミニウム含有層が貫いてエッチングされるまでまで
行われ、このエッチングのステップの終点は、光放出技
術により測定された。第2番目のエッチングのステージ
では、基板上の厚さ1500オングストロームのTi−
Wバリア層が、Ti−W層の500オングストロームだ
けエッチングされるまでエッチングされ、基板上にTi
−W層が1000オングストロームだけ残った。第2番
目のエッチングのステージでは、BCl3 25scc
m、Cl2 20sccm及びN2 20sccmを備えた
プロセスガスがチャンバ内に導入され、チャンバは約2
0ミリトールの圧力に維持された。カソードに印加され
たRFパワーは、250ワットのレベルに維持され、チ
ャンバ内でプラズマを励起するために、40ガウスの磁
場が用いられた。第2のエッチングのステージは、約4
0秒間行われた。
The substrates of Examples 54 and 55 are etched in an etching chamber (not shown) using a two-stage etching process. In the first etching stage, BCl 3 at a flow rate of 50 sccm,
Cl 2 at a flow rate of 40 sccm and N 2 at a flow rate of 20 sccm
Was introduced into the etching chamber. The pressure in the chamber was maintained at about 200 mTorr. RF applied to the cathode in the chamber
The power was about 400 watts and a magnetic field of 40 Gauss was generated using an induction coil to excite the plasma. The first stage of etching was performed until the aluminum-containing layer on the substrate was etched through, and the endpoint of this etching step was measured by light emission techniques. In the second stage of etching, a 1500 Å thick Ti-
The W barrier layer is etched until only 500 Å of the Ti-W layer is etched,
The 1000 W layer remained -W. In the second etching stage, BCl 3 25scc
m, a process gas comprising 20 seem of Cl 2 and 20 seem of N 2 is introduced into the chamber, and
The pressure was maintained at 0 mTorr. The RF power applied to the cathode was maintained at a level of 250 watts and a 40 gauss magnetic field was used to excite the plasma in the chamber. The stage of the second etching is about 4
Performed for 0 seconds.

【0066】エッチングが行われた後、ウエハはエッチ
ングチャンバ(図示されず)からパッシベーション及び
ストリッピングチャンバへと移送された。実施例54で
は、ウエハは別々のステップでパッシベーションされ、
ストリッピングされた。パッシベーションのステップで
は、チャンバ内に水蒸気が500sccmの流量で導入
された。マイクロウェーブプラズマ発生器に800ワッ
トのRFパワーが印加され、ウエハが250℃まで加熱
された。このパッシベーションのステップは、45秒の
全時間で行われた。パッシベーションが行われた後、別
のストリッピングのステップにおいてウエハはストリッ
ピングされた。ストリッピングのステップは、300s
ccmの流れの酸素と200から300sccmまでの
流れの窒素とを備えたストリッピングガスを用いた。マ
イクロウェーブ発生器では1400ワットのRFパワー
レベルが維持され、ウエハの温度は250℃に維持され
た。パッシベーションのステップとストリッピングのス
テップの双方において、チャンバの圧力は2トールに維
持された。実施例54のパッシベーションされたウエハ
は、雰囲気に暴露された際に実質的に耐腐食的であっ
た。
After the etching was performed, the wafer was transferred from an etching chamber (not shown) to a passivation and stripping chamber. In example 54, the wafer is passivated in separate steps,
Stripped. In the passivation step, water vapor was introduced into the chamber at a flow rate of 500 seem. 800 watts of RF power was applied to the microwave plasma generator and the wafer was heated to 250 ° C. This passivation step was performed for a total time of 45 seconds. After passivation, the wafer was stripped in another stripping step. Stripping step is 300s
A stripping gas with a ccm flow of oxygen and a nitrogen flow of 200 to 300 sccm was used. The microwave generator maintained an RF power level of 1400 watts and the wafer temperature was maintained at 250 ° C. During both the passivation and stripping steps, the chamber pressure was maintained at 2 Torr. The passivated wafer of Example 54 was substantially corrosion resistant when exposed to the atmosphere.

【0067】実施例55のウエハは単一のステップのプ
ロセスにおいてパッシベーションされストリッピングさ
れた。3000sccmの流れの酸素、200sccm
の流れの窒素及び300sccmの流れの水蒸気がチャ
ンバ内に導入された。140ワットのパワーレベルのプ
ラズマが約90秒間発生して、ウエハをストリッピング
しパッシベーションした。実施例55のパッシベーショ
ンされたウエハも、雰囲気下において腐食に対して耐性
を示したことが観察された。ストリッピング及びパッシ
ベーションの後、パッシベーションされたウエハ上に1
000オングストロームの厚さで残ったバリア層が、ウ
ェットケミカルエッチングプロセスを用いて除去され
た。このプロセスに付加的に、ニュージャージ州アレン
タウンのAdvanced Chemical Technologiesから商業的に
入手可能な「ACT」900シリーズ液状エッチャント
を用いて、ウエハは化学的にエッチングされた。エッチ
ングがなされた後、ウエハは脱イオン水でリンスされ、
残留エッチャントが除去された。
The wafer of Example 55 was passivated and stripped in a single step process. 3000 sccm flow of oxygen, 200 sccm
A stream of nitrogen and a steam of 300 sccm were introduced into the chamber. A 140 watt power level plasma was generated for approximately 90 seconds to strip and passivate the wafer. It was observed that the passivated wafer of Example 55 also exhibited resistance to corrosion in an atmosphere. After stripping and passivation, place 1 on the passivated wafer.
The remaining barrier layer at a thickness of 000 angstroms was removed using a wet chemical etching process. In addition to this process, the wafer was chemically etched using an "ACT" 900 series liquid etchant commercially available from Advanced Chemical Technologies of Allentown, NJ. After etching, the wafer is rinsed with deionized water,
Residual etchant was removed.

【0068】本発明はある好ましい態様に関して詳細に
説明されてきたが、他の形態も可能である。例えば、こ
こに開示されたもの以外のパッシベーション及びストリ
ッピングのプロセスを用いて複数のサイクルのプロセス
を実施することが可能である。また、単一サイクルの水
蒸気ベースのパッシベーション及びストリッピングのプ
ロセスが、他のパッシベーション及びストリッピングの
プロセスと結合して、より大きな耐腐食性及びプロセス
効率を提供することも可能である。従って、添付した請
求の範囲の趣旨及びその範囲は、ここに含まれる好まし
い態様の記載に限定されるべきではない。
Although the present invention has been described in detail with respect to certain preferred embodiments, other forms are possible. For example, multiple cycle processes can be performed using passivation and stripping processes other than those disclosed herein. It is also possible that a single cycle steam-based passivation and stripping process can be combined with other passivation and stripping processes to provide greater corrosion resistance and process efficiency. Therefore, the spirit and scope of the appended claims should not be limited to the description of the preferred embodiments contained herein.

【図面の簡単な説明】[Brief description of the drawings]

【図1】図1(a)は、エッチング済みの金属含有造作
を有する基板の模式的縦断面図であり、ここへのエッチ
ャント副生成物、残留レジスト及び側壁堆積物を示し、
図1(b)は、図1(a)の基板のパッシベーション及
びストリッピング後の模式的縦断面図であり、エッチャ
ント副生成物及び残留レジストが除去されたことを示
し、図1(c)は、図1(b)の基板の側壁堆積物の除
去後の模式的縦断面図であり、図1(d)は、図1
(c)の基板の、アミンを用いた抑制後の模式的縦断面
図であり、基板に吸収されたアミンを示す図である。
FIG. 1 (a) is a schematic longitudinal cross-sectional view of a substrate having an etched metal-containing feature, showing an etchant by-product, residual resist and sidewall deposits therein;
FIG. 1 (b) is a schematic longitudinal sectional view of the substrate of FIG. 1 (a) after passivation and stripping, showing that the etchant by-product and the residual resist have been removed, and FIG. 1 (c). FIG. 1D is a schematic longitudinal sectional view of the substrate after removal of sidewall deposits of FIG. 1B, and FIG.
FIG. 3C is a schematic vertical cross-sectional view of the substrate of FIG. 3C after suppression using an amine, showing amine absorbed by the substrate.

【図2】図2は、本発明のプロセスの実施に適切な真空
チャンバの、模式的縦断面図である。
FIG. 2 is a schematic longitudinal sectional view of a vacuum chamber suitable for performing the process of the present invention.

【符号の説明】[Explanation of symbols]

20…基板、22…表面形状、24…エッチャント副生
成物、26…残留レジスト、50…装置、52…真空チ
ャンバ、60…ガス流入口、80…マイクロ波プラズマ
ジェネレータ組立体。
Reference Signs List 20: substrate, 22: surface shape, 24: etchant by-product, 26: residual resist, 50: apparatus, 52: vacuum chamber, 60: gas inlet, 80: microwave plasma generator assembly.

───────────────────────────────────────────────────── フロントページの続き (72)発明者 シェイ, ピーター アメリカ合衆国, カリフォルニア州, サニーヴェール, ブレアー アヴェニュ ー 833, ナンバー4 (72)発明者 イッシャロム, カーメル イスラエル, コリアット モッズキン 26418, モーデチ フォゲルマン スト リート 14/2 (72)発明者 ロウ, ウェスレイ アメリカ合衆国, カリフォルニア州, サン ノゼ, ジョンソン アヴェニュー 1695 (72)発明者 パパニュ, ジェームス エス. アメリカ合衆国, カリフォルニア州, サン ラファエル, ホリー ドライヴ 351 (72)発明者 マク, スティーヴ エス.ワイ. アメリカ合衆国, カリフォルニア州, プレザントン, モンテビノ ドライヴ 878 (72)発明者 ローデス, チャールズ スティーヴン アメリカ合衆国, カリフォルニア州, シミ ヴァレイ, ショール クリーク コート 1049 (72)発明者 シエイ, ブライアン タイワン, ファリエン, リン−チェン ストリート 1 レーン エスピー. 5 (72)発明者 ラッチフォード, スコット イアン アメリカ合衆国, カリフォルニア州, サニーヴェール, セサミ ドライヴ 1207 (72)発明者 ウィリアムス, カレン エー. アメリカ合衆国, カリフォルニア州, サン ノゼ, スプルアンス ストリート 1186 (72)発明者 ユーウォン, ヴィクトリア アメリカ合衆国, カリフォルニア州, ロス アルトス, マリノヴィッチ ウェ イ 1408 ────────────────────────────────────────────────── ─── Continuing the front page (72) Inventor Shay, Peter United States, California, Sunnyvale, Blair Avenue 833, Number 4 (72) Inventor Ishalom, Carmel Israel, Coriat Modzkin 26418, Modech Fogerman Street 14 / 2 (72) Inventor Row, Wesley United States of America, California, San Jose, Johnson Avenue 1695 (72) Inventor Papanyu, James S. United States of America, California, San Rafael, Holly Drive 351 (72) Inventor Mac, Steve S. Wy. United States, California, Pleasanton, Montebino Drive 878 (72) Inventor Rhodes, Charles Steven United States, California, Simi Valley, Shoal Creek Court 1049 (72) Inventor Shiy, Brian Thai Wan, Farien, Lin-Chen Street 1 lane sp. 5 (72) Inventor Latchford, Scott Ian United States, California, Sunnyvale, Sesame Drive 1207 (72) Inventor Williams, Karen A. United States, California, San Jose, Spruce Street 1186 (72) Inventor Yuwon, Victoria United States, California, Los Altos, Marinovic Way 1408

Claims (10)

【特許請求の範囲】[Claims] 【請求項1】 ハロゲン含有エッチャントを用いたエッ
チング後の半導体ウエハを、処理するプロセスであっ
て、(a)真空チャンバ内に基板を置くステップと、
(b)下式のアミンの蒸気を真空チャンバ内に導入する
ステップ 【化1】 (R1はアルキル基であり:R2とR3は水素原子又はア
ルキル基であり、R2とR3は同じでも異なっていてもよ
い)と、(c)アミンの一部がガス状の形態で真空チャ
ンバ内に存在しアミンの一部が基板に吸収されるよう
に、真空チャンバ内のアミンの蒸気圧を設定するステッ
プとを備える処理プロセス。
1. A process for treating a semiconductor wafer after etching using a halogen-containing etchant, comprising: (a) placing a substrate in a vacuum chamber;
(B) Step of introducing amine vapor of the following formula into a vacuum chamber: (R 1 is an alkyl group: R 2 and R 3 are a hydrogen atom or an alkyl group, and R 2 and R 3 may be the same or different) and (c) a part of the amine is gaseous Setting the vapor pressure of the amine in the vacuum chamber such that a portion of the amine is present in the vacuum chamber and absorbed by the substrate.
【請求項2】 真空チャンバが約1トール〜約10トー
ルの圧力に維持される請求項1に記載の処理プロセス。
2. The process of claim 1, wherein the vacuum chamber is maintained at a pressure between about 1 Torr and about 10 Torr.
【請求項3】 R2とR3の少なくとも一方がアルキル基
である請求項1に記載のプロセス。
3. The process according to claim 1, wherein at least one of R 2 and R 3 is an alkyl group.
【請求項4】 R1、R2及びR3のそれぞれが、炭素原
子1〜5を含むアルキル基である請求項1に記載の処理
プロセス。
4. The process according to claim 1 , wherein each of R 1 , R 2 and R 3 is an alkyl group containing 1 to 5 carbon atoms.
【請求項5】 空気への曝露に際して基板の腐食が少な
くとも24時間抑制されるに充分なアミンが、基板に吸
着されるようなレベルに、チャンバ内の蒸気圧と基板の
温度が設定される請求項1に記載の処理プロセス。
5. The vapor pressure in the chamber and the temperature of the substrate are set to a level such that sufficient amine is adsorbed on the substrate to prevent corrosion of the substrate upon exposure to air for at least 24 hours. Item 3. The processing process according to Item 1.
【請求項6】 真空チャンバへのアミン蒸気の導入が約
120秒未満の間行われる請求項2に記載の処理プロセ
ス。
6. The process of claim 2, wherein the introduction of the amine vapor into the vacuum chamber occurs for less than about 120 seconds.
【請求項7】 ハロゲン含有エッチャントを用いたエッ
チング後の半導体ウエハを処理するプロセスであって、
(a)真空チャンバ内に基板を置くステップと、(b)
水蒸気を備えたパッシベーションガスを真空チャンバ内
に導入するステップと、(c)該パッシベーションガス
からプラズマを発生させるステップと、(d)酸素と窒
素を備えたストリッピングガスを真空チャンバ内に導入
するステップであって、酸素の窒素に対する体積流量比
(VO2:VN2)が、約6:1〜約200:1である、前
記ステップと、(e)該ストリッピングガスからプラズ
マを発生させるステップと、(f)処理後の基板を前記
真空チャンバから取り出すステップとを有する処理プロ
セス。
7. A process for treating a semiconductor wafer after etching using a halogen-containing etchant,
(A) placing a substrate in a vacuum chamber; (b)
Introducing a passivation gas with water vapor into the vacuum chamber; (c) generating a plasma from the passivation gas; and (d) introducing a stripping gas with oxygen and nitrogen into the vacuum chamber. Wherein the volume flow ratio of oxygen to nitrogen (V O2 : V N2 ) is from about 6: 1 to about 200: 1, and (e) generating a plasma from the stripping gas. (F) removing the processed substrate from the vacuum chamber.
【請求項8】 酸素の窒素に対する前記体積流量比(V
O2:VN2)が、約10:1〜約12:1である請求項7
に記載の処理プロセス。
8. The volume flow ratio of oxygen to nitrogen (V
O2 : VN2 ) is from about 10: 1 to about 12: 1.
Processing process described in 1.
【請求項9】 前記真空チャンバが約150℃〜約40
0℃の温度及び約1トール〜約10トールの圧力に維持
される請求項7に記載の処理プロセス。
9. The method according to claim 1, wherein the vacuum chamber is at about 150 ° C. to about 40 ° C.
The process of claim 7, wherein the temperature is maintained at 0 ° C. and a pressure of about 1 Torr to about 10 Torr.
【請求項10】 少なくとも約24時間空気に曝露され
た場合の耐腐食性を基板に与えるように、プロセスガス
のプラズマが基板をパッシベーションするような、温度
及び圧力の条件に前記真空チャンバが維持される請求項
7に記載の処理プロセス。
10. The vacuum chamber is maintained under conditions of temperature and pressure such that a plasma of a process gas passivates the substrate to provide corrosion resistance to the substrate when exposed to air for at least about 24 hours. The process according to claim 7.
JP05695298A 1994-02-03 1998-03-09 Substrate processing method Expired - Fee Related JP3795220B2 (en)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US19182894A 1994-02-03 1994-02-03
US08/191828 1994-02-03
US08/268377 1994-06-29
US08/268,377 US5545289A (en) 1994-02-03 1994-06-29 Passivating, stripping and corrosion inhibition of semiconductor substrates
US08/369,237 US5631803A (en) 1995-01-06 1995-01-06 Erosion resistant electrostatic chuck with improved cooling system
US08/369237 1995-01-06

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP7521234A Division JP2839040B2 (en) 1994-02-03 1995-01-27 Passivation of semiconductor substrates

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2006032939A Division JP4167268B2 (en) 1994-02-03 2006-02-09 Process for substrate passivation

Publications (2)

Publication Number Publication Date
JPH1174250A true JPH1174250A (en) 1999-03-16
JP3795220B2 JP3795220B2 (en) 2006-07-12

Family

ID=27392955

Family Applications (3)

Application Number Title Priority Date Filing Date
JP7521234A Expired - Fee Related JP2839040B2 (en) 1994-02-03 1995-01-27 Passivation of semiconductor substrates
JP05695298A Expired - Fee Related JP3795220B2 (en) 1994-02-03 1998-03-09 Substrate processing method
JP2006032939A Expired - Fee Related JP4167268B2 (en) 1994-02-03 2006-02-09 Process for substrate passivation

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP7521234A Expired - Fee Related JP2839040B2 (en) 1994-02-03 1995-01-27 Passivation of semiconductor substrates

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2006032939A Expired - Fee Related JP4167268B2 (en) 1994-02-03 2006-02-09 Process for substrate passivation

Country Status (4)

Country Link
EP (1) EP0692140A1 (en)
JP (3) JP2839040B2 (en)
KR (1) KR100336916B1 (en)
WO (2) WO1995021458A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2020502794A (en) * 2016-12-14 2020-01-23 マットソン テクノロジー インコーポレイテッドMattson Technology, Inc. Atomic layer etching process using plasma in conjunction with rapid thermal activation process

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3129144B2 (en) * 1995-04-21 2001-01-29 日本電気株式会社 Ashing method
US6140243A (en) * 1996-12-12 2000-10-31 Texas Instruments Incorporated Low temperature process for post-etch defluoridation of metals
US6209551B1 (en) * 1997-06-11 2001-04-03 Lam Research Corporation Methods and compositions for post-etch layer stack treatment in semiconductor fabrication
US5968275A (en) * 1997-06-25 1999-10-19 Lam Research Corporation Methods and apparatus for passivating a substrate in a plasma reactor
US7615037B2 (en) * 2005-12-14 2009-11-10 Stryker Corporation Removable inlet manifold for a medical/surgical waste collection system, the manifold including a driver for actuating a valve integral with the waste collection system
US8491967B2 (en) * 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
JP5601806B2 (en) * 2009-08-25 2014-10-08 日新製鋼株式会社 Method for producing stainless steel sheet with excellent coating film adhesion
WO2019190912A1 (en) * 2018-03-30 2019-10-03 Lam Research Corporation Topographically-selective and area-selective ald using fluorocarbon blocking layers

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS55158275A (en) * 1979-05-28 1980-12-09 Hitachi Ltd Corrosion preventing method for al and al alloy
JPS5830133A (en) * 1981-08-18 1983-02-22 Matsushita Electric Ind Co Ltd Plasma etching treatment
JPS6370428A (en) * 1986-09-11 1988-03-30 Anelva Corp Plasma treating apparatus
JPH0777211B2 (en) * 1987-08-19 1995-08-16 富士通株式会社 Ashing method
US4961820A (en) * 1988-06-09 1990-10-09 Fujitsu Limited Ashing method for removing an organic film on a substance of a semiconductor device under fabrication
JP2890432B2 (en) * 1989-01-10 1999-05-17 富士通株式会社 Ashing method of organic matter
EP0809283A3 (en) * 1989-08-28 1998-02-25 Hitachi, Ltd. Method of treating wafers
US5000820A (en) * 1989-12-20 1991-03-19 Texas Instruments Incorporated Methods and apparatus for etching mercury cadmium telluride
US5397432A (en) * 1990-06-27 1995-03-14 Fujitsu Limited Method for producing semiconductor integrated circuits and apparatus used in such method
JP3058979B2 (en) * 1991-02-22 2000-07-04 宮城沖電気株式会社 Method for preventing corrosion of Al alloy after dry etching
US5174856A (en) * 1991-08-26 1992-12-29 Applied Materials, Inc. Method for removal of photoresist over metal which also removes or inactivates corrosion-forming materials remaining from previous metal etch
US5200031A (en) * 1991-08-26 1993-04-06 Applied Materials, Inc. Method for removal of photoresist over metal which also removes or inactivates corrosion-forming materials remaining from one or more previous metal etch steps
US5221424A (en) * 1991-11-21 1993-06-22 Applied Materials, Inc. Method for removal of photoresist over metal which also removes or inactivates corosion-forming materials remaining from previous metal etch
US5348619A (en) * 1992-09-03 1994-09-20 Texas Instruments Incorporated Metal selective polymer removal

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2020502794A (en) * 2016-12-14 2020-01-23 マットソン テクノロジー インコーポレイテッドMattson Technology, Inc. Atomic layer etching process using plasma in conjunction with rapid thermal activation process

Also Published As

Publication number Publication date
WO1995022171A2 (en) 1995-08-17
EP0692140A1 (en) 1996-01-17
WO1995022171A3 (en) 1995-09-08
JPH09500763A (en) 1997-01-21
WO1995021458A1 (en) 1995-08-10
JP4167268B2 (en) 2008-10-15
JP3795220B2 (en) 2006-07-12
KR100336916B1 (en) 2002-12-02
JP2839040B2 (en) 1998-12-16
JP2006237599A (en) 2006-09-07

Similar Documents

Publication Publication Date Title
US5545289A (en) Passivating, stripping and corrosion inhibition of semiconductor substrates
JP4167268B2 (en) Process for substrate passivation
TW540114B (en) Substrate cleaning apparatus and method
US5200031A (en) Method for removal of photoresist over metal which also removes or inactivates corrosion-forming materials remaining from one or more previous metal etch steps
KR950010044B1 (en) Manufacturing method of semiconductor integrated circuit and equipment for the manufacture
US4351696A (en) Corrosion inhibition of aluminum or aluminum alloy film utilizing bromine-containing plasma
US8101025B2 (en) Method for controlling corrosion of a substrate
US5851302A (en) Method for dry etching sidewall polymer
EP1053566B1 (en) Method and composition for dry photoresist stripping in semiconductor fabrication
JP3001639B2 (en) Control of stripping, passivation and corrosion of semiconductor substrates
JP4642164B2 (en) Method for processing a stacked stack of wafers after metal etching
US5227341A (en) Method of manufacturing a semiconductor device using an isopropyl alcohol ashing step
WO2001097270A2 (en) Substrate cleaning apparatus and method
US7413993B2 (en) Process for removing a residue from a metal structure on a semiconductor substrate
JPH08306668A (en) Ashing
US7067433B2 (en) Method to reduce the fluorine contamination on the Al/Al-Cu pad by a post high cathod temperature plasma treatment
JPH01200628A (en) Dry etching
JP2001102345A (en) Method and device for treating surface
JPH05195259A (en) Method for etching aluminum-containing layer
KR20230049254A (en) Method of processing substrate
JPH06151389A (en) Post-treatment method of dry etching
JPH05217957A (en) Removal of organic compound film
JPH04188724A (en) Manufacture of semiconductor device

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20011228

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20041116

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20050216

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20050221

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050407

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20050809

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20051109

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20051115

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060209

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20060314

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20060412

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090421

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100421

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110421

Year of fee payment: 5

LAPS Cancellation because of no payment of annual fees
RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: R3D02