JP4167268B2 - Process for substrate passivation - Google Patents

Process for substrate passivation Download PDF

Info

Publication number
JP4167268B2
JP4167268B2 JP2006032939A JP2006032939A JP4167268B2 JP 4167268 B2 JP4167268 B2 JP 4167268B2 JP 2006032939 A JP2006032939 A JP 2006032939A JP 2006032939 A JP2006032939 A JP 2006032939A JP 4167268 B2 JP4167268 B2 JP 4167268B2
Authority
JP
Japan
Prior art keywords
substrate
passivation
gas
stripping
vacuum chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2006032939A
Other languages
Japanese (ja)
Other versions
JP2006237599A (en
Inventor
ジアン チェン,
ピーター シェイ,
カーメル イッシャロム,
ウェスレイ ロウ,
ジェームス エス. パパニュ,
スティーブ エス.ワイ. マク,
チャールズ スティーヴン ローデス,
ブライアン シェイ,
スコット イアン ラッチフォード,
カレン エー. ウィリアムス,
ヴィクトリア ユーウォン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US08/268,377 external-priority patent/US5545289A/en
Priority claimed from US08/369,237 external-priority patent/US5631803A/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2006237599A publication Critical patent/JP2006237599A/en
Application granted granted Critical
Publication of JP4167268B2 publication Critical patent/JP4167268B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks

Description

発明の詳細な説明Detailed Description of the Invention

[発明の属する技術分野]
本発明は、半導体基板のストリッピング、パッシベーション及び腐食の抑制に関する。
[Technical field to which the invention belongs]
The present invention relates to semiconductor substrate stripping, passivation, and corrosion inhibition.

[従来の技術]
集積回路の製造においては、金属を備える層を基板上に堆積し、この層の上にポリマー又は酸化物により構成されるレジストを形成し、そして層の露出部分をエッチングすることにより、導電性の造作(ぞうさく)が形成される。特にハロゲン含有エッチャント(例えば、Cl2、BCl3、CCl4、SiCl4、CF4、NF3、SF6及びこれらの混合物、これらは例えばSilicon Processing for the VLSI Era, Vol.1, Chapter 16, by Wolf and Tauber, Lattice Press,1986に記載され、この開示内容は、参照としてここに併合される)が、基板のエッチングに用いられ、例えば、反応性イオンエッチングにおいては、エッチングされた基板はエッチャント残留物及び副生成物によって汚染される。特に、造作がAl−CuやTi−Wの如く電池対物質となることができるような合金で構成されている場合に、これらの汚染物は、特に雰囲気中の湿気と共同して、導電性の造作を腐食することがある。汚染物副生成物は、例えば、エッチャントからの残留ハロゲン、導電性の造作からの金属、及び/又はポリマーのレジスト材料との間の反応により生成される。これらは導電性の造作の側部上で反応副生成物が凝縮することにより、側壁堆積物の形態となることがある。また、エッチングの後に、エッチャントガスによるエッチングがされなかった残留レジストが、基板上に残ることもある。
[Conventional technology]
In the manufacture of integrated circuits, a layer comprising metal is deposited on a substrate, a resist composed of a polymer or an oxide is formed on this layer, and the exposed portion of the layer is etched, thereby conducting a conductive layer. A feature is formed. In particular halogen-containing etchants (e.g., Cl 2, BCl 3, CCl 4, SiCl 4, CF 4, NF 3, SF 6 and mixtures thereof, these are for example Silicon Processing for the VLSI Era, Vol.1 , Chapter 16, by Wolf and Tauber, Lattice Press, 1986, the disclosure of which is incorporated herein by reference) is used to etch the substrate, for example, in reactive ion etching, the etched substrate is an etchant residue. Contaminated by products and by-products. These contaminants are particularly conductive in conjunction with moisture in the atmosphere, especially when the structure is made of an alloy that can be a battery-to-material such as Al-Cu or Ti-W. May corrode the structure. Contaminant by-products are produced, for example, by reaction between residual halogen from etchants, metals from conductive features, and / or polymeric resist materials. These may be in the form of sidewall deposits due to condensation of reaction byproducts on the sides of the conductive features. In addition, after etching, residual resist that has not been etched with the etchant gas may remain on the substrate.

[発明が解決しようとする課題]
このような汚染物の不利な効果を減らすためにエッチング済み基板を処理し、基板上の残留レジストを除去することが知られている。この基板の処理は、(i)残留レジストを除去し(通常、ストリッピングと称される)、(ii)汚染物を除去又は変換し(通常、パッシベーションと称され、例えばCF4 プラズマへの暴露を通じてなされる)、(iii)導電性の造作の全ての部分の上に保護層を形成する(通常、抑制と称され、例えばCHFプラズマへの暴露を通じてなされる)。しかし、既知のストリッピング、パッシベーション及び抑制の処理は、非常に長い処理時間を要し、及び/又は、高価で使用が難しく又は危険な材料を使用することを要する。
[ Problems to be solved by the invention ]
In order to reduce the adverse effects of such contaminants, it is known to process an etched substrate to remove residual resist on the substrate. This processing of the substrate (i) removes residual resist (usually referred to as stripping), (ii) removes or transforms contaminants (usually referred to as passivation, eg exposure to CF 4 plasma). (Iii) (iii) forming a protective layer over all parts of the conductive features (usually referred to as suppression, for example through exposure to CHF 3 plasma). However, known stripping, passivation and suppression processes require very long processing times and / or require the use of expensive, difficult to use or dangerous materials.

また、既知のパッシベーション及びストリッピングの方法は、非常にはやく、例えば1から5時間内に、効果がなくなり基板の腐食を許してしまうことがある。基板の処理において少なくとも次のステップ(典型的には、レジスト及び/又は汚染物の少なくとも一部を除去するストリッピング処理)までは、基板上のエッチング済み造作の腐食を防止することが、一般的には重要である。腐食を防止する処理が、短い時間しか効果的でなければ、このことが、製造プロセスの時間設定に関して重大な制限となり、例えば、装置の故障により製造に不測の遅れが生じた場合は、全バッチウエハが損失することにもなり得る。   Also, the known passivation and stripping methods are very fast and can be ineffective, for example, within 1 to 5 hours, allowing corrosion of the substrate. It is common to prevent corrosion of etched features on the substrate until at least the next step in the processing of the substrate (typically a stripping process that removes at least a portion of the resist and / or contaminants). Is important. If the process to prevent corrosion is only effective for a short period of time, this can be a significant limitation on the time setting of the manufacturing process, for example if an unexpected failure in manufacturing results from equipment failure, the entire batch wafer Can also be lost.

[課題を解決するための手段]
本発明の第1の側面に従って、エッチャント残留物及び副生成物の不利な効果を減ずるためのエッチング済み基板を処理する改良プロセスを、我々は見出した。このプロセスにおいては、真空下で、水蒸気、酸素及び窒素を備えるプロセスガスから形成されるプラズマに、基板が暴露される。(i)水蒸気と(ii)酸素及び窒素の合計との体積の比は、(1)約1:2から約2:1、好ましくは0.8:1から1:0.8、そして特に約1:1、又は、(2)約1:4から約1:40、好ましくは1:6から1:20、そして特に約1:10である。好ましくは、約1から約10トールの圧力及び約150゜から約400℃の温度の真空チャンバ内で、このプロセスが遂行される。基板がプラズマに暴露される時間は、一般的には、約10から約240秒、好ましくは約20から約60秒である。一般的に、処理時間が長いほど、腐食が防止される時間も長くなる。
[Means for solving problems]
In accordance with the first aspect of the present invention, we have found an improved process for processing an etched substrate to reduce the adverse effects of etchant residues and by-products. In this process, the substrate is exposed to a plasma formed from a process gas comprising water vapor, oxygen and nitrogen under vacuum. The volume ratio of (i) water vapor to (ii) the sum of oxygen and nitrogen is (1) about 1: 2 to about 2: 1, preferably 0.8: 1 to 1: 0.8, and especially about 1: 1 or (2) from about 1: 4 to about 1:40, preferably from 1: 6 to 1:20, and especially about 1:10. Preferably, this process is performed in a vacuum chamber at a pressure of about 1 to about 10 Torr and a temperature of about 150 ° to about 400 ° C. The time that the substrate is exposed to the plasma is generally from about 10 to about 240 seconds, preferably from about 20 to about 60 seconds. In general, the longer the treatment time, the longer the time during which corrosion is prevented.

本発明の第2の側面に従って、基板を真空下で下式のアルキルアミンに暴露する事を備えた、エッチャント残留物及び副生成物の不利な効果を減ずるためのエッチング済み基板を処理する第2の改良プロセスを、我々は見出し:

Figure 0004167268
ここで、R1 はアルキル基であり、好ましくは、1から5の炭素原子を含むアルキル基であり、例えば、メチル、エチル又はプロピルであり:R2とR3 のそれぞれは、同じでも異なっていてもよいが、水素原子又はアルキル基であり、好ましくは、1から5の炭素原子を含むアルキル基であり、例えば、メチル、エチル又はプロピルである。好ましくは、アミンの一部分がガス状の形態で存在しアミンのこの一部分が基板上で吸着されるように、真空チャンバ内のアミンの蒸気圧がなることが好ましい。 In accordance with a second aspect of the present invention, a second treating an etched substrate to reduce the adverse effects of etchant residues and by-products comprising exposing the substrate to an alkylamine of the formula: The improvement process we headed:
Figure 0004167268
Where R 1 is an alkyl group, preferably an alkyl group containing 1 to 5 carbon atoms, for example methyl, ethyl or propyl: each of R 2 and R 3 is the same or different It may be a hydrogen atom or an alkyl group, preferably an alkyl group containing 1 to 5 carbon atoms, for example methyl, ethyl or propyl. Preferably, the vapor pressure of the amine in the vacuum chamber is such that a portion of the amine is present in gaseous form and this portion of the amine is adsorbed on the substrate.

[発明の実施の形態]
本発明のプロセスは、シリコンやガリウムヒ素等の半導体材料を典型的に備えたエッチング済み基板20の上で行われる。基板20上の導電性の造作22は好ましくは、金属層、例えば、アルミニウム、Al−Cu合金等のアルミニウム合金、銅及び場合によってはシリコンを備え、また、例えば、Ti、W、Ti−W合金、又は、TiN、及び/又は、例えばSi、TiN又はTi−W合金等の反射防止層を含む拡散遮蔽層を備えていてもよい。基板20は、(i)エッチャント副生成物24、(ii)残留レジスト26、及び(iii)造作の側壁上の側壁堆積物27を有する、エッチング済みの導電性の造作22を有している。造作22上のエッチャント副生成物24は、典型的には、基板20のエッチング中に生成されるラジカル及び化合物を含有する残留ハロゲンを備えている。残留レジスト26は、エッチング後に基板上に残るレジストの一部である。造作22の側壁堆積物27は典型的には、(i)炭素及び水素、(ii)アルミニウム等の金属含有層からの金属、及び、(iii)ボロン及び窒素等のエッチャントガスを含んだ有機化合物を備える。
[Embodiment of the Invention]
The process of the present invention is performed on an etched substrate 20 typically comprising a semiconductor material such as silicon or gallium arsenide. The conductive feature 22 on the substrate 20 preferably comprises a metal layer, for example an aluminum alloy such as aluminum, Al-Cu alloy, copper and possibly silicon, and also, for example, Ti, W, Ti-W alloy. Alternatively, a diffusion shielding layer including an antireflection layer such as TiN and / or Si, TiN, or a Ti—W alloy may be provided. The substrate 20 has an etched conductive feature 22 having (i) an etchant byproduct 24, (ii) a residual resist 26, and (iii) a sidewall deposit 27 on the sidewall of the feature. Etchant by-product 24 on feature 22 typically comprises residual halogen containing radicals and compounds generated during etching of substrate 20. The residual resist 26 is a part of the resist remaining on the substrate after etching. The sidewall deposit 27 of feature 22 typically includes (i) carbon and hydrogen, (ii) a metal from a metal-containing layer such as aluminum, and (iii) an organic compound that includes an etchant gas such as boron and nitrogen. Is provided.

パッシベーション、ストリッピング及び基板20の腐食抑制に適した装置50は、図2に模式的に示される。装置50は、エッチングチャンバ(図示されず)を備え、これは、真空に保たれたロードロック移送領域(図示されず)により真空チャンバ52に接続され、これは、プラズマ発生領域54と真空領域56とを有する。ガス流入口60を通って真空チャンバ52内にプロセスガスが進入し、「シャワーヘッド」タイプの拡散器62によって真空領域56内で均一に分散される。「バスケット」フープ状支持体(図示の如く)又はペデスタル(図示されず)を備えてもよい基板支持体64が、真空チャンバ内に基板20を保持するために備えられ、フォーカスリング70が基板20の周りにプロセスガスの流れを維持する。基板の加熱には、赤外ランプ72等の熱源が用いられてもよい。ガス状の副生成物と消費済みプロセスガスは、真空チャンバ52内を少なくとも1ミリトールの圧力に維持することが可能な排気システム(図示されず)により、排気口74を通って真空チャンバ52から排出される。   An apparatus 50 suitable for passivation, stripping and inhibiting corrosion of the substrate 20 is shown schematically in FIG. The apparatus 50 comprises an etching chamber (not shown), which is connected to the vacuum chamber 52 by a load lock transfer area (not shown) kept in a vacuum, which comprises a plasma generation area 54 and a vacuum area 56. And have. Process gas enters the vacuum chamber 52 through the gas inlet 60 and is uniformly distributed in the vacuum region 56 by a “showerhead” type diffuser 62. A substrate support 64, which may comprise a “basket” hoop-like support (as shown) or a pedestal (not shown), is provided for holding the substrate 20 in a vacuum chamber, and a focus ring 70 is provided for the substrate 20. Maintain process gas flow around. A heat source such as an infrared lamp 72 may be used for heating the substrate. Gaseous by-products and spent process gas are exhausted from the vacuum chamber 52 through the exhaust port 74 by an exhaust system (not shown) capable of maintaining a pressure of at least 1 millitorr in the vacuum chamber 52. Is done.

装置50のプラズマ発生領域54に接続されたマイクロウェーブプラズマ発生器組立体80を用いて、プロセスガスからプラズマを発生させてもよい。適切なマイクロウェーブ発生器組立体80は、マサチューセッツ州ウォバーンのApplied Science & Technology,Inc.から商業的に入手可能な「ASTEX」マイクロウェーブプラズマ発生器である。典型的には、マイクロウェーブ発生器組立体80は、マイクロウェーブアプリケータ82、マイクロウェーブ同調組立体84、及びマグネトロンマイクロウェーブ発生器86を備える。また、RF−発生のプラズマや誘導結合のプラズマ等の別のプラズマも、効果的である。   A plasma may be generated from the process gas using a microwave plasma generator assembly 80 connected to the plasma generation region 54 of the apparatus 50. A suitable microwave generator assembly 80 is the “ASTEX” microwave plasma generator commercially available from Applied Science & Technology, Inc. of Woburn, Massachusetts. Typically, the microwave generator assembly 80 includes a microwave applicator 82, a microwave tuning assembly 84, and a magnetron microwave generator 86. Other plasmas such as RF-generated plasma and inductively coupled plasma are also effective.

本発明のプロセスを行うにあたり、エッチング済み基板20が、適当な温度及び圧力に維持された真空チャンバ52内に移送される。エッチング済みの基板を処理して基板状のエッチャント残留物及び副生成物の不利な効果を減少させる改良方法は、真空下で、水蒸気、酸素及び窒素を備えるプロセスガスから形成されるプラズマに、基板を暴露する事を含む。(i)水蒸気と(ii)酸素及び窒素の合計との体積の比は、(1)約1:2から約2:1、好ましくは0.8:1から1:0.8、そして特に約1:1、又は、(2)約1:4から約1:40、好ましくは1:6から1:20、そして特に約1:10である。   In performing the process of the present invention, the etched substrate 20 is transferred into a vacuum chamber 52 maintained at an appropriate temperature and pressure. An improved method of processing an etched substrate to reduce the adverse effects of substrate-like etchant residues and by-products is to create a plasma formed from a process gas comprising water vapor, oxygen and nitrogen under vacuum. Including exposing. The volume ratio of (i) water vapor to (ii) the sum of oxygen and nitrogen is (1) about 1: 2 to about 2: 1, preferably 0.8: 1 to 1: 0.8, and especially about 1: 1 or (2) from about 1: 4 to about 1:40, preferably from 1: 6 to 1:20, and especially about 1:10.

水蒸気の体積(VH2O )と(ii)酸素及び窒素両方(VO2+N2)の比に依存して、プロセスガスは主にパッシベーション機能を有するか、又は、主にストリッピング機能を有する。体積による比(VH2O):(VO2+VN2)が、約1:2から約2:1、好ましくは0.8:1から1:0.8、特に約1:1である場合は、プロセスガスは、主にパッシベーションガスとして機能し;そして、別のレジストストリッピングのステップが用いられて基板上のレジストを除去する。体積による比(VH2O):(VO2+VN2)が、約1:4から約1:40、好ましくは1:6から1:20、特に約1:10である場合は、プロセスガスは、主にストリッピングガスとして機能し;そして、別のパッシベーションのステップが用いられて基板を保護する。ストリッピングガスとして主に作用するには、適切なストリッピング速度を与えるために、水蒸気含有量は体積で、酸素と窒素のガス含有量の合計の約20%よりも少なくなるべきである。どのプロセスガス混合物においても、窒素に対する酸素の体積流量は、好ましくは約1:1から約50:1、更に好ましくは1:1から20:1、特に10:1である。 Depending on the volume of water vapor (V H2O ) and (ii) the ratio of both oxygen and nitrogen (V O2 + V N2 ), the process gas has mainly a passivation function or mainly a stripping function. If the ratio by volume (V H2O ) :( V O2 + V N2 ) is about 1: 2 to about 2: 1, preferably 0.8: 1 to 1: 0.8, especially about 1: 1, The process gas functions primarily as a passivation gas; and another resist stripping step is used to remove the resist on the substrate. If the ratio by volume (V H2O ) :( V O2 + V N2 ) is about 1: 4 to about 1:40, preferably 1: 6 to 1:20, especially about 1:10, the process gas is It functions primarily as a stripping gas; and another passivation step is used to protect the substrate. To primarily act as a stripping gas, the water vapor content should be less than about 20% of the sum of the oxygen and nitrogen gas content by volume to provide an appropriate stripping rate. In any process gas mixture, the volumetric flow rate of oxygen to nitrogen is preferably about 1: 1 to about 50: 1, more preferably 1: 1 to 20: 1, especially 10: 1.

好ましくは、このプロセスは、約1から約10トールの圧力及び約150℃から約400℃の温度において真空チャンバ内で遂行される。基板がプラズマに暴露される時間は、一般的には、約10秒から約240秒、好ましくは20秒から60秒である。一般的に、処理時間が長いほど、腐食が防止される時間も長くなる。   Preferably, the process is performed in a vacuum chamber at a pressure of about 1 to about 10 Torr and a temperature of about 150 ° C. to about 400 ° C. The time for which the substrate is exposed to the plasma is generally from about 10 seconds to about 240 seconds, preferably from 20 seconds to 60 seconds. In general, the longer the treatment time, the longer the time during which corrosion is prevented.

このプロセスで用いられる水蒸気は、ボイラないしバブラ100内で形成でき、これはフィードライン102により真空チャンバ52に接続されている。ボイラないしバブラは、水を蒸発させるに充分高い温度及び充分低い圧力に維持される。ボイラが用いられる場合は、ボイラ内の水は水の沸点近くの温度まで加熱されている。典型的には、ボイラ内の圧力は、約50トールから約200トール、更に好ましくは100トールから150トールの範囲にある。バブラが用いられる場合は、水蒸気を真空チャンバ52へ移動させるためにアルゴンやヘリウム等の不活性キャリアガスがバブラ内を通過していてもよい。   The water vapor used in this process can be formed in a boiler or bubbler 100, which is connected to the vacuum chamber 52 by a feed line 102. The boiler or bubbler is maintained at a sufficiently high temperature and sufficiently low pressure to evaporate the water. When a boiler is used, the water in the boiler is heated to a temperature near the boiling point of water. Typically, the pressure in the boiler is in the range of about 50 Torr to about 200 Torr, more preferably 100 Torr to 150 Torr. When a bubbler is used, an inert carrier gas such as argon or helium may pass through the bubbler in order to move water vapor to the vacuum chamber 52.

好ましくは、水蒸気、酸素及び窒素を備えたプロセスガスは、(i)複数のパッシベーションのステップ、又は(ii)複数のパッシベーション及びレジストストリッピングのステップを備えた複数のサイクルのプロセスに用いられる。複数のサイクルのパッシベーションのプロセスは、少なくとも2つのパッシベーションのサイクルを有し、基板上にエッチャント副生成物のみが存在する場合、又は別のストリッピングのステップで基板のストリッピングを行う方が望ましい場合に望ましい。第1のサイクルでは、チャンバ52内に上述の組成のパッシベーションガスが導入され、パッシベーションガスからプラズマが発生する。プラズマ活性化されたパッシベーションガスは、基板20上でエッチャント副生成物24と反応してガスの副生物を生成し、これは真空チャンバから排出される。その後、パッシベーションガスの流れが止められ、チャンバ内のプラズマが消失する。第2のパッシベーションのサイクルでは、チャンバ内にパッシベーションガスが再び導入され、チャンバ内にプラズマが再び発生される。 Preferably, a process gas comprising water vapor, oxygen and nitrogen is used in a multi-cycle process comprising (i) multiple passivation steps, or (ii) multiple passivation and resist stripping steps. A multi-cycle passivation process has at least two passivation cycles and only etchant by-products are present on the substrate, or it is desirable to strip the substrate in a separate stripping step. Is desirable. In the first cycle, a passivation gas having the above composition is introduced into the chamber 52, and plasma is generated from the passivation gas. Plasma Activated passivating gas reacts with the etchant by-product 24 to produce a gaseous by-product formation was on the substrate 20, which is discharged from the vacuum chamber. Thereafter, the flow of the passivation gas is stopped and the plasma in the chamber disappears. In the second passivation cycle, the passivation gas is reintroduced into the chamber and plasma is regenerated in the chamber.

更に典型的には、残留レジスト及びエッチャント副生成物が基板から同時に除去できるように、ストリッピングのステップ及びパッシベーションのステップを共に備えた複数のサイクルのプロセスを用いることが望ましい。パッシベーションのステップでは、上述の組成のパッシベーションガスがチャンバ52内に導入され、パッシベーションガスからプラズマが発生されて、基板20をパッシベーションする。ストリッピングのステップでは、ストリッピングガスがチャンバ内に導入され、ストリッピングガスからプラズマが発生されて、基板20上のポリマーのレジスト又は酸化物ハードマスクをストリッピングする。このストリッピングのステップ及びパッシベーションのステップは少なくとも一回、好ましくはこれらステップが最初に行われた同じ順番で、繰り返され、複数のサイクルのプロセスを生じさせる。このプロセスにおいて、パッシベーションのステップはストリッピングのステップの前に行われてもよく、その逆でもよい。典型的には、各プロセスステップの間に、安定化のステップが行われ、引続くステップのために、このステップ中にプロセス条件が安定化される。   More typically, it is desirable to use a multiple cycle process with both a stripping step and a passivation step so that residual resist and etchant byproducts can be removed from the substrate simultaneously. In the passivation step, a passivation gas having the above composition is introduced into the chamber 52, and plasma is generated from the passivation gas to passivate the substrate 20. In the stripping step, a stripping gas is introduced into the chamber and a plasma is generated from the stripping gas to strip the polymer resist or oxide hard mask on the substrate 20. This stripping step and passivation step are repeated at least once, preferably in the same order in which they were first performed, resulting in a multi-cycle process. In this process, the passivation step may be performed before the stripping step and vice versa. Typically, a stabilization step is performed between each process step, and process conditions are stabilized during this step for subsequent steps.

一般的には、複数のサイクルのプロセスのいずれにおいても、たとえプロセス全体の継続時間の全時間は増加しなくとも、サイクルの数が多いほど、処理済みの基板20に、より高い耐腐食性を与える。しかし、サイクルの数が少なくなれば、より速いプロセスのスループットを与えるため、特に各プロセスステップの間の安定化の継続時間が長い場合は、サイクルの数は、好ましくは約1から約10サイクル、更に好ましくは約2から約5サイクルである。   In general, in any of the multiple cycle processes, the greater the number of cycles, the higher the corrosion resistance of the treated substrate 20, even if the overall duration of the entire process does not increase. give. However, to reduce the number of cycles provides faster process throughput, especially when the stabilization duration between each process step is long, the number of cycles is preferably from about 1 to about 10 cycles, More preferably from about 2 to about 5 cycles.

好ましくは、複数のサイクルプロセスの各パッシベーションのステップ又は随意のストリッピングのステップは、約1から約60秒、更に好ましくは2から30秒、最も好ましくは2から20秒の、継続時間を有する。複数のサイクルのパッシベーション及びストリッピングのプロセスでは、同じサイクルの中のパッシベーションのステップは、典型的には各サイクルのストリッピングのステップと同じ継続時間を有するが、これらのステップはまた異なる継続時間を有していてもよい。   Preferably, each passivation step or optional stripping step of the multi-cycle process has a duration of about 1 to about 60 seconds, more preferably 2 to 30 seconds, and most preferably 2 to 20 seconds. In a multi-cycle passivation and stripping process, the passivation step in the same cycle typically has the same duration as the stripping step in each cycle, but these steps also have different durations. You may have.

複数のサイクルプロセスに使用するための別のパッシベーションガスは、基板20上のエッチャント副生成物24と反応して真空チャンバ52からの排気が可能なガス状副生成物を生成することが可能な、何らかのガスを含んでいる。例えば、エッチャント副生成物24が塩素を含んでいる場合は、パッシベーションガスは、水素含有ガスを備え、これは塩素と反応して塩化水素酸等のエッチャント副生成物を生成し、このエッチャント副生成物は真空チャンバ52から排出される。(i)アンモニア及び酸素、又は、(ii)随意酸素及び窒素を有する水蒸気、を含んだ適切なパッシベーションガスは、このステップに用いることが可能である。パッシベーションガスがアンモニア及び酸素を備える場合は、酸素に対するアンモニアの体積流量比は、約1:1から約1:20、更に好ましくは約1:5から約1:15、最も好ましくは約1:10である。5リッターの容量のチャンバ52に対しては、好ましいガス流れは、300sccm(cm /分)NH3 と3000sccm(cm /分)O2とを備える。アンモニアと酸素のパッシベーションガスを用いた場合は、10秒のパッシベーションのステップと10秒のストリッピングのステップとを備える2−サイクルのプロセスが、高い対腐食性と高いプロセススループット効率の最善の組み合わせを与える。 Another passivation gas for use in multiple cycle processes can react with the etchant byproduct 24 on the substrate 20 to produce a gaseous byproduct that can be evacuated from the vacuum chamber 52. Contains some gas. For example, if the etchant byproduct 24 contains chlorine, the passivation gas comprises a hydrogen-containing gas, which reacts with chlorine to produce an etchant byproduct such as hydrochloric acid, and this etchant byproduct. Objects are discharged from the vacuum chamber 52. A suitable passivation gas comprising (i) ammonia and oxygen or (ii) water vapor with optional oxygen and nitrogen can be used for this step. When the passivation gas comprises ammonia and oxygen, the volume flow ratio of ammonia to oxygen is about 1: 1 to about 1:20, more preferably about 1: 5 to about 1:15, and most preferably about 1:10. It is. For 5 liter chamber 52 of volume, the preferred gas stream, and a 300 sccm (cm 3 / min) NH 3 and 3000 sccm (cm 3 / min) O 2. When using ammonia and oxygen passivation gases, a 2-cycle process with a 10 second passivation step and a 10 second stripping step provides the best combination of high corrosion resistance and high process throughput efficiency. give.

水蒸気のみを備えるパッシベーションガスもまた、エッチャント副生成物24のパッシベーションに用いることが可能である。真空チャンバ52が5リッターの容量を有する場合は、水蒸気の流量は好ましくは約100から1000sccm(cm /分)、更に好ましくは約500sccm(cm /分)である。水蒸気を備えるパッシベーションガスに対しては、各20秒間の継続時間を有するパッシベーションのステップとストリッピングのステップとを備えた3サイクルの複数サイクルプロセスを用いれば、最善の腐食性の結果が得られた。 A passivation gas comprising only water vapor can also be used for passivation of the etchant byproduct 24. If the vacuum chamber 52 has a capacity of 5 liters, the water vapor flow rate is preferably about 100 to 1000 sccm (cm 3 / min ), more preferably about 500 sccm (cm 3 / min ). For passivation gas with water vapor, the best corrosive results were obtained using a 3-cycle multi-cycle process with a passivation step and a stripping step each with a duration of 20 seconds. .

複数のサイクルのストリッピング及びパッシベーションプロセスにおいてポリマー樹脂をストリッピングする適切なストリッピングガスは、(i)酸素、及び、(ii)水蒸気、窒素ガス、又はフルオロカーボンガス等の酸素活性化ガス又は蒸気とを含むが、フルオロカーボンガスには、CF4 、C26、CHF3、C326 及びC242(例えば、ローズへの米国特許第5,221,424号、及びフワンらへの米国特許第5,174,856号に開示され、これら両者はここに参照として併合される)が含まれる。好ましいストリッピングガスの組成は、酸素と窒素とを、約6:1から約200:1、更に好ましくは10:1から12:1の体積流量比で備え、例えば、5リッターの真空チャンバ52に対する適切なガス流量は、O2が3000から3500sccm(cm /分)、N2 が300sccm(cm /分)である。 Suitable stripping gases for stripping the polymer resin in a multi-cycle stripping and passivation process include (i) oxygen and (ii) an oxygen-activated gas or steam such as water vapor, nitrogen gas, or fluorocarbon gas However, fluorocarbon gases include CF 4 , C 2 F 6 , CHF 3 , C 3 H 2 F 6 and C 2 H 4 F 2 (eg, US Pat. No. 5,221,424 to Rose, and U.S. Pat. No. 5,174,856 to Hwan et al., Both of which are incorporated herein by reference). A preferred stripping gas composition comprises oxygen and nitrogen in a volumetric flow ratio of about 6: 1 to about 200: 1, more preferably 10: 1 to 12: 1, for example, for a 5 liter vacuum chamber 52 suitable gas flow rates, 3500 sccm O 2 from 3000 (cm 3 / min), N 2 is 300 sccm (cm 3 / min).

酸素ハードマスクのストリッピングに適切なストリッピングガスは、ハロゲン含有ガスを含み、例えば、CF4 、C26、CHF3、C326 、C242及びHFである。また、BCl3、CCl4 又はSiCl4 等の他のハロゲンガスを用いて側壁堆積物の除去を容易にすることもできるが、塩素含有ガスを用いた場合は、パッシベーションチャンバの塩素ガスによる汚染を防止するために、装置50のエッチングチャンバ(図示されず)内で酸化物ストリッピングプロセスが行われるべきである。典型的には、ストリッピングのステップにおいては酸化物ハードマスクの一部だけがストリッピングされ、これに続くステップが用いられて、基板上に誘電又は絶縁層を堆積する。 Suitable stripping gases for stripping oxygen hard masks include halogen containing gases such as CF 4 , C 2 F 6 , CHF 3 , C 3 H 2 F 6 , C 2 H 4 F 2 and HF. . Further, the removal of the sidewall deposit can be facilitated by using other halogen gas such as BCl 3 , CCl 4, or SiCl 4, but when a chlorine-containing gas is used, contamination of the passivation chamber by chlorine gas is prevented. In order to prevent, an oxide stripping process should be performed in the etching chamber (not shown) of the apparatus 50. Typically, only a portion of the oxide hard mask is stripped in the stripping step, and subsequent steps are used to deposit a dielectric or insulating layer on the substrate.

複数のサイクルのプロセスにおいては、真空チャンバ52の圧力及び温度は、その後のパッシベーションのステップ又はストリッピングのステップの間に変化されてもよく、又は、その後のサイクル間で変化されてもよく、又は、実質的に一定に維持されてもよい。好ましくは、真空チャンバ52は、約1から約100トール、更に好ましくは1から10トール、最も好ましくは2トールに維持される。随意、第2のステップ又はサイクルにおいて、チャンバ52内の圧力は、約1トールより低い、更に典型的には500ミリトールよりも低い、最も典型的には、約100ミリトールよりも低い第2の低い圧力に減じられる。典型的には、基板20は、ランプヒータ72を用いて、約150℃から約400℃、更に好ましくは200℃から380℃の温度に加熱される。好ましくは、プロセススループット効率を向上するため、その後のステップの間の圧力及び温度の変化は最小限にされる。   In a multi-cycle process, the pressure and temperature of the vacuum chamber 52 may be changed during subsequent passivation or stripping steps, or may be changed between subsequent cycles, or , May remain substantially constant. Preferably, the vacuum chamber 52 is maintained at about 1 to about 100 Torr, more preferably 1 to 10 Torr, and most preferably 2 Torr. Optionally, in the second step or cycle, the pressure in chamber 52 is less than about 1 Torr, more typically less than 500 mTorr, and most typically less than about 100 mTorr. Reduced to pressure. Typically, the substrate 20 is heated using a lamp heater 72 to a temperature of about 150 ° C. to about 400 ° C., more preferably 200 ° C. to 380 ° C. Preferably, pressure and temperature changes during subsequent steps are minimized to improve process throughput efficiency.

装置50のマイクロウェーブプラズマ発生器80を用いて、ストリッピング又はパッシベーションガスからプラズマが生成される。プラズマが基板20の加熱を生じさせる場合は、基板の温度が実質的に一定となるように、マイクロウェーブ発生器80のパワーレベルが制御される。典型的には、マイクロウェーブ発生器80のパワー出力は、500から2500ワット、更に好ましくは800から1500ワットの範囲にある。   Plasma is generated from the stripping or passivation gas using the microwave plasma generator 80 of the apparatus 50. When the plasma causes heating of the substrate 20, the power level of the microwave generator 80 is controlled so that the temperature of the substrate is substantially constant. Typically, the power output of the microwave generator 80 is in the range of 500 to 2500 watts, more preferably 800 to 1500 watts.

複数のサイクルパッシベーションプロセスは、従来のプロセスよりも、高いプロセススループットと優れた耐腐食性を与えることができる。シングルサイクルのプロセスを用いて得られると同等又はより優れた耐腐食性が、短い継続時間のプロセスで得られる能力は、複数のサイクルのプロセスの予期せぬ商業的な利点である。複数のサイクルのパッシベーションプロセスは高いスループットを与えることができると考えられており、その理由は、より速い拡散のメカニズムにより、エッチャント副生成物をより速く除去させることができるからである。第1のパッシベーションのステップでは、エッチャント副生成物24は、基板20の表面から除去される。その後、パッシベーション種は残留レジスト24及び側壁堆積物27の中へと拡散するに違いなく、そこでエッチャント副生成物24と反応し、そして、その反応生成物が拡散によりレジスト又は側壁から出て行くに違いなく、その後脱着される。パッシベーションガスの流れが複数のサイクルのパッシベーションプロセスにおいて停止された場合は、パッシベーション副生成物の脱着が更に速く生じるはずである。その後に続く空乏化及び拡散のプロセスにより、エッチャント副生成物24のパッシベーションをより速くより効率良くすることができる。   Multiple cycle passivation processes can provide higher process throughput and better corrosion resistance than conventional processes. The ability to obtain corrosion resistance equal to or better than that obtained using a single cycle process in a short duration process is an unexpected commercial advantage of a multiple cycle process. It is believed that a multi-cycle passivation process can provide high throughput because the etchant by-products can be removed faster by a faster diffusion mechanism. In the first passivation step, etchant byproduct 24 is removed from the surface of substrate 20. Thereafter, the passivation species must diffuse into residual resist 24 and sidewall deposit 27 where it reacts with etchant byproduct 24 and the reaction product exits the resist or sidewall by diffusion. Must be desorbed afterwards. If the passivation gas flow is stopped in multiple cycles of the passivation process, desorption of the passivation by-product should occur faster. Subsequent depletion and diffusion processes can make etchant byproduct 24 passivating faster and more efficient.

複数のサイクルのパッシベーション及びストリッピングのプロセスは、従来技術よりも速いスループット及び優れた耐腐食性を実証した。ストリッピングのステップが残留レジスト26及び側壁堆積物27の部分を除去し、その結果、残留レジスト26及び側壁堆積物27をより薄く、より多孔的にし、また、残留レジスト26内へのパッシベーション種の拡散と、レジスト26から外への反応生成物の拡散とを促進する。複数のサイクルのプロセスは、基板のパッシベーション後少なくとも24時間、更に典型的には少なくとも48時間、雰囲気湿度による腐食への耐性を有する基板を提供する。これは、約1から2時間の耐腐食性しか典型的に与えない従来技術に対して実質的な改善である。改善された耐腐食性により、更に効率の高い処理スケジュールを可能にし、製造のロスを低くする。   The multiple cycle passivation and stripping process demonstrated faster throughput and better corrosion resistance than the prior art. The stripping step removes portions of the residual resist 26 and sidewall deposit 27, resulting in a thinner, more porous residue resist 26 and sidewall deposit 27, as well as passivation species into the residual resist 26. It promotes diffusion and diffusion of reaction products out of the resist 26. The multi-cycle process provides a substrate that is resistant to corrosion by atmospheric humidity for at least 24 hours, more typically at least 48 hours after substrate passivation. This is a substantial improvement over the prior art, which typically provides only about 1 to 2 hours of corrosion resistance. Improved corrosion resistance allows for more efficient processing schedules and lowers manufacturing losses.

本発明の他の側面に従って、エッチャント残留物及び副生成物の不利な効果を減ずるためのエッチング済み基板を処理する改良プロセスは、基板を真空下で下式のアルキルアミンに暴露する事を備え:

Figure 0004167268
ここで、R1 はアルキル基であり、好ましくは、1から5の炭素原子を含むアルキル基であり、例えば、メチル、エチル又はプロピルであり:R2とR3 のそれぞれは、同じでも異なっていてもよいが、水素原子又はアルキル基であり、好ましくは、1から5の炭素原子を含むアルキル基であり、例えば、メチル、エチル又はプロピルである。好ましくは、アミンの一部分がガス状の形態で存在しアミンのこの一部分が基板上で吸着されるように、真空チャンバ内のアミンの蒸気圧がなることが好ましい。このアミンの抑制のステップでは、アミンは、造作の表面に吸着されたパッシベーション層を形成し、これは造作の腐食を抑制する。アミン抑制層は、高い電池対活性を示す金属合金を含む造作22に対して、これらの金属の腐食を防止するに有用である。 In accordance with another aspect of the present invention, an improved process for treating an etched substrate to reduce the adverse effects of etchant residues and byproducts comprises exposing the substrate to an alkylamine of the formula:
Figure 0004167268
Where R 1 is an alkyl group, preferably an alkyl group containing 1 to 5 carbon atoms, for example methyl, ethyl or propyl: each of R 2 and R 3 is the same or different It may be a hydrogen atom or an alkyl group, preferably an alkyl group containing 1 to 5 carbon atoms, for example methyl, ethyl or propyl. Preferably, the vapor pressure of the amine in the vacuum chamber is such that a portion of the amine is present in gaseous form and this portion of the amine is adsorbed on the substrate. In this amine suppression step, the amine forms a passivation layer adsorbed on the surface of the feature, which inhibits the corrosion of the feature. The amine suppression layer is useful for preventing corrosion of these metals against features 22 that include metal alloys that exhibit high battery-to-cell activity.

アミン抑制のステップを行うに際しては、アミンの蒸気が真空チャンバ52のシンク領域内に、基板20に充分なアミンを吸着させるに充分な時間導入され、基板が雰囲気に暴露された際に少なくとも24時間基板20の腐食を抑制する。一般には、この24時間の間に、基板20の腐食を抑制する必要性を取り除く付加的な処理ステップを受ける。基板20のアミン暴露の時間が長いほど、腐食の抑制の効率が高くなる。しかし、プロセスのスループットの効率に対しては、約120秒未満、更に好ましくは約90秒未満、最も好ましくは約60秒未満、アミンが基板に暴露される。アミン露出のステップでは、真空領域56は、好ましくは約1から約100トールの範囲に、更に好ましくは約1トールから約10トールの範囲の圧力に維持される。   In performing the amine suppression step, the amine vapor is introduced into the sink region of the vacuum chamber 52 for a time sufficient to adsorb sufficient amine to the substrate 20 and at least 24 hours when the substrate is exposed to the atmosphere. The corrosion of the substrate 20 is suppressed. In general, during this 24 hour period, an additional processing step is obviated that eliminates the need to inhibit the corrosion of the substrate 20. The longer the amine exposure time of the substrate 20, the higher the efficiency of corrosion inhibition. However, for process throughput efficiency, the amine is exposed to the substrate for less than about 120 seconds, more preferably less than about 90 seconds, and most preferably less than about 60 seconds. In the amine exposure step, the vacuum region 56 is preferably maintained at a pressure in the range of about 1 to about 100 Torr, more preferably in the range of about 1 Torr to about 10 Torr.

アミンの蒸気圧は真空チャンバ52内でアミンの少なくとも一部がガス化するように充分高く、且つ、真空チャンバ52内でアミンの少なくとも1部が基板20に吸着されるよう充分低くなるべきである。アミンのアルキル部分は、メチル、エチル及びプロピル等のアルキルを備えることが好ましく、各アルキルは、1から5個の炭素を備えることが好ましい。適切なアミンには、モノ−アルキル、ジ−アルキル及びトリ−アルキル置換の、メチルアミン、エチルアミン、プロピルアミンが含まれ、これはモノメチルアミン、ジメチルアミン及びトリメチルアミン等であり、その理由は、これらのアミンは沸点が低く、商業的にすぐに入手可能だからである。使用可能な特定のアミンには、トリメチルアミン(BP2.9℃)、これは好ましいものであり、ジエチルアミン(BP7.4℃)及びモノメチルアミン(BP−6.3℃)である。好ましくは、アミンは、少なくとも2つのアルキル部分、更に好ましくは3つのアルキル部分を備える。これらのアミンの中で、トリメチルアミンが好ましく、その理由は、三級(トリアルキル)アミンが二級(ジアルキル)アミンよりも効率の高い抑制剤と考えられるからであり、次に、二級アミンは、一級(モノアルキル)アミンよりも効率の高い抑制剤であると考えられる。しかし、これらのアミンの毒性及び商業的な入手可能性もまた、適当なアミンの選択を支配する。   The vapor pressure of the amine should be high enough so that at least a portion of the amine is gasified in the vacuum chamber 52 and low enough so that at least a portion of the amine is adsorbed to the substrate 20 in the vacuum chamber 52. . The alkyl portion of the amine preferably comprises alkyl such as methyl, ethyl and propyl, and each alkyl preferably comprises 1 to 5 carbons. Suitable amines include mono-alkyl, di-alkyl and tri-alkyl substituted methylamines, ethylamines, propylamines, such as monomethylamine, dimethylamine and trimethylamine, for these reasons This is because amines have a low boiling point and are readily available commercially. Specific amines that can be used are trimethylamine (BP 2.9 ° C), which is preferred, diethylamine (BP 7.4 ° C) and monomethylamine (BP-6.3 ° C). Preferably, the amine comprises at least 2 alkyl moieties, more preferably 3 alkyl moieties. Of these amines, trimethylamine is preferred because tertiary (trialkyl) amines are considered to be more efficient inhibitors than secondary (dialkyl) amines. It is considered to be a more efficient inhibitor than primary (monoalkyl) amines. However, the toxicity and commercial availability of these amines also dictate the selection of appropriate amines.

アミン蒸気は、アミンガス又は液体アミンを備えたアミンソース104から発生される。液体アミンソース104は、フィードライン102によって真空チャンバ52に流体的に接続され、液体アミンの少なくとも一部を気化させるに充分に高い温度及び充分に低い圧力に維持された、ボイラ又はバブラを備えている。好ましくは、ボイラ又はバブラは、室温に維持される。ボイラの圧力は、好ましくは約50トールから約200トール、更に好ましくは100から150トールである。ボイラが用いられる場合は、ボイラは液体アミンの沸点と実質的に同じ温度に維持されて、アミン蒸気を発生させる。バブラが用いられる場合は、バブラ内でバブルとなったアルゴン又はヘリウム等のキャリアガスが、真空チャンバ52へアミン蒸気を運ぶ。   Amine vapor is generated from an amine source 104 with amine gas or liquid amine. The liquid amine source 104 comprises a boiler or bubbler that is fluidly connected to the vacuum chamber 52 by a feed line 102 and maintained at a sufficiently high temperature and sufficiently low pressure to vaporize at least a portion of the liquid amine. Yes. Preferably, the boiler or bubbler is maintained at room temperature. The boiler pressure is preferably from about 50 Torr to about 200 Torr, more preferably from 100 to 150 Torr. If a boiler is used, the boiler is maintained at substantially the same temperature as the boiling point of the liquid amine to generate amine vapor. When a bubbler is used, a carrier gas such as argon or helium bubbled in the bubbler carries the amine vapor to the vacuum chamber 52.

ストリッピング、パッシベーション及び随意アミン抑制のステップの後、パッシベーションされストリッピングされた基板20はチャンバから取り出され、エッチャント溶液内でエッチングされエッチングプロセスで形成する側壁堆積物27を除去する。従来からのウェットケミカルエッチャント溶液が適している。このプロセスでは、ウエハは、ニュージャージ州アレンタウンのAdvanced Chemical Technologiesから商業的に入手可能な「ACT」900シリーズ又はHF含有ウェットケミカルエッチャントでエッチングされる。ウェットエッチングの後、ウエハは脱イオン水でリンスされ残留しているウェットエッチャントを除去する。   After the stripping, passivation, and optional amine suppression steps, the passivated and stripped substrate 20 is removed from the chamber and etched in an etchant solution to remove the sidewall deposit 27 that forms in the etching process. Conventional wet chemical etchant solutions are suitable. In this process, the wafer is etched with an “ACT” 900 series or HF-containing wet chemical etchant commercially available from Advanced Chemical Technologies, Allentown, NJ. After wet etching, the wafer is rinsed with deionized water to remove any remaining wet etchant.

[測定例]
以下の測定例が、本発明のプロセスを例示する。測定例の全てが、カリフォルニア州サンタクララのアプライドマテリアルズ社から商業的に入手可能な「AMAT PRECISION 5000 METAL ETCHER」において実施された。この「PRECISION 5000」装置は、パッシベーション及びストリッピングチャンバ(図示のように)に接続されるエッチングチャンバ(図示されず)を有し、雰囲気に暴露されることなく、基板はエッチングチャンバからパッシベーション及びストリッピングチャンバへと移送が可能である。装置のエッチングチャンバ(図示されず)はまた、チャンバ内のプラズマ強度を増強する磁場を随意発生させるために、チャンバを包囲する誘導コイルを備えている。これらの測定例は、直径約200mm(8インチ)、厚さ0.73mmのシリコンウエハ上で実施された。
[Measurement example]
The following measurement examples illustrate the process of the present invention. All of the measurement examples were performed in the “AMAT PRECISION 5000 METAL ETCHER” commercially available from Applied Materials, Inc., Santa Clara, California. This “PRECISION 5000” apparatus has an etching chamber (not shown) connected to a passivation and stripping chamber (as shown), and the substrate is removed from the etching chamber without being exposed to the atmosphere. Transfer to the ripping chamber is possible. The apparatus etch chamber (not shown) also includes an induction coil surrounding the chamber to optionally generate a magnetic field that enhances the plasma intensity within the chamber. These measurement examples were performed on a silicon wafer having a diameter of about 200 mm (8 inches) and a thickness of 0.73 mm.

パッシベーション及びストリッピングの後、所定の時間のインターバルの間、処理済みの基板を雰囲気に暴露し、又は相対湿度約40%までの範囲の高い湿度レベルに暴露し、その後、暗視野条件の顕微鏡又は電子操作顕微鏡により、基板を測定することにより、基板の耐腐食性が試験される。基板の腐食は、金属の造作上に形成された、腐食した水化種により生じた散乱光の小片として目に見える。腐食は、パッシベーション及びストリッピングのステップの直接の後、2時間から7日までのインターバルにおいて測定され、ないしは、ウェットケミカルエッチングの後、1、2又は3週間のインターバルにおいて測定される。   After passivation and stripping, the treated substrate is exposed to the atmosphere for a pre-determined time interval or to a high humidity level in the range of up to about 40% relative humidity, after which a microscope or The substrate is tested for corrosion resistance by measuring the substrate with an electronically operated microscope. The corrosion of the substrate is visible as small pieces of scattered light produced by the corroded hydrating species formed on the metal features. Corrosion is measured at intervals of 2 hours to 7 days directly after the passivation and stripping steps, or at intervals of 1, 2 or 3 weeks after wet chemical etching.

(測定例1〜11)これらの測定例においては、(i)TiWバリア層;(ii)厚さ約550nmのアルミニウム−シリコン−銅導電性金属層で、このアルミニウム合金はシリコン1.5%と銅0.5%を含み;及び(iii)チタンの反射防止層を備える造作を有する基板上において、単一又は複数のサイクルのパッシベーション及びストリッピングのプロセス実施された。この以前に、基板はBCl3 、Cl2 及びN2 ガス混合物を用いた反応性イオンエッチングにおいてエッチングされていた。 (Measurement Examples 1 to 11) In these measurement examples, (i) a TiW barrier layer; (ii) an aluminum-silicon-copper conductive metal layer having a thickness of about 550 nm, and this aluminum alloy contains 1.5% silicon. A single or multiple cycle passivation and stripping process was performed on a substrate having features comprising 0.5% copper; and (iii) a titanium antireflective layer. Prior to this, the substrate was etched in a reactive ion etch using a BCl 3 , Cl 2 and N 2 gas mixture.

測定例1〜11の腐食試験のプロセス条件及び結果が、表1に示される。パッシベーションのステップは、500sccm(cm /分)の体積流量で流される水蒸気を用いてなされた。ストリッピングのステップは、酸素、窒素、及び随意水蒸気を記載の流量で備えるストリッピングガスを用いて実施された。これらのプロセス全てにおいて、真空チャンバは2トールの圧力に維持された。一般に、複数のサイクルのパッシベーション及びストリッピングプロセスは、単一サイクルのプロセスと比較して、優れた腐食性の結果を提供した。 Table 1 shows the process conditions and results of the corrosion tests of Measurement Examples 1 to 11. The passivation step was done with water vapor flowing at a volumetric flow rate of 500 sccm (cm 3 / min ). The stripping step was performed using a stripping gas with oxygen, nitrogen, and optional water vapor at the stated flow rates. In all these processes, the vacuum chamber was maintained at a pressure of 2 Torr. In general, the multiple cycle passivation and stripping process provided superior corrosive results compared to the single cycle process.

測定例7に用いられた複数のサイクルのパッシベーション及びストリッピングプロセスは、最良の耐腐食性を提供した。このプロセスでは、各パッシベーションステップ及びストリッピングステップは継続時間が20秒であり、また、パッシベーションステップとストリッピングステップは、3回繰り返された。72時間よりも長く96時間迄の耐腐食性が得られた。   The multiple cycle passivation and stripping process used in Measurement Example 7 provided the best corrosion resistance. In this process, each passivation step and stripping step lasted 20 seconds, and the passivation step and stripping step were repeated three times. Corrosion resistance of longer than 72 hours and up to 96 hours was obtained.

測定例6と7とを比較することにより、同じ全複数サイクルプロセスの時間に対して、サイクルの数が増加すれば、より良好な耐腐食性を与えることが示唆される。   Comparison of measurement examples 6 and 7 suggests that better corrosion resistance is provided if the number of cycles is increased for the same overall multi-cycle process time.

測定例7と9とを比較すれば、最終のストリッピングのステップが基板の耐腐食性を実質的に増加させていることが見出される。最終のストリッピングステップが実施されていない測定例9では、3から7時間までの耐腐食性が見出された;測定例7では、72時間を超える耐腐食性が見出された。最終のストリッピングステップが耐腐食性を増加させるのは、ストリッピングガスの酸素が造作のアルミニウムを酸化し、金属の造作上に酸化アルミニウムの保護層を形成するからであると考えられる。   Comparing measurement examples 7 and 9, it is found that the final stripping step substantially increases the corrosion resistance of the substrate. In measurement example 9 where the final stripping step was not performed, corrosion resistance was found from 3 to 7 hours; in measurement example 7, corrosion resistance greater than 72 hours was found. It is believed that the final stripping step increases corrosion resistance because the stripping gas oxygen oxidizes the fabricated aluminum and forms a protective layer of aluminum oxide on the metal fabrication.

測定例11は、パッシベーション及びストリッピングのサイクルの数を増加させることにより、最も速い全処理時間が実現されることが可能であることを例証している。   Measurement Example 11 illustrates that the fastest overall processing time can be achieved by increasing the number of passivation and stripping cycles.

Figure 0004167268
(測定例12〜40)これらの測定例では、(i)3000sccm(cm /分)及び300sccm(cm /分)のアンモニアを備えるパッシベーションガスと、(ii)酸素3000sccm(cm /分)と窒素300sccm(cm /分)を備えるストリッピングガスを用いた複数サイクルプロセスが用いられた。
Figure 0004167268
(Measurement Example 12-40) In these measurement examples, (i) 3000 sccm and the passivation gas including a (cm 3 / min) and ammonia 300 sccm (cm 3 / min), (ii) oxygen 3000 sccm (cm 3 / min) a plurality cycling process was used with nitrogen 300 sccm (cm 3 / min) stripping gas comprising a.

これらの測定例用いられる基板の造作は、順に、(i)銅0.5%を含むアルミニウム合金の厚さ3,500オングストロームの層、(ii)450オングストロームのTiNの層、(iii)1,000オングストロームのTiの層、及び、(iv)365オングストロームのTiNの層を備えていた。この以前に、基板は、BCl3 、Cl2 及びN2 、随意CF4を備えるガス混合物を用いた反応性イオンエッチングにおいてエッチングされていた。 Features of the substrate used in these measurement examples, in turn, (i) the thickness of 3,500 Å layer of aluminum alloy containing 0.5% copper, (ii) 450 Angstrom layer of TiN, (iii) 1 A layer of Ti of 1,000 angstroms, and (iv) a layer of TiN of 365 angstroms. Prior to this, the substrate was etched in a reactive ion etch using a gas mixture comprising BCl 3 , Cl 2 and N 2 , optionally CF 4 .

これらの全ての測定例に対して、継続時間約10秒の初期安定化ステップが実施された。このステップでは、基板は真空チャンバ移送された。チャンバの温度は、約60から100℃の温度から、約325℃の温度にまで勾配上昇し、チャンバの圧力は、約10から50ミリトールの圧力から、約2トールの圧力に勾配上昇した。O23000sccm(cm /分)とNH3 300sccm(cm /分)を備えるパッシベーションガスが、チャンバ内を流された。安定化のステップの後、基板をパッシベーションしストリッピングするために適したプロセスガス条件が、以下に記載されるように維持された。 For all these measurements, an initial stabilization step with a duration of about 10 seconds was performed. In this step, the substrate was transferred to the vacuum chamber. The chamber temperature ramped from a temperature of about 60 to 100 ° C. to a temperature of about 325 ° C., and the chamber pressure ramped from a pressure of about 10 to 50 mTorr to a pressure of about 2 Torr. A passivation gas comprising O 2 3000 sccm (cm 3 / min ) and NH 3 300 sccm (cm 3 / min ) was flowed through the chamber. After the stabilization step, suitable process gas conditions for passivating and stripping the substrate were maintained as described below.

(測定例12〜21)測定例12〜21は、L9(4つのプロセス変数に対して各変数が3つのレベル)、直交要因配置実験を用いて処理された。表IIには、4つのプロセス変数と、各変数に対して用いられた3つのレベルが記載される。表IIIには、10のウエハのそれぞれを処理するために用いられた実際のプロセス条件が記載される。測定例21は測定例12と同じプロセス条件で試行され、実験の再現性を証明した。これらの測定例では、最初のパッシベーション及びストリッピングサイクルは、約325℃の温度において行われ、2番目のパッシベーションのサイクルの温度は、表IIに示されるように変化された。表IIIでは、変数PS/PTは、単一のパッシベーション及びストリッピングサイクルの継続時間を全複数サイクルプロセス時間で割ったものを表す。変数P/(P+S)は、単一のパッシベーションステップの全時間をパッシベーション及びストリッピングサイクルの全時間で割ったものを表す。   (Measurement examples 12 to 21) Measurement examples 12 to 21 were processed using L9 (three levels of each variable for four process variables), an orthogonal factorial arrangement experiment. Table II lists the four process variables and the three levels used for each variable. Table III lists the actual process conditions used to process each of the 10 wafers. Measurement Example 21 was tried under the same process conditions as Measurement Example 12 and proved the reproducibility of the experiment. In these measurement examples, the first passivation and stripping cycle was performed at a temperature of about 325 ° C., and the temperature of the second passivation cycle was varied as shown in Table II. In Table III, the variable PS / PT represents the duration of a single passivation and stripping cycle divided by the total multi-cycle process time. The variable P / (P + S) represents the total time of a single passivation step divided by the total time of the passivation and stripping cycles.

光学顕微鏡でウエハは100Xに拡大されて検査され、ストリッピングの直後にウエハ上に残留するフォトレジストを評価し、また、6及び24時間ウエハが雰囲気に暴露された後のウエハの腐食を同定した。ウエハのいずれにも、フォトレジストは観察されず、6及び24時間の試験のインターバルの後にも、いずれのウエハにおいても腐食の兆候は観察されなかった。これらの測定例は、複数のサイクルのパッシベーション及びストリッピングのプロセスを用いて得られる腐食性能の変化性が低いことを例証している。   The wafer was magnified to 100X with an optical microscope and inspected to assess the photoresist remaining on the wafer immediately after stripping and to identify the corrosion of the wafer after the wafer was exposed to the atmosphere for 6 and 24 hours. . No photoresist was observed on any of the wafers, and no signs of corrosion were observed on any of the wafers after the 6 and 24 hour test intervals. These measurement examples illustrate the low variability in corrosion performance obtained using multiple cycles of passivation and stripping processes.

Figure 0004167268
Figure 0004167268
(測定例22〜31)測定例22〜31も、L9直交要因配置実験を用いて処理された。要因配置変数及びレベルは、表IVに列挙される。表Vは、測定例22〜31の各々を処理するために用いられる処理条件を示す。測定例31は、測定例22と同じプロセス条件で試行され、実験の再現性を証明した。
Figure 0004167268
Figure 0004167268
Measurement Examples 22-31 Measurement Examples 22-31 were also processed using L9 orthogonal factor placement experiments. Factor configuration variables and levels are listed in Table IV. Table V shows the processing conditions used to process each of Measurement Examples 22-31. Measurement Example 31 was tried under the same process conditions as Measurement Example 22 and proved the reproducibility of the experiment.

処理の後、ウエハの各々は光学顕微鏡を用いて100Xに拡大されて評価された。ウエハ上にフォトレジストは何も見出されず、ひどい腐食を示した測定例30を除いて、6及び24時間後、どの測定例も腐食は示さなかった。   After processing, each of the wafers was scaled up to 100 × using an optical microscope and evaluated. No photoresist was found on the wafer, and after 6 and 24 hours, none of the measurement examples showed corrosion, except for measurement example 30 which showed severe corrosion.

これらの測定例の結果、基板の耐腐食性は、パッシベーション及びストリッピングのプロセスをより長くしストリッピングプロセス温度をより高くすることにより向上することが例証されている。   As a result of these measurement examples, it has been demonstrated that the corrosion resistance of the substrate is improved by a longer passivation and stripping process and a higher stripping process temperature.

Figure 0004167268
Figure 0004167268
(測定例32〜40)測定例32〜40では、複数のサイクルのパッシベーション及びストリッピングプロセスを用いて、ウエハ2組が処理された。第1の組のウエハは、 ストリッピング及びパッシベーションプロセスの直後のウエハの耐腐食性を評価するために処理された。第2番目の組のウエハは、ストリッピング及びパッシベーションの済んだウエハがウェットケミカルエッチングされた後の耐腐食性を評価するために処理された。
Figure 0004167268
Figure 0004167268
(Measurement Examples 32 to 40) In Measurement Examples 32 to 40, two sets of wafers were processed using a plurality of cycles of passivation and stripping processes. The first set of wafers was processed to evaluate the corrosion resistance of the wafers immediately after the stripping and passivation process. A second set of wafers was processed to evaluate the corrosion resistance after the stripped and passivated wafers were wet chemical etched.

測定例32〜36に用いられた第1の組のウエハは、2サイクルのパッシベーション及びストリッピングプロセスを用いて処理された。各サイクルにおけるパッシベーション及びストリッピングステップは、約10秒の継続時間試行され、合計で40秒間の複数のサイクル処理時間を与えた。複数のサイクルの全処理時間は、各パッシベーションステップ及びストリッピングステップの間に2秒のインターバルを3回含むので、49秒であった。最初のサイクルのパッシベーションのステップ及びストリッピングステップは、共に、325℃の温度で実施され、2番目のサイクルのパッシベーションのステップ及びストリッピングのステップは共に、380℃の温度で実施された。   The first set of wafers used in Measurement Examples 32-36 was processed using a two-cycle passivation and stripping process. The passivation and stripping steps in each cycle were tried for a duration of about 10 seconds, giving a total cycle processing time of 40 seconds. The total processing time for the multiple cycles was 49 seconds because there were 3 2 second intervals between each passivation and stripping step. Both the passivation and stripping steps of the first cycle were performed at a temperature of 325 ° C., and both the passivation and stripping steps of the second cycle were performed at a temperature of 380 ° C.

処理がなされた後、ウエハは相対湿度40%の室温の雰囲気下に保存された。所定の時間のインターバル後の、ウエハ上の残留レジスト及び腐食が、光学顕微鏡を用いて評価された。ウエハの評価は、1、2、3、4及び7日後に行われた;5枚のウエハ全てが最初の日の後に評価され、4枚が第2日後、3枚が第3日後、このようにして、第7日後に、ただ1つのウエハが評価された。これらの時間のインターバルの後、ウエハのいずれにも腐食は見出されなかった。   After processing, the wafers were stored in a room temperature atmosphere with a relative humidity of 40%. Residual resist and corrosion on the wafer after a predetermined time interval was evaluated using an optical microscope. Wafer evaluation was done after 1, 2, 3, 4 and 7 days; all 5 wafers were evaluated after the first day, 4 after 2 days, 3 after 3 days, and so on. Thus, after 7 days, only one wafer was evaluated. After these time intervals, no corrosion was found on any of the wafers.

測定例37〜40に使用された第2番目の組のウエハもまた、2−サイクルのパッシベーション及びストリッピングプロセスを用いて処理された。測定例37の各パッシベーション及びストリッピングのステップの継続時間は10秒であった;測定例38では5秒;測定例39では3秒;そして、測定例40では2秒であった。最初のサイクルのパッシベーション及びストリッピングのステップは、共に、325℃の温度で実施され、第2番目のサイクルのパッシベーション及びストリッピングステップは共に、380℃の温度で行われた。   The second set of wafers used in Measurement Examples 37-40 was also processed using a two-cycle passivation and stripping process. The duration of each passivation and stripping step in Measurement Example 37 was 10 seconds; 5 seconds in Measurement Example 38; 3 seconds in Measurement Example 39; and 2 seconds in Measurement Example 40. Both the passivation and stripping steps of the first cycle were performed at a temperature of 325 ° C., and the passivation and stripping steps of the second cycle were both performed at a temperature of 380 ° C.

処理が行われた後、ウエハは40%の相対速度環境下で室温で保存された。24時間後、観察される腐食はウエハのいずれにもなかった。   After processing, the wafers were stored at room temperature in a 40% relative speed environment. After 24 hours, no corrosion was observed on any of the wafers.

その後、HF含有の酸性溶液を用いてウェットケミカルエッチングがなされた。エッチングが行われた後、再びウエハは相対湿度40%のチャンバ内に室温で保存され、1、2及び3週間のインターバルで、光学顕微鏡により評価された。3週間の後においても、いずれのウエハにも腐食は観察されなかった。測定例37〜40は、2秒間のパッシベーション及びストリッピングのステップの継続時間を用いて処理されたウエハと、10秒間のパッシベーション及びストリッピングのステップの継続時間を用いて処理されたウエハの間には差異がなかったことを例証した。   Thereafter, wet chemical etching was performed using an acidic solution containing HF. After the etching was performed, the wafers were again stored at room temperature in a 40% relative humidity chamber and evaluated by light microscopy at intervals of 1, 2 and 3 weeks. Even after 3 weeks, no corrosion was observed on any of the wafers. Measurement examples 37-40 are between wafers processed using a 2 second passivation and stripping step duration and wafers processed using a 10 second passivation and stripping step duration. Illustrated that there was no difference.

実施例41〜42,46〜52,測定例43〜45,53実施例41〜42,46〜52,測定例43〜45,53は、単一又は複数のサイクルパッシベーション及びストリッピングのステップを用いて処理された。これらの全てにおいては、パッシベーションガスは下記の如く、蒸気及び随意酸素と窒素を備えていた。 Examples 41-42, 46-52, Measurement Examples 43-45, 53 Examples 41-42, 46-52, and Measurement Examples 43-45 , 53 are single or multiple cycle passivation and stripping steps. It was processed using. In all of these, the passivation gas comprised steam and optional oxygen and nitrogen as follows.

実施例41〜42,46〜52,測定例43〜45,53の基板上の造作は、(i)厚さ100μmのTiのバリア層、(ii)1000nm又は1050nmのいずれかの厚さの、Cuを0.5%含有するAlの導電性層、及び(iii)36nm又は45nmのいずれかの厚さのTiNの反射防止層を備えていた。ウエハは、BCl3、Cl2 及びN2 を備えるガス混合物を用いた反応性イオンエッチングにおいてエッチングされており、エッチングの前にはフォトレジストは約1.8から2μmの厚さを有していた。 The fabrication on the substrates of Examples 41-42, 46-52 and Measurement Examples 43-45 , 53 includes (i) a 100 μm thick Ti barrier layer, (ii) either 1000 nm or 1050 nm thick, An Al conductive layer containing 0.5% Cu and (iii) a TiN antireflection layer with a thickness of either 36 nm or 45 nm were provided. The wafer was etched in reactive ion etching using a gas mixture comprising BCl 3 , Cl 2 and N 2 , and the photoresist had a thickness of about 1.8 to 2 μm prior to etching. .

基板上に複数のサイクル及び単一サイクルのプロセスの両方が実施されたが、単一サイクルプロセスのあるものは、50秒の全プロセス時間で、適切な耐腐食性を提供した。これらの造作の金属合金の電解活性が充分に低いため、全プロセス時間をこれ以上短くしようとしなければ、複数サイクルプロセスは必要ないからであると考えられる。 Both multiple cycle and single cycle processes were performed on the substrate, but some of the single cycle processes provided adequate corrosion resistance with a total process time of 50 seconds. It is considered that the multi-cycle process is not necessary unless the total process time is further shortened because the electrolytic activity of these fabricated metal alloys is sufficiently low.

実施例41,42,測定例43〜45実施例41,42,測定例43〜45においては、ウエハ「バスケット」又はフープが、真空チャンバ内で基板の支持に用いられた。ウエハバスケットに基板が置かれた後、初期のチャンバ安定化のステップが、約15秒間実施された。この安定化のステップでは、表VIに示された流量でプロセスガスが真空チャンバ内に流され、真空領域の温度及び圧力は所望のプロセスレベルに維持された。安定化の後、表VIに示されるように、単一のサイクルのパッシベーション及びストリッピングのプロセスが実施された。プロセスのパッシベーションのステップは、20秒の継続時間を有し、ストリッピングのステップは、40秒の継続時間を有した。 Examples 41 and 42, Measurement Examples 43-45 In Examples 41, 42 and Measurement Examples 43-45 , wafer “baskets” or hoops were used to support the substrate in the vacuum chamber. After the substrate was placed in the wafer basket, an initial chamber stabilization step was performed for about 15 seconds. In this stabilization step, process gas was flowed into the vacuum chamber at the flow rates shown in Table VI, and the temperature and pressure in the vacuum region were maintained at the desired process level. After stabilization, a single cycle passivation and stripping process was performed as shown in Table VI. The process passivation step had a duration of 20 seconds and the stripping step had a duration of 40 seconds.

実施例41,42,測定例43〜45までの腐食試験の結果は、表VIに列挙される。基板の値腐食性がパッシベーションの温度を下げることに影響されず(実施例41と42、並びに、測定例43と44を比較せよ)、また、ストリッピング温度の上昇によっても影響されない(測定例44と45を比較せよ)ことが観察された。 The results of the corrosion tests of Examples 41 and 42 and Measurement Examples 43 to 45 are listed in Table VI. Value corrosive substrate is not affected by lowering the temperature of the passivation (Example 41 and 42, as well as compare the measurement examples 43 and 44), also not affected by elevated stripping temperatures (Measurement Example 44 And 45) were observed.

Figure 0004167268
実施例46〜52)実施例46〜52では、パッシベーション及びストリッピングのステップのプロセス条件は一定に維持され、パッシベーション及びストリッピングのステップの継続時間は、表VII及びVIIIに示されるように、変化された。実施例46〜50では、パッシベーションのステップはストリッピングのステップに先行し、実施例51及び52では、ストリッピングのステップがパッシベーションステップに先行した。実施例49は、2−サイクルのパッシベーション及びストリッピングのステップが用いられた。
Figure 0004167268
(Example 46 to 52) Example 46 to 52, as the process conditions of passivating and stripping steps is maintained constant, the duration of the passivating and stripping steps, are shown in Tables VII and VIII, Changed. In Example 46-50, Step passivation precedes stripping step, in Examples 51 and 52, the stripping step is preceded in the passivation step. Example 49 A passivation and stripping steps 2 cycles were used.

これらの例では、真空チャンバ内に基板を保持するためにペデスタル(図示されず)が用いられた。このペデスタルが基板の温度の更なる制御を可能にしたが、その理由は、ウエハバスケットホルダと比べてより大きなペデスタルの質量が、基板の温度を安定化させるからである。   In these examples, a pedestal (not shown) was used to hold the substrate in a vacuum chamber. This pedestal allowed further control of the substrate temperature because a larger pedestal mass compared to the wafer basket holder stabilizes the substrate temperature.

パッシベーションのステップでは、H2O 500sccm(cm /分)、O2 1000sccm(cm /分)及びN2 100sccm(cm /分)を備えるプロセスガスが用いられて、真空領域が約2トールの圧力に維持された。マイクロウェーブ発生器86のパワーレベルは、約1400ワットに維持された。 The passivation step, H 2 O 500sccm (cm 3 / min), O 2 1000sccm (cm 3 / min) and N 2 100sccm (cm 3 / min) process gas is used with a vacuum region of about 2 Torr Maintained at a pressure of. The power level of the microwave generator 86 was maintained at about 1400 watts.

ストリッピングのプロセスにおいては、O2 3500sccm(cm /分)及びN2 300sccm(cm /分)を備えるプロセスガスが用いられて、真空チャンバが約2トールの圧力に維持された。マイクロウェーブパワーレベルは、約1000ワットに維持された。 In the stripping process, a process gas comprising O 2 3500 sccm (cm 3 / min ) and N 2 300 sccm (cm 3 / min ) was used to maintain the vacuum chamber at a pressure of about 2 Torr. The microwave power level was maintained at about 1000 watts.

これらの例は、200から300℃までの基板温度を用いた、単一サイクルの水ベースのパッシベーション及びストリッピングプロセスが、有効な耐腐食性を与えることが可能であることを例証した。記載された金属含有層を有する造作に対して、少なくとも約150秒の全継続時間を有する単一サイクルプロセスが適切な耐腐食性を与え、複数のサイクルのプロセスは必要がなかった。これらの例はまた、パッシベーションのステップ及びストリッピングのステップの順番は、基板の耐腐食性が影響しないことを例証した。   These examples demonstrated that a single cycle water-based passivation and stripping process using substrate temperatures from 200 to 300 ° C. can provide effective corrosion resistance. For features with the described metal-containing layer, a single cycle process with a total duration of at least about 150 seconds provided adequate corrosion resistance and multiple cycle processes were not required. These examples also demonstrated that the order of passivation and stripping steps does not affect the corrosion resistance of the substrate.

Figure 0004167268
Figure 0004167268
(測定例53)測定例53は、好ましい単一サイクルのストリッピング及びパッシベーションプロセスを例示し、このプロセス条件は、表IXに開示される。このプロセスは有利であり、その理由は、適度に高いウエハスループットを与える一方で、有効なパッシベーション及びストリッピングの品質を維持するからである。このプロセスを用いてパッシベーションされたウエハは、雰囲気に暴露された場合に24時間以上の耐腐食性があることを例証した。
Figure 0004167268
Figure 0004167268
Measurement Example 53 Measurement Example 53 illustrates a preferred single cycle stripping and passivation process, the process conditions of which are disclosed in Table IX. This process is advantageous because it provides a reasonably high wafer throughput while maintaining effective passivation and stripping quality. Wafers passivated using this process have been demonstrated to be more than 24 hours corrosion resistant when exposed to the atmosphere.

Figure 0004167268
(測定例54及び55)これらの測定例は、基板上の部分的にエッチングされた高い腐食性のTi−W層の腐食を防止するために、単一サイクルの水蒸気パッシベーションプロセスを用いることが可能であることを例示する。これらの測定例では、完全な反応性イオンエッチング、パッシベーション及びストリッピングプロセスのシーケンスが記載される。これらの測定例に用いられるウエハは、(i)Ti−W合金のバリア層、(ii)アルミニウム含有合金の導電性層、及び(iii)反射防止層を備えた造作を有していた。ウエハ上の造作は、下側のTi−Wバリア層が露出するまでエッチングされた。Ti−W層はエッチングされなかったが、その理由は、その下の回路デバイスがプラズマエッチングプロセスによって損害されるからである。部分的にエッチングされたTi−Wバリア層は、雰囲気に暴露されれば急速に腐食され、その理由は、合金中の金属の電解結合が腐食を促進するからである。従って、部分的にエッチングされたバリア層は、エッチングの後直ちに水蒸気含有プラズマを用いてパッシベーションされる。
Figure 0004167268
Measurement Examples 54 and 55 These measurement examples can use a single cycle water vapor passivation process to prevent corrosion of a partially etched highly corrosive Ti-W layer on a substrate. It is illustrated that it is. In these measurement examples, a complete reactive ion etching, passivation and stripping process sequence is described. The wafers used in these measurement examples had features that included (i) a Ti—W alloy barrier layer, (ii) an aluminum-containing alloy conductive layer, and (iii) an antireflective layer. The features on the wafer were etched until the lower Ti-W barrier layer was exposed. The Ti-W layer was not etched because the underlying circuit device was damaged by the plasma etching process. A partially etched Ti-W barrier layer will corrode rapidly when exposed to the atmosphere because the electrolytic bonding of the metal in the alloy promotes corrosion. Thus, the partially etched barrier layer is passivated with a water vapor containing plasma immediately after etching.

測定例54及び55の基板は、2−ステージのエッチングプロセスを用いるエッチングチャンバ(図示されず)内でエッチングされる。第1番目のエッチングのステージでは、流量50sccm(cm /分)のBCl3 、流量40sccm(cm /分)のCl2及び流量20sccm(cm /分)のN2 を備えたエッチャントガスが、エッチングチャンバ内に導入された。チャンバ内の圧力は、約200ミリトールに維持された。チャンバ内のカソードに印加されたRFパワーは約400ワットであり、そして、誘導コイルを用いて40ガウスの磁場が発生されて、プラズマを励起した。第1番目のエッチングのステージでは、基板上のアルミニウム含有層が貫いてエッチングされるまで行われ、このエッチングのステップの終点は、光放出技術により測定された。第2番目のエッチングのステージでは、基板上の厚さ1500オングストロームのTi−Wバリア層が、Ti−W層の500オングストロームだけエッチングされるまでエッチングされ、基板上にTi−W層が1000オングストロームだけ残った。第2番目のエッチングのステージでは、BCl325sccm(cm /分)、Cl2 20sccm(cm /分)及びN220sccm(cm /分)を備えたプロセスガスがチャンバ内に導入され、チャンバは約20ミリトールの圧力に維持された。カソードに印加されたRFパワーは、250ワットのレベルに維持され、チャンバ内でプラズマを励起するために、40ガウスの磁場が用いられた。第2のエッチングのステージは、約40秒間行われた。 The substrates of measurement examples 54 and 55 are etched in an etching chamber (not shown) using a two-stage etching process. In a first etching stage, BCl 3 flow 50 sccm (cm 3 / min), etchant gas having a N 2 of Cl 2 and a flow rate 20sccm flow 40 sccm (cm 3 / min) (cm 3 / min) Was introduced into the etching chamber. The pressure in the chamber was maintained at about 200 millitorr. The RF power applied to the cathode in the chamber was about 400 watts, and a 40 Gauss magnetic field was generated using an induction coil to excite the plasma. The first etching stage was performed until the aluminum-containing layer on the substrate was etched through, and the end point of this etching step was measured by a light emission technique. In the second etching stage, a 1500 Å thick Ti—W barrier layer on the substrate is etched until only 500 Å of the Ti—W layer is etched, and a Ti—W layer on the substrate is only 1000 Å. The remaining. In the second etching stage, a process gas comprising BCl 3 25 sccm (cm 3 / min ), Cl 2 20 sccm (cm 3 / min ) and N 2 20 sccm (cm 3 / min ) is introduced into the chamber, The chamber was maintained at a pressure of about 20 millitorr. The RF power applied to the cathode was maintained at a level of 250 watts, and a 40 Gauss magnetic field was used to excite the plasma in the chamber. The second etching stage was performed for about 40 seconds.

エッチングが行われた後、ウエハはエッチングチャンバ(図示されず)からパッシベーション及びストリッピングチャンバへと移送された。測定例54では、ウエハは別々のステップでパッシベーションされ、ストリッピングされた。パッシベーションのステップでは、チャンバ内に水蒸気が500sccm(cm /分)の流量で導入された。マイクロウェーブプラズマ発生器に800ワットのRFパワーが印加され、ウエハが250℃まで加熱された。このパッシベーションのステップは、45秒の全時間で行われた。パッシベーションが行われた後、別のストリッピングのステップにおいてウエハはストリッピングされた。ストリッピングのステップは、300sccm(cm /分)の流れの酸素と200から300sccm(cm /分)までの流れの窒素とを備えたストリッピングガスを用いた。マイクロウェーブ発生器では1400ワットのRFパワーレベルが維持され、ウエハの温度は250℃に維持された。パッシベーションのステップとストリッピングのステップの双方において、チャンバの圧力は2トールに維持された。測定例54のパッシベーションされたウエハは、雰囲気に暴露された際に実質的に耐腐食的であった。 After the etching was performed, the wafer was transferred from the etching chamber (not shown) to the passivation and stripping chamber. In measurement example 54, the wafer was passivated and stripped in separate steps. In the passivation step, water vapor was introduced into the chamber at a flow rate of 500 sccm (cm 3 / min ). An RF power of 800 watts was applied to the microwave plasma generator and the wafer was heated to 250 ° C. This passivation step was performed for a total time of 45 seconds. After passivation, the wafer was stripped in another stripping step. The stripping step used a stripping gas comprising 300 sccm (cm 3 / min ) flow of oxygen and 200 to 300 sccm (cm 3 / min ) flow of nitrogen. The microwave generator maintained an RF power level of 1400 watts and the wafer temperature was maintained at 250 ° C. The chamber pressure was maintained at 2 Torr during both the passivation step and the stripping step. The passivated wafer of Measurement Example 54 was substantially corrosion resistant when exposed to the atmosphere.

測定例55のウエハは単一のステップのプロセスにおいてパッシベーションされストリッピングされた。3000sccm(cm /分)の流れの酸素、200sccm(cm /分)の流れの窒素及び300sccm(cm /分)の流れの水蒸気がチャンバ内に導入された。140ワットのパワーレベルのプラズマが約90秒間発生して、ウエハをストリッピングしパッシベーションした。測定例55のパッシベーションされたウエハも、雰囲気下において腐食に対して耐性を示したことが観察された。ストリッピング及びパッシベーションの後、パッシベーションされたウエハ上に1000オングストロームの厚さで残ったバリア層が、ウェットケミカルエッチングプロセスを用いて除去された。このプロセスに付加的に、ニュージャージ州アレンタウンのAdvanced Chemical Technologiesから商業的に入手可能な「ACT」900シリーズ液状エッチャントを用いて、ウエハは化学的にエッチングされた。エッチングがなされた後、ウエハは脱イオン水でリンスされ、残留エッチャントが除去された。 The wafer of measurement example 55 was passivated and stripped in a single step process. 3000 sccm (cm 3 / min ) flow of oxygen, 200 sccm (cm 3 / min ) flow of nitrogen and 300 sccm (cm 3 / min ) flow of water vapor were introduced into the chamber. A 140 watt power level plasma was generated for about 90 seconds to strip and passivate the wafer. It was observed that the passivated wafer of Measurement Example 55 also showed resistance to corrosion in an atmosphere. After stripping and passivation, the barrier layer remaining 1000 Å thick on the passivated wafer was removed using a wet chemical etch process. In addition to this process, the wafers were chemically etched using “ACT” 900 series liquid etchants commercially available from Advanced Chemical Technologies, Allentown, NJ. After etching, the wafer was rinsed with deionized water to remove residual etchant.

本発明はある好ましい態様に関して詳細に説明されてきたが、他の形態も可能である。例えば、ここに開示されたもの以外のパッシベーション及びストリッピングのプロセスを用いて複数のサイクルのプロセスを実施することが可能である。また、単一サイクルの水蒸気ベースのパッシベーション及びストリッピングのプロセスが、他のパッシベーション及びストリッピングのプロセスと結合して、より大きな耐腐食性及びプロセス効率を提供することも可能である。従って、添付した請求の範囲の趣旨及びその範囲は、ここに含まれる好ましい態様の記載に限定されるべきではない。   Although the present invention has been described in detail with respect to certain preferred embodiments, other forms are possible. For example, it is possible to perform a multi-cycle process using passivation and stripping processes other than those disclosed herein. Also, a single cycle water vapor based passivation and stripping process can be combined with other passivation and stripping processes to provide greater corrosion resistance and process efficiency. Therefore, the spirit and scope of the appended claims should not be limited to the description of the preferred embodiments contained herein.

図1(a)は、エッチング済みの金属含有造作を有する基板の模式的縦断面図であり、ここへのエッチャント副生成物、残留レジスト及び側壁堆積物を示し、図1(b)は、図1(a)の基板のパッシベーション及びストリッピング後の模式的縦断面図であり、エッチャント副生成物及び残留レジストが除去されたことを示し、図1(c)は、図1(b)の基板の側壁堆積物の除去後の模式的縦断面図であり、図1(d)は、図1(c)の基板の、アミンを用いた抑制後の模式的縦断面図であり、基板に吸収されたアミンを示す図である。FIG. 1 (a) is a schematic longitudinal cross-sectional view of a substrate having an etched metal-containing feature, showing etchant by-products, residual resist and sidewall deposits thereon, FIG. 1 (b) 1 (a) is a schematic longitudinal sectional view after passivation and stripping of the substrate of FIG. 1 (a), showing that etchant by-products and residual resist have been removed, and FIG. 1 (c) shows the substrate of FIG. 1 (b). FIG. 1D is a schematic longitudinal sectional view of the substrate of FIG. 1C after suppression using amine, and is absorbed by the substrate. It is a figure which shows the made amine. 図2は、本発明のプロセスの実施に適切な真空チャンバの、模式的縦断面図である。FIG. 2 is a schematic longitudinal sectional view of a vacuum chamber suitable for carrying out the process of the present invention.

符号の説明Explanation of symbols

20…基板、22…表面形状、24…エッチャント副生成物、26…残留レジスト、50…装置、52…真空チャンバ、60…ガス流入口、80…マイクロ波プラズマジェネレータ組立体。
DESCRIPTION OF SYMBOLS 20 ... Board | substrate, 22 ... Surface shape, 24 ... Etchant by-product, 26 ... Residual resist, 50 ... Apparatus, 52 ... Vacuum chamber, 60 ... Gas inlet, 80 ... Microwave plasma generator assembly.

Claims (11)

ハロゲン含有エッチャントを用いてエッチングされた導電性の造作を有しエッチャント副生成物を有するエッチング後の基板をパッシベーションするプロセスであって、
(a)真空チャンバ内に基板を置くステップと、
(b)水蒸気、酸素及び窒素を備えた第1ガスを前記真空チャンバ内に導入するステップであって、前記水蒸気の体積流量と前記酸素及び窒素の合計された体積流量の比が1:2.2から2:1である、前記ステップと、
(c)該第1ガスからプラズマを発生させるステップと、
(d)酸素と窒素を備えた第2ガスを前記真空チャンバ内に導入するステップであって、(i)酸素の(ii)窒素に対する体積流量比(VO2:VN2)が、6:1〜200:1である、前記ステップと、
(e)該第2ガスからプラズマを発生させるステップと、
(f)処理後の基板を前記真空チャンバから取り出すステップと、
を有し、
前記第1ガス及び前記第2ガスから生成されたプラズマは、少なくとも24時間空気に曝露された場合の耐腐食性を基板に与えるように、前記基板上の、エッチングされた造作をパッシベーション及びストリッピング処理する、前記プロセス。
A process for passivating a post-etched substrate having a conductive feature etched with a halogen-containing etchant and having an etchant byproduct comprising:
(A) placing the substrate in a vacuum chamber;
(B) introducing a first gas comprising water vapor, oxygen and nitrogen into the vacuum chamber, wherein the ratio of the volume flow rate of the water vapor to the total volume flow rate of the oxygen and nitrogen is 1: 2. Said step being 2 to 2: 1;
(C) generating plasma from the first gas;
(D) introducing a second gas comprising oxygen and nitrogen into the vacuum chamber, wherein (i) the volume flow ratio of oxygen to (ii) nitrogen (V O2 : V N2 ) is 6: 1 ~ 200: 1 said step;
(E) generating plasma from the second gas;
(F) removing the processed substrate from the vacuum chamber;
Have
The plasma generated from the first gas and the second gas passivates and strips etched features on the substrate so as to provide the substrate with corrosion resistance when exposed to air for at least 24 hours. Processing, said process.
(i)酸素の(ii)窒素に対する前記体積流量比(VO2:VN2)が、10:1〜12:1である、請求項1に記載のプロセス。 The process of claim 1, wherein the volume flow ratio (V O2 : V N2 ) of (i) oxygen to (ii) nitrogen is 10: 1 to 12: 1. 前記真空チャンバが150℃〜400℃の温度及び1トール〜10トールの圧力に維持される請求項1に記載のプロセス。 The process of claim 1, wherein the vacuum chamber is maintained at a temperature of 150C to 400C and a pressure of 1 Torr to 10 Torr. 前記ステップ(b)において、前記第1ガスは、500cm /分の流量の水蒸気、1000cm /分の流量の酸素、100cm/分の流量の窒素を備える、請求項1に記載のプロセス。 In step (b), the first gas comprises 500 cm 3 / min flow rate of water vapor, oxygen of 1000 cm 3 / min flow rate, the / min flow rate of nitrogen 100 cm 3, the process according to claim 1 . 前記ステップ(b)において、前記第1ガスは、2トールの圧力で維持される、請求項1に記載のプロセス。 The process of claim 1, wherein in step (b), the first gas is maintained at a pressure of 2 Torr. 前記ステップ(b)において、前記真空チャンバは、200℃〜245℃の温度で維持される、請求項1に記載のプロセス。 The process of claim 1, wherein in step (b), the vacuum chamber is maintained at a temperature between 200 ° C. and 245 ° C. 5. 前記ステップ(c)において、前記プラズマは、1000ワット〜1400ワットのRFパワーレベルで形成される、請求項1に記載のプロセス。 The process of claim 1, wherein in step (c), the plasma is formed at an RF power level between 1000 watts and 1400 watts. 前記ステップ(d)において、前記第2ガスは、3500cm /分の流量の酸素、200cm /分の流量の窒素を備える、請求項1に記載のプロセス。 In step (d), the second gas comprises a 3500 cm 3 / min flow rate of oxygen, 200 cm 3 / min flow rate of nitrogen, the process according to claim 1. 前記ステップ(d)において、前記第2ガスは、2トールの圧力で維持される、請求項1に記載のプロセス。 The process of claim 1, wherein in step (d), the second gas is maintained at a pressure of 2 Torr. 前記ステップ(d)において、前記真空チャンバは、275℃〜325℃の温度で維持される、請求項1に記載のプロセス。 The process of claim 1, wherein in step (d), the vacuum chamber is maintained at a temperature of 275 ° C. to 325 ° C. 前記ステップ(e)において、前記プラズマは、400ワット〜500ワットのRFパワーレベルで形成される、請求項1に記載のプロセス。 The process of claim 1, wherein in step (e), the plasma is formed at an RF power level of 400 watts to 500 watts.
JP2006032939A 1994-02-03 2006-02-09 Process for substrate passivation Expired - Fee Related JP4167268B2 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US19182894A 1994-02-03 1994-02-03
US08/268,377 US5545289A (en) 1994-02-03 1994-06-29 Passivating, stripping and corrosion inhibition of semiconductor substrates
US08/369,237 US5631803A (en) 1995-01-06 1995-01-06 Erosion resistant electrostatic chuck with improved cooling system

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP05695298A Division JP3795220B2 (en) 1994-02-03 1998-03-09 Substrate processing method

Publications (2)

Publication Number Publication Date
JP2006237599A JP2006237599A (en) 2006-09-07
JP4167268B2 true JP4167268B2 (en) 2008-10-15

Family

ID=27392955

Family Applications (3)

Application Number Title Priority Date Filing Date
JP7521234A Expired - Fee Related JP2839040B2 (en) 1994-02-03 1995-01-27 Passivation of semiconductor substrates
JP05695298A Expired - Fee Related JP3795220B2 (en) 1994-02-03 1998-03-09 Substrate processing method
JP2006032939A Expired - Fee Related JP4167268B2 (en) 1994-02-03 2006-02-09 Process for substrate passivation

Family Applications Before (2)

Application Number Title Priority Date Filing Date
JP7521234A Expired - Fee Related JP2839040B2 (en) 1994-02-03 1995-01-27 Passivation of semiconductor substrates
JP05695298A Expired - Fee Related JP3795220B2 (en) 1994-02-03 1998-03-09 Substrate processing method

Country Status (4)

Country Link
EP (1) EP0692140A1 (en)
JP (3) JP2839040B2 (en)
KR (1) KR100336916B1 (en)
WO (2) WO1995022171A2 (en)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3129144B2 (en) * 1995-04-21 2001-01-29 日本電気株式会社 Ashing method
KR19980064028A (en) * 1996-12-12 1998-10-07 윌리엄비.켐플러 Post-etch Defluorination Low Temperature Process of Metals
US6209551B1 (en) 1997-06-11 2001-04-03 Lam Research Corporation Methods and compositions for post-etch layer stack treatment in semiconductor fabrication
US5968275A (en) * 1997-06-25 1999-10-19 Lam Research Corporation Methods and apparatus for passivating a substrate in a plasma reactor
US7615037B2 (en) * 2005-12-14 2009-11-10 Stryker Corporation Removable inlet manifold for a medical/surgical waste collection system, the manifold including a driver for actuating a valve integral with the waste collection system
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8491967B2 (en) * 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
JP5601806B2 (en) * 2009-08-25 2014-10-08 日新製鋼株式会社 Method for producing stainless steel sheet with excellent coating film adhesion
KR102227883B1 (en) * 2016-12-14 2021-03-16 베이징 이타운 세미컨덕터 테크놀로지 컴퍼니 리미티드 Atomic layer etching process using plasma with rapid thermal activation process
CN112041966A (en) * 2018-03-30 2020-12-04 朗姆研究公司 Topographically and regioselectively ALD using fluorocarbon blocking layers

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS55158275A (en) * 1979-05-28 1980-12-09 Hitachi Ltd Corrosion preventing method for al and al alloy
JPS5830133A (en) * 1981-08-18 1983-02-22 Matsushita Electric Ind Co Ltd Plasma etching treatment
JPS6370428A (en) * 1986-09-11 1988-03-30 Anelva Corp Plasma treating apparatus
JPH0777211B2 (en) * 1987-08-19 1995-08-16 富士通株式会社 Ashing method
US4961820A (en) * 1988-06-09 1990-10-09 Fujitsu Limited Ashing method for removing an organic film on a substance of a semiconductor device under fabrication
JP2890432B2 (en) * 1989-01-10 1999-05-17 富士通株式会社 Ashing method of organic matter
DE69033663T2 (en) * 1989-08-28 2001-06-21 Hitachi Ltd Process for treating a pattern containing aluminum
US5000820A (en) * 1989-12-20 1991-03-19 Texas Instruments Incorporated Methods and apparatus for etching mercury cadmium telluride
DE69132811T2 (en) * 1990-06-27 2002-04-04 Fujitsu Ltd METHOD FOR PRODUCING AN INTEGRATED SEMICONDUCTOR CIRCUIT
JP3058979B2 (en) * 1991-02-22 2000-07-04 宮城沖電気株式会社 Method for preventing corrosion of Al alloy after dry etching
US5174856A (en) * 1991-08-26 1992-12-29 Applied Materials, Inc. Method for removal of photoresist over metal which also removes or inactivates corrosion-forming materials remaining from previous metal etch
US5200031A (en) * 1991-08-26 1993-04-06 Applied Materials, Inc. Method for removal of photoresist over metal which also removes or inactivates corrosion-forming materials remaining from one or more previous metal etch steps
US5221424A (en) * 1991-11-21 1993-06-22 Applied Materials, Inc. Method for removal of photoresist over metal which also removes or inactivates corosion-forming materials remaining from previous metal etch
US5348619A (en) * 1992-09-03 1994-09-20 Texas Instruments Incorporated Metal selective polymer removal

Also Published As

Publication number Publication date
EP0692140A1 (en) 1996-01-17
WO1995021458A1 (en) 1995-08-10
WO1995022171A3 (en) 1995-09-08
JPH09500763A (en) 1997-01-21
JP2839040B2 (en) 1998-12-16
WO1995022171A2 (en) 1995-08-17
JP3795220B2 (en) 2006-07-12
KR100336916B1 (en) 2002-12-02
JPH1174250A (en) 1999-03-16
JP2006237599A (en) 2006-09-07

Similar Documents

Publication Publication Date Title
US5545289A (en) Passivating, stripping and corrosion inhibition of semiconductor substrates
JP4167268B2 (en) Process for substrate passivation
TW540114B (en) Substrate cleaning apparatus and method
US5200031A (en) Method for removal of photoresist over metal which also removes or inactivates corrosion-forming materials remaining from one or more previous metal etch steps
JP2541851B2 (en) How to peel off organic matter
KR900004053B1 (en) A method for stripping a photoresist on aluminium alloy
JP2008506530A (en) Method for wet cleaning a quartz surface of a component for a plasma processing chamber
US8101025B2 (en) Method for controlling corrosion of a substrate
JP3175924B2 (en) Thermal cleaning method with nitrogen trifluoride and oxygen
EP1053566B1 (en) Method and composition for dry photoresist stripping in semiconductor fabrication
CN100468652C (en) Process for removing a residue from a metal structure on a semiconductor substrate
JP3001639B2 (en) Control of stripping, passivation and corrosion of semiconductor substrates
JP4642164B2 (en) Method for processing a stacked stack of wafers after metal etching
JPH04259220A (en) Manufacture of semiconductor device
WO2001097270A2 (en) Substrate cleaning apparatus and method
JPH0969525A (en) Treatment of metallization
JPH08306668A (en) Ashing
US7067433B2 (en) Method to reduce the fluorine contamination on the Al/Al-Cu pad by a post high cathod temperature plasma treatment
US20050136662A1 (en) Method to remove fluorine residue from bond pads
JP2001102345A (en) Method and device for treating surface
KR20230049254A (en) Method of processing substrate
CN115274388A (en) Cleaning method of wafer-free plasma chamber
JPH05217957A (en) Removal of organic compound film
KR100324902B1 (en) Dry cleaning techniques for removing Fe contaminants on the semiconductor surface
JPH04188724A (en) Manufacture of semiconductor device

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070626

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070914

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080108

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20080408

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20080411

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080508

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20080508

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080603

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080609

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20080701

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20080731

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110808

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110808

Year of fee payment: 3

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: R3D02

LAPS Cancellation because of no payment of annual fees