JPH11340208A - Plasma treatment method - Google Patents

Plasma treatment method

Info

Publication number
JPH11340208A
JPH11340208A JP10161308A JP16130898A JPH11340208A JP H11340208 A JPH11340208 A JP H11340208A JP 10161308 A JP10161308 A JP 10161308A JP 16130898 A JP16130898 A JP 16130898A JP H11340208 A JPH11340208 A JP H11340208A
Authority
JP
Japan
Prior art keywords
wafer
electrostatic chuck
processing chamber
gas
lower electrode
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP10161308A
Other languages
Japanese (ja)
Other versions
JP4060941B2 (en
Inventor
Kazunori Nagahata
和典 長畑
Tatsu Nonaka
龍 野中
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP16130898A priority Critical patent/JP4060941B2/en
Publication of JPH11340208A publication Critical patent/JPH11340208A/en
Application granted granted Critical
Publication of JP4060941B2 publication Critical patent/JP4060941B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Landscapes

  • Chemical Vapour Deposition (AREA)
  • ing And Chemical Polishing (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Plasma Technology (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

PROBLEM TO BE SOLVED: To provide a plasma treatment method for lifting up a workpiece from an electrostatic chuck without swinging or flying of the workpiece, even when an insulating supporting member is used. SOLUTION: A lower electrode 106 with an electrostatic chuck 112 is provided at a mounting face in a treatment chamber 102 in an etching apparatus 100. The lower electrode 106 has an insulating inner lifter pin 116 moving upward or downward with respect to the mounting face, while the lower electrode 106 is moved vertically. After the treatment, an inert gas is fed into the treatment chamber 102, so that the pressuric atmosphere is 100 to 500 mTorr, without stoppage of high DC voltage applied thereto. Then, a voltage to a thin film 112a is stopped, and the lower electrode 106 is lowered, and a wafer(W) held through chucking by the electrostatic chuck 112 is lifted up with the lifter pin 116.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は,プラズマ処理方法
に関する。
[0001] The present invention relates to a plasma processing method.

【0002】[0002]

【従来の技術】従来,半導体ウェハ(以下,「ウェハ」
と称する。)などの被処理面に形成された絶縁膜をエッ
チングしてコンタクトホールを形成する場合には,一般
的にプラズマエッチング装置が使用されている。例え
ば,平行平板型のプラズマエッチング装置を例に挙げて
説明すると,該エッチング装置の処理室内には,ウェハ
を載置するサセプタを兼ねた下部電極が配置されてお
り,さらにこの下部電極の載置面と対向して上部電極が
設けられている。また,下部電極上には,ウェハを吸着
保持するための静電チャックが設けられている。さら
に,下部電極には,処理室内に搬入されたウェハを静電
チャックの所定位置に載置し,かつ静電チャック上のウ
ェハをリフトアップするための複数のリフターピンが内
装されている。また,このリフターピンは,異常放電の
発生防止の観点から絶縁性材料より構成されている。
2. Description of the Related Art Conventionally, semiconductor wafers (hereinafter, "wafers")
Called. In the case where a contact hole is formed by etching an insulating film formed on a surface to be processed, such as in (1), a plasma etching apparatus is generally used. For example, taking a parallel plate type plasma etching apparatus as an example, a lower electrode also serving as a susceptor for mounting a wafer is disposed in a processing chamber of the etching apparatus. An upper electrode is provided facing the surface. An electrostatic chuck for holding the wafer by suction is provided on the lower electrode. Further, the lower electrode is provided with a plurality of lifter pins for placing the wafer carried into the processing chamber at a predetermined position of the electrostatic chuck and for lifting up the wafer on the electrostatic chuck. The lifter pins are made of an insulating material from the viewpoint of preventing abnormal discharge.

【0003】ここで,上記エッチング装置でのエッチン
グ処理工程について説明する。まず,リフターピンが下
部電極に対して相対的に上昇した状態で,処理室の外部
から搬入されたウェハをリフターピン上に搭載する。そ
の後,下部電極を上昇させて,該リフターピンを下部電
極に対して相対的に降下させることにより,ウェハを静
電チャック上に載置する。次いで,静電チャックに内装
された電極に高圧直流電圧を印加し,その電極を覆う絶
縁体に生じたクーロン力(静電気力)により,静電チャ
ック上に載置されたウェハを吸着保持する。その後,上
部電極と下部電極に高周波電力を印加して処理室内に導
入された処理ガスを解離させてプラズマを生成し,該プ
ラズマによりウェハにエッチング処理を施す。そして,
所定のエッチング処理が終了した後,上記高周波電力の
供給を停止する。
Here, the etching process in the above etching apparatus will be described. First, a wafer loaded from outside the processing chamber is mounted on the lifter pins with the lifter pins raised relative to the lower electrode. Thereafter, the wafer is placed on the electrostatic chuck by raising the lower electrode and lowering the lifter pins relative to the lower electrode. Next, a high-voltage DC voltage is applied to an electrode built in the electrostatic chuck, and a wafer placed on the electrostatic chuck is suction-held by Coulomb force (electrostatic force) generated in an insulator covering the electrode. Thereafter, high-frequency power is applied to the upper electrode and the lower electrode to dissociate the processing gas introduced into the processing chamber to generate plasma, and the plasma is used to perform an etching process on the wafer. And
After the predetermined etching process is completed, the supply of the high-frequency power is stopped.

【0004】また,エッチング処理が終了した後は,ま
ず静電チャック内の電極への高圧直流電圧の供給を停止
する。その後,下部電極を降下させて,上記リフターピ
ンを下部電極に対して相対的に上昇させることにより,
リフターピン上のウェハをリフトアップし,処理済みの
ウェハを処理室外に搬出する。また,該ウェハの搬出後
には,再び未処理のウェハが処理室内に搬出され,上述
した工程が繰り返されることにより,順次エッチング処
理が行われる。
After the etching process is completed, first, the supply of the high-voltage DC voltage to the electrodes in the electrostatic chuck is stopped. Then, the lower electrode is lowered, and the lifter pin is raised relatively to the lower electrode.
The wafer on the lifter pins is lifted up, and the processed wafer is carried out of the processing chamber. After the unloading of the wafer, the unprocessed wafer is unloaded again into the processing chamber, and the above-described steps are repeated, whereby the etching process is sequentially performed.

【0005】[0005]

【発明が解決しようとする課題】しかしながら,上記従
来のエッチング装置では,所定のエッチング処理終了
後,静電チャック内の電極に対する高圧直流電圧の供給
を停止しても,静電チャックの絶縁体とウェハに残留電
荷が生じるため,それら静電チャックとウェハとが吸着
した状態が維持される。そして,その状態でウェハをリ
フトアップすると,上記残留吸着の影響により,ウェハ
を静電チャックからスムーズに離すことができず,ウェ
ハが離れる瞬間に該ウェハがリフターピン上で揺れた
り,跳ね上がったりする。このように,ウェハのリフト
アップ時に,ウェハがリフターピン上で動いてしまう
と,リフターピン上での位置が定まらなくなり,搬送不
良を引き起こす原因となる。
However, in the above-described conventional etching apparatus, even after the supply of the high-voltage DC voltage to the electrodes in the electrostatic chuck is stopped after the predetermined etching process is completed, the insulating material of the electrostatic chuck is not removed. Since residual charges are generated on the wafer, the state in which the electrostatic chuck and the wafer are attracted is maintained. When the wafer is lifted up in this state, the wafer cannot be smoothly separated from the electrostatic chuck due to the influence of the residual suction, and the wafer is shaken or jumped on the lifter pins at the moment when the wafer is separated. . As described above, if the wafer moves on the lifter pins when the wafer is lifted up, the position on the lifter pins cannot be determined, thereby causing a transfer failure.

【0006】特に,上述したエッチング装置では,絶縁
性のリフターピンを採用しているため,リフターピンと
ウェハとの間での異常放電の発生を防止することができ
る反面,ウェハに生じた残留電荷を該リフターピンを介
してグランドに流すことができず,上記ウェハの揺れや
跳ね上がりを防止することができないという問題があ
る。もちろん,導電性材料から成るリフターピンを採用
すれば,ウェハに生じた残留電荷をリフターピンを介し
てグランドに流すことができ,ウェハの揺れや跳ね上が
りを抑制できるが,上述の如くウェハとリフターピンと
の間で放電が生じて,ウェハが損傷するという問題があ
る。さらに,最近の半導体デバイスには,超高集積化お
よび超多層化した超微細な素子が形成されているため,
上記放電による影響も非常に大きいものとなる。
In particular, since the above-described etching apparatus employs an insulating lifter pin, it is possible to prevent the occurrence of abnormal discharge between the lifter pin and the wafer, but to reduce the residual charge generated on the wafer. There is a problem in that the wafer cannot flow to the ground via the lifter pin, and it is impossible to prevent the wafer from swinging or jumping. Of course, if a lifter pin made of a conductive material is employed, the residual charge generated on the wafer can flow to the ground via the lifter pin, and the wafer can be prevented from swaying and jumping. In this case, there is a problem that a discharge is generated between the wafers and the wafer is damaged. Furthermore, recent semiconductor devices have been formed with ultra-high integration and ultra-multilayer ultra-fine elements,
The influence of the discharge is very large.

【0007】本発明は,従来の技術が有する上記のよう
な問題点に鑑みて成されたものであり,被処理体に生じ
る残留電荷を解消し,絶縁性の支持部材を採用した場合
でも,リフトアップ時に被処理体の揺れや跳ね上がりを
抑制することが可能な,新規かつ改良されたエッチング
方法を提供することを目的としている。
SUMMARY OF THE INVENTION The present invention has been made in view of the above-mentioned problems of the prior art, and eliminates the residual charge generated on the object to be processed. It is an object of the present invention to provide a new and improved etching method capable of suppressing the object to be shaken or jumped during lift-up.

【0008】[0008]

【課題を解決するための手段】上記課題を解決するため
に,本発明によれば,請求項1に記載の発明のように,
処理室内に配置された静電チャック上に被処理体を載置
し,静電チャックに対して電力を印加して被処理体を保
持した後,被処理体にプラズマ処理を施すプラズマ処理
方法において,プラズマ処理を施した後,処理室内にガ
スを導入する工程と,静電チャックへの電力の供給を停
止する工程と,被処理体を支持する支持部材を静電チャ
ックに対して相対的に上昇させて,被処理体を静電チャ
ックから分離する工程とを含むことを特徴とするプラズ
マ処理方法が提供される。
According to the present invention, in order to solve the above-mentioned problems, as in the first aspect of the present invention,
In a plasma processing method, an object to be processed is placed on an electrostatic chuck placed in a processing chamber, and power is applied to the electrostatic chuck to hold the object to be processed, and then subject the object to plasma processing. Introducing a gas into the processing chamber after performing the plasma processing, stopping the supply of power to the electrostatic chuck, and moving a supporting member for supporting the workpiece relative to the electrostatic chuck. And separating the workpiece from the electrostatic chuck by raising the workpiece.

【0009】かかる構成によれば,処理終了後,処理室
内に処理ガスとは異なる除電用のガスを導入するため,
静電チャックへの電極の印加を停止した後に被処理体に
残留電荷が生じても,該残留電荷を解消することができ
る。その結果,支持部材を,例えば請求項4に記載の発
明のように,絶縁性材料から構成しても,被処理体のリ
フトアップ時には,被処理体の残留電荷はすでに解消さ
れているため,支持部材上で被処理体が揺れたり,跳ね
上がったりすることを防止でき,被処理体の損傷や搬送
不良の発生を防止することができる。また,静電チャッ
クへの電力の供給を停止する前に,処理室内にガスを導
入するため,処理室内に上記ガスを短時間に導入して
も,静電チャック上の被処理体の位置がずれることがな
い。
According to this configuration, after the processing is completed, a charge removing gas different from the processing gas is introduced into the processing chamber.
Even if residual charges are generated in the object after the application of the electrodes to the electrostatic chuck is stopped, the residual charges can be eliminated. As a result, even if the support member is made of an insulating material, for example, as in the fourth aspect of the present invention, when the workpiece is lifted up, the residual charge of the workpiece is already eliminated. The object to be processed can be prevented from swinging or jumping up on the support member, and damage to the object to be processed and occurrence of poor conveyance can be prevented. In addition, since the gas is introduced into the processing chamber before the supply of power to the electrostatic chuck is stopped, even if the gas is introduced into the processing chamber in a short time, the position of the object to be processed on the electrostatic chuck is reduced. There is no shift.

【0010】また,例えば請求項2に記載の発明のよう
に,処理室内の圧力雰囲気が実質的に100mTorr
〜500mTorrとなるようにガスを導入すれば,上
述した被処理体の残留電荷を効果的に除電することがで
きると共に,プラズマ処理中の処理室内の圧力雰囲気
は,通常10mTorr〜100mTorr程度である
ため,処理室内へのガスの導入を短時間で完了すること
ができる。その結果,プラズマ処理後に,本発明の如く
処理室内にガスを導入する工程を加えても,スループッ
トの低下を最小限に止めることができる。また,上述の
如く,処理時の処理室内の圧力と,ガス導入時の該圧力
との差が小さいので,新たな処理を行う際の処理室内の
真空引きを短時間で行うことができ,迅速に処理を開始
することができる。
Further, for example, as in the second aspect of the present invention, the pressure atmosphere in the processing chamber is substantially 100 mTorr.
If the gas is introduced so as to have a pressure of up to 500 mTorr, the above-described residual charge of the object can be effectively eliminated, and the pressure atmosphere in the processing chamber during the plasma processing is usually about 10 mTorr to 100 mTorr. In addition, the introduction of gas into the processing chamber can be completed in a short time. As a result, even if a step of introducing a gas into the processing chamber as in the present invention is added after the plasma processing, a decrease in throughput can be minimized. Further, as described above, since the difference between the pressure in the processing chamber at the time of processing and the pressure at the time of gas introduction is small, the evacuation of the processing chamber at the time of performing a new processing can be performed in a short time, and rapid The process can be started.

【0011】また,ガスとして,例えば請求項3に記載
の発明のように,不活性ガス,例えばN2や,HeやA
rやKrなどの希ガスや,これらの混合ガスを採用すれ
ば,被処理体の残留電荷を除去することができると共
に,処理室内を汚染することなく,被処理体に連続して
プラズマ処理を施すことができる。
The gas may be an inert gas such as N 2 , He or A.
If a rare gas such as r or Kr or a mixed gas thereof is employed, the residual charge of the object can be removed, and the plasma processing can be continuously performed on the object without polluting the processing chamber. Can be applied.

【0012】[0012]

【発明の実施の形態】以下に,添付図面を参照しなが
ら,本発明にかかるプラズマ処理方法をエッチング方法
に適用した実施の一形態について,詳細に説明する。
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS Hereinafter, an embodiment in which a plasma processing method according to the present invention is applied to an etching method will be described in detail with reference to the accompanying drawings.

【0013】(1)エッチング装置の構成 まず,図1〜図3を参照しながら,本実施の形態のエッ
チング方法が適用されるエッチング装置100について
説明する。図1に示すエッチング装置100の処理室1
02は,接地された導電性の処理容器104内に形成さ
れている。また,処理室102内には,ウェハWを載置
するサセプタを兼ねた導電性の下部電極106が配置さ
れている。この下部電極106には,昇降軸108を介
して駆動モータM110が接続されており,該駆動モー
タM110の作動により,同図中の往復矢印の如く,下
部電極106を上下動自在に移動させることができる。
(1) Configuration of Etching Apparatus First, an etching apparatus 100 to which the etching method of the present embodiment is applied will be described with reference to FIGS. Processing chamber 1 of etching apparatus 100 shown in FIG.
Numeral 02 is formed in a grounded conductive processing container 104. In the processing chamber 102, a conductive lower electrode 106 also serving as a susceptor for mounting the wafer W is arranged. A drive motor M110 is connected to the lower electrode 106 via an elevating shaft 108. The lower electrode 106 can be moved up and down freely by the operation of the drive motor M110 as shown by a reciprocating arrow in FIG. Can be.

【0014】また,下部電極106上には,ウェハWを
吸着保持するための静電チャック112が設けられてい
る。この静電チャック112は,図2に示すように,電
極を構成する導電性の薄膜112aを絶縁性材料,例え
ばポリイミド系樹脂112bで挟持した構成を有してお
り,その薄膜112aに高圧直流電源114から高圧直
流電圧を印加するとクーロン力が生じ,このクーロン力
によって静電チャック112上に載置されたウェハWが
吸着保持される。
On the lower electrode 106, an electrostatic chuck 112 for holding the wafer W by suction is provided. As shown in FIG. 2, the electrostatic chuck 112 has a configuration in which a conductive thin film 112a constituting an electrode is sandwiched between insulating materials, for example, a polyimide resin 112b. When a high-voltage DC voltage is applied from 114, a Coulomb force is generated, and the wafer W mounted on the electrostatic chuck 112 is suction-held by the Coulomb force.

【0015】また,下部電極106には,図2に示すよ
うに,ウェハWの支持部材としての複数本のリフターピ
ン116が内装されている。このリフターピン116
は,絶縁性材料,例えばポリイミドやセラミックスから
構成されているため,処理時にリフターピン116とウ
ェハWとの間や,リフターピン116間で異常放電が生
じることを防止できる。その結果,ウェハWに形成され
た各種素子の損傷を防止することができるため,歩留り
の向上を図ることができる。さらに,リフターピン11
6の周辺に形成されている各種部材の損傷も防止するこ
とができるため,エッチング装置100の寿命の延長を
図ることができる。また,リフターピン116は,図示
の例では,所定位置に固定されており,下部電極106
の上下動により,リフターピン116上に搭載されたウ
ェハWを静電チャック112上に載置したり,または静
電チャック112上のウェハWをリフトアップすること
ができるように構成されている。
As shown in FIG. 2, the lower electrode 106 includes a plurality of lifter pins 116 as a support member for the wafer W. This lifter pin 116
Is made of an insulating material such as polyimide or ceramics, so that abnormal discharge can be prevented from occurring between the lifter pins 116 and the wafer W or between the lifter pins 116 during processing. As a result, it is possible to prevent various elements formed on the wafer W from being damaged, and to improve the yield. Furthermore, lifter pin 11
6 can be prevented from being damaged, so that the life of the etching apparatus 100 can be extended. The lifter pin 116 is fixed at a predetermined position in the illustrated example, and
The wafer W mounted on the lifter pins 116 can be placed on the electrostatic chuck 112 or the wafer W on the electrostatic chuck 112 can be lifted up by the vertical movement of.

【0016】また,静電チャック112上のウェハWの
周囲には,例えばシリコンから成る内側部材118a
と,例えば石英から成る外側部材118bから構成され
るフォーカスリング118が配置されている。さらに,
下部電極106の周囲には,多数の貫通孔120aを備
えた絶縁性のバッフル板120が取り付けられている。
また,下部電極106には,整合器122を介してバイ
アス用高周波電力を出力する高周波電源124が接続さ
れている。
Around the wafer W on the electrostatic chuck 112, an inner member 118a made of, for example, silicon
And a focus ring 118 composed of an outer member 118b made of, for example, quartz. further,
Around the lower electrode 106, an insulating baffle plate 120 having a large number of through holes 120a is attached.
The lower electrode 106 is connected to a high-frequency power supply 124 that outputs a high-frequency power for bias via a matching unit 122.

【0017】また,図1に示すように,下部電極106
の載置面と対向して,上部電極126が配置されてい
る。さらに,図示の例では,上部電極126の上部に
は,冷媒循環路128aを備えた冷却プレート128が
配置されており,この冷却プレート128と上部電極1
26は,絶縁支持部材130によって処理容器104に
固定されている。また,上部電極126の下部電極10
6側周縁部には,例えば石英製のシールドリング132
が取り付けられている。さらに,このシールドリング1
32の上端部と,処理室102の天井壁との間には,フ
ッ素系樹脂から成る絶縁リング134が設けられてい
る。また,上部電極126には,図示の例では,冷却プ
レート128と,整合器136を介してプラズマ生成用
高周波電力を出力する高周波電源138が接続されてい
る。
Further, as shown in FIG.
The upper electrode 126 is disposed so as to face the mounting surface. Further, in the illustrated example, a cooling plate 128 having a refrigerant circulation path 128a is disposed above the upper electrode 126, and the cooling plate 128 and the upper electrode 1
26 is fixed to the processing container 104 by an insulating support member 130. Also, the lower electrode 10 of the upper electrode 126
On the 6th peripheral portion, for example, a shield ring 132 made of quartz is used.
Is attached. Furthermore, this shield ring 1
An insulating ring 134 made of a fluorine-based resin is provided between the upper end portion 32 and the ceiling wall of the processing chamber 102. In the illustrated example, the upper electrode 126 is connected to a cooling plate 128 and a high frequency power supply 138 that outputs high frequency power for plasma generation via a matching unit 136.

【0018】また,冷却プレート128内には,拡散孔
140aを備えた拡散部材140が内装されている。こ
の拡散部材140には,ガス供給管142が接続されて
おり,さらにそのガス供給管142には,バルブ144
を介して分岐管146,148が接続されている。ま
た,分岐管146には,バルブ150と流量調整バルブ
(マスフローコントローラ)MFC152を介して処理
ガス,例えばCF4を供給するガス供給源154が接続
されている。さらに,分岐管148には,バルブ156
と流量調整バルブMFC158を介して,本実施の形態
にかかる不活性ガス,例えばN2ガスを供給するガス供
給源160が接続されている。もちろん,ガス供給源1
60から供給されるN2ガスは,処理ガスの添加ガス
や,処理室102内のパージガスとしても使用すること
ができる。
A diffusion member 140 having a diffusion hole 140a is provided inside the cooling plate 128. A gas supply pipe 142 is connected to the diffusion member 140, and the gas supply pipe 142 is further connected to a valve 144.
Are connected to the branch pipes 146 and 148. Further, a gas supply source 154 for supplying a processing gas, for example, CF 4 , is connected to the branch pipe 146 via a valve 150 and a flow control valve (mass flow controller) MFC 152. Further, the branch pipe 148 has a valve 156.
The gas supply source 160 for supplying the inert gas according to the present embodiment, for example, N 2 gas, is connected via the flow control valve MFC 158 and the flow control valve MFC 158. Of course, gas source 1
The N 2 gas supplied from 60 can also be used as an additive gas for the processing gas or a purge gas in the processing chamber 102.

【0019】また,上部電極126には,多数のガス吐
出孔126aが形成されており,このガス吐出孔126
aを介して,上述した拡散部材140内の拡散孔140
aと,処理室102内が連通している。従って,ガス供
給源154,160から供給される各ガスを,ガス吐出
孔126aから処理室102内に均一に吐出することが
できる。
The upper electrode 126 has a number of gas discharge holes 126a formed therein.
a through the diffusion hole 140 in the diffusion member 140 described above.
a communicates with the inside of the processing chamber 102. Therefore, each gas supplied from the gas supply sources 154 and 160 can be uniformly discharged into the processing chamber 102 from the gas discharge holes 126a.

【0020】また,図1に示すように,処理容器104
内の下方には,真空ポンプなどの真空引き機構P162
と連通する排気管164が接続されている。従って,真
空引き機構P162の作動により,バッフル板120の
貫通孔120aを介して,処理室102内を,例えば1
0mTorr程度の減圧度にまで真空引きすることがで
きる。さらに,図示の例では,処理容器104の内壁面
に,バッフル板120と排気管164との間の排気経路
内の圧力雰囲気を検出するセンサ166が設けられてい
る。また,上述した駆動モータM110と,高圧直流電
源114と,高周波電源124,138と,バルブ15
0,156と,流量調整バルブ152,158と,真空
引き機構P162と,センサ166は,それぞれコント
ローラC168に接続されている。従って,コントロー
ラC168は,センサ166により検出された圧力情報
や予め設定された条件などに基づいて,上記各機構を適
宜制御するように構成されている。
Further, as shown in FIG.
In the lower part of the inside, there is a vacuuming mechanism P162 such as a vacuum pump.
An exhaust pipe 164 that communicates with is connected. Therefore, by the operation of the evacuation mechanism P162, the inside of the processing chamber 102 is, for example, one through the through hole 120a of the baffle plate 120.
It can be evacuated to a reduced pressure of about 0 mTorr. Further, in the illustrated example, a sensor 166 that detects a pressure atmosphere in an exhaust path between the baffle plate 120 and the exhaust pipe 164 is provided on the inner wall surface of the processing container 104. Further, the above-described drive motor M110, high-voltage DC power supply 114, high-frequency power supplies 124 and 138, valve 15
0, 156, the flow control valves 152, 158, the evacuation mechanism P162, and the sensor 166 are connected to the controller C168, respectively. Therefore, the controller C168 is configured to appropriately control each of the above mechanisms based on pressure information detected by the sensor 166, preset conditions, and the like.

【0021】また,図1に示す例では,処理室102の
内部側壁面にロードロック室170内と連通するゲート
バルブ172が接続されている。該ロードロック室17
0内には,ロードロック室170内のウェハWを処理室
102内に搬入し,また処理室102内のウェハWをロ
ードロック室170へ搬出する搬送アームなどの搬送機
構174が配置されている。
In the example shown in FIG. 1, a gate valve 172 communicating with the inside of the load lock chamber 170 is connected to the inner side wall of the processing chamber 102. The load lock chamber 17
A transfer mechanism 174 such as a transfer arm that carries the wafer W in the load lock chamber 170 into the processing chamber 102 and unloads the wafer W in the processing chamber 102 to the load lock chamber 170 is disposed in the area 0. .

【0022】(2)エッチング処理工程 次に,図4に示すタイミングチャートを参照しながら,
本実施の形態にかかるエッチング処理工程について説明
する。なお,同図中の線a〜線gは,それぞれ次のタイ
ミング等を表している。 線a:上部電極126への高周波電力の供給タイミング 線b:下部電極106への高周波電力の供給タイミング 線c:処理室102内への処理ガス(CF4)の供給タ
イミング 線d:処理室102内への不活性ガス(N2)の供給タ
イミング 線e:処理室102内の減圧雰囲気の変化 線f:リフターピン116の昇降タイミング 線g:静電チャック112への高圧直流電圧の供給タイ
ミング また,同図には,静電チャック112上へのウェハWの
載置時のリフターピン116の昇降タイミング等は,省
略されている。
(2) Etching Step Next, referring to the timing chart shown in FIG.
The etching process according to the present embodiment will be described. The lines a to g in the figure represent the following timings, respectively. Line a: supply timing of high-frequency power to upper electrode 126 Line b: supply timing of high-frequency power to lower electrode 106 Line c: supply timing of processing gas (CF 4 ) into processing chamber 102 Line d: processing chamber 102 Supply timing of inert gas (N 2 ) into the inside line e: change in decompressed atmosphere in the processing chamber 102 line f: rise / fall timing of the lifter pin 116 line g: supply timing of high-voltage DC voltage to the electrostatic chuck 112 In the figure, the timing of lifting and lowering the lifter pins 116 when the wafer W is placed on the electrostatic chuck 112 is omitted.

【0023】(A)処理室内へのウェハの搬入〜エッチ
ング処理工程 始めに,図1に示す処理室102内へのウェハWの搬入
から,該ウェハWにエッチング処理を施すまでの各工程
について説明する。まず,ゲートバルブ172を開放し
た後,ロードロック室170内の搬送機構174上で保
持されたウェハWを,その搬送機構174の作動によ
り,処理室102内に搬入する。この際,下部電極10
6は,所定の処理位置よりも相対的に下方の搬送位置に
配置されているため,図3に示すように,リフターピン
116が静電チャック112の上面に対して相対的に上
方に突き出た状態となる。従って,上記搬送機構174
の作動により処理室102内に搬入されたウェハWは,
同図に示すように,リフターピン116上に受け渡され
る。その後,搬送機構174は,処理室102内から退
避して,ゲートバルブ172が閉じられる。
(A) Loading of Wafer into Processing Chamber to Etching Process First, the steps from loading of the wafer W into the processing chamber 102 shown in FIG. I do. First, after the gate valve 172 is opened, the wafer W held on the transfer mechanism 174 in the load lock chamber 170 is loaded into the processing chamber 102 by the operation of the transfer mechanism 174. At this time, the lower electrode 10
6, the lifter pin 116 protrudes relatively upward with respect to the upper surface of the electrostatic chuck 112, as shown in FIG. State. Therefore, the transport mechanism 174
The wafer W carried into the processing chamber 102 by the operation of
As shown in FIG. Thereafter, the transfer mechanism 174 is retracted from the inside of the processing chamber 102, and the gate valve 172 is closed.

【0024】また,リフターピン116上にウェハWが
搭載されると,下部電極106は,駆動モータM110
の作動により,上記搬送位置よりも相対的に上方の処理
位置にまで上昇する。従って,この下部電極106の上
昇により,ウェハWを搭載するリフターピン116は,
静電チャック112の上面に対して相対的に降下し,図
2に示すように,ウェハWが静電チャック112上に載
置される。その後,図4に示すように,静電チャック1
12の薄膜112aに対して高圧直流電源114から高
圧直流電圧,例えば1.5kV〜2.0kVの電圧を印
加すると,ウェハWが静電チャック112の載置面に吸
着保持される。
When the wafer W is mounted on the lifter pins 116, the lower electrode 106 is driven by the drive motor M110.
, The ascending position is raised to the processing position relatively higher than the transfer position. Therefore, the lifter pins 116 on which the wafer W is mounted can be
The wafer W is relatively lowered with respect to the upper surface of the electrostatic chuck 112, and the wafer W is placed on the electrostatic chuck 112 as shown in FIG. Thereafter, as shown in FIG.
When a high-voltage DC voltage, for example, a voltage of 1.5 kV to 2.0 kV is applied to the twelve thin films 112 a from the high-voltage DC power supply 114, the wafer W is suction-held on the mounting surface of the electrostatic chuck 112.

【0025】同時に,図1に示す真空引き機構P162
の作動により処理室102内を真空引きすると共に,ガ
ス供給源154から供給されるCF4を処理室102内
に導入し,処理室102内の圧力雰囲気を例えば20m
Torrに設定,維持する。この後,図4に示すよう
に,上部電極126に対して例えば周波数が27.12
MHzで,パワーが2kWの高周波電力を印加すると共
に,下部電極106に対して例えば周波数が800kH
zで,パワーが1kWの高周波電力を印加することによ
り,処理室102内に高密度プラズマを生成する。そし
て,このプラズマにより,ウェハWにエッチング処理を
施し,ウェハWのSiO2膜層に所定のコンタクトホー
ルを形成する。
At the same time, the evacuation mechanism P162 shown in FIG.
, The inside of the processing chamber 102 is evacuated, CF 4 supplied from the gas supply source 154 is introduced into the processing chamber 102, and the pressure atmosphere in the processing chamber 102 is reduced to, for example, 20 m.
Set and maintain Torr. Thereafter, as shown in FIG. 4, the frequency of the upper electrode 126 is, for example, 27.12.
A high-frequency power of 2 MHz and a power of 2 kW is applied, and a frequency of 800 kHz is applied to the lower electrode 106.
At z, high-frequency power having a power of 1 kW is applied to generate high-density plasma in the processing chamber 102. Then, an etching process is performed on the wafer W by the plasma to form a predetermined contact hole in the SiO 2 film layer of the wafer W.

【0026】(B)エッチング処理の終了〜ウェハWの
搬出工程 次に,エッチング処理終了後からウェハWの搬出に至る
までの各工程について説明する。上述の如く,ウェハW
に所定のエッチング処理を施した後,図4に示すよう
に,上部電極126と下部電極106への各高周波電力
の供給を停止すると共に,処理室102への処理ガスの
供給も停止する。この際,本実施の形態では,同図に示
すように,静電チャック112の薄膜112aへの高圧
直流電圧の供給は,そのまま続けられる。従って,エッ
チング処理の終了と同時に,薄膜112aへの電力供給
を停止するのとは異なり,この段階では,ウェハWに残
留電荷が帯電することがない。さらに,ウェハWが静電
チャック112によって保持されているので,次工程で
処理室102内にN2を導入し,処理室102内の減圧
雰囲気を上昇させた場合でも,ウェハWの位置がずれる
ことがない。
(B) Completion of Etching Process to Unloading Process of Wafer W Next, each process from completion of the etching process to unloading of the wafer W will be described. As described above, the wafer W
After the predetermined etching process, the supply of the high-frequency power to the upper electrode 126 and the lower electrode 106 is stopped, and the supply of the processing gas to the processing chamber 102 is also stopped, as shown in FIG. At this time, in the present embodiment, the supply of the high-voltage DC voltage to the thin film 112a of the electrostatic chuck 112 is continued as shown in FIG. Therefore, unlike the case where the power supply to the thin film 112a is stopped at the same time as the end of the etching process, the wafer W is not charged with the residual charge at this stage. Further, since the wafer W is held by the electrostatic chuck 112, the position of the wafer W is shifted even when N 2 is introduced into the processing chamber 102 in the next step and the reduced-pressure atmosphere in the processing chamber 102 is raised. Nothing.

【0027】また,図4に示すように,上記上部電極1
26と下部電極106への電力の供給および処理ガスの
供給の停止と同時に,処理室102内にガス供給源16
0から本実施の形態にかかるN2を導入する。この際,
処理室102内の減圧雰囲気をセンサ166によって検
出し,その処理室102内の減圧雰囲気が実質的に10
0mTorr〜500mTorr,好ましくは100m
Torr〜200mTorrになるように,コントロー
ラC168によってN2の供給量や排気量を調整する。
Further, as shown in FIG.
At the same time as the supply of power to the electrode 26 and the lower electrode 106 and the supply of the processing gas are stopped, the gas supply source 16 is
From 0, N 2 according to the present embodiment is introduced. On this occasion,
The reduced pressure atmosphere in the processing chamber 102 is detected by the sensor 166, and the reduced pressure atmosphere in the processing chamber 102 is substantially 10
0 mTorr to 500 mTorr, preferably 100 mTorr
The supply amount and the exhaust amount of N 2 are adjusted by the controller C168 so that the pressure becomes Torr to 200 mTorr.

【0028】次いで,上記N2の導入によって処理室1
02内が所定の減圧雰囲気になってから所定時間経過
後,静電チャック112の薄膜112aへの電力供給を
停止する。この際,処理室102内には,すでに本実施
の形態にかかる不活性ガスとしてのN2が所定状態で拡
散しているため,上記薄膜112aへの電力供給を停止
により生じたウェハWの残留電荷を迅速かつ確実に除去
することができる。すなわち,薄膜112aには,通
常,正の電荷が印加されているため,該電力の供給を停
止した後には,ウェハWに負の残留電荷が生じるが,発
明者らの知見によれば,処理室102内に上述の如く減
圧雰囲気が100mTorr〜500mTorrとなる
ように不活性ガスを導入すれば,上記ウェハWの負の残
留電荷がその不活性ガス中に放出され,または不活性ガ
ス中の正の電荷を帯びたガス分子と反応して中和される
ことが見出されている。その結果,後述するウェハWの
リフトアップ時には,ウェハWの残留電荷を実質的に除
去することができる。
Next, the processing chamber 1 is introduced by the introduction of N 2.
After a predetermined time elapses after the inside of the chamber 02 becomes a predetermined decompressed atmosphere, the power supply to the thin film 112a of the electrostatic chuck 112 is stopped. At this time, since the inert gas N 2 according to the present embodiment has already diffused in the predetermined state in the processing chamber 102, the wafer W remaining after the power supply to the thin film 112 a is stopped is stopped. Charges can be quickly and reliably removed. That is, since a positive charge is normally applied to the thin film 112a, a negative residual charge is generated on the wafer W after the supply of the power is stopped. If an inert gas is introduced into the chamber 102 so that the reduced-pressure atmosphere is 100 mTorr to 500 mTorr as described above, the negative residual charges of the wafer W are released into the inert gas or the positive charges in the inert gas are released. Has been found to react with charged gas molecules to be neutralized. As a result, when the wafer W is lifted up, which will be described later, the residual charges on the wafer W can be substantially removed.

【0029】次いで,図4に示すように,図1中の駆動
モータM110の作動により,下部電極106を上述し
た処理位置から搬送位置にまで降下させて,リフターピ
ン116を静電チャック112の吸着面に対して相対的
に上方に突き出させることにより,静電チャック112
上に載置されていたウェハWをリフトアップする。この
際,ウェハWに生じる残留電荷は,上述の如くすでに除
去されているため,本実施の形態の如くリフターピン1
16が絶縁性材料から構成されていても,ウェハWがリ
フターピン116上で揺れたり跳ね上がったりすること
を抑制することができ,ウェハWを静電チャック112
からスムーズに離すことができる。
Next, as shown in FIG. 4, the lower electrode 106 is lowered from the processing position described above to the transfer position by the operation of the drive motor M110 in FIG. By protruding upward relative to the surface, the electrostatic chuck 112
The wafer W placed thereon is lifted up. At this time, since the residual charges generated on the wafer W have already been removed as described above, the lifter pins 1 as in the present embodiment are used.
Even if the wafer 16 is made of an insulating material, the wafer W can be prevented from swinging or jumping up on the lifter pins 116, and the wafer W can be held on the electrostatic chuck 112.
Can be separated smoothly from

【0030】また,同時に,図1に示すゲートバルブ1
72が開放され,ロードロック室170内の搬送機構1
74が処理室102内に侵入した後,該搬送機構174
によりリフターピン116上に搭載された処理済みのウ
ェハWが処理室102内からロードロック室170内に
搬出される。この際,本実施の形態にかかるエッチング
方法では,上述の如く不活性ガス導入後の処理室102
内の減圧雰囲気が実質的に100mTorr〜500m
Torrに設定されるため,通常のロードロック室17
0内の減圧雰囲気と実質的に同一であり,特に処理室1
02内の減圧雰囲気を変化させなくても,ゲートバルブ
172を開放することができる。その結果,ウェハWの
残留電荷を除去した後に,迅速に処理済みのウェハWを
ロードロック室170内に搬出することができる。さら
に,処理室102内の減圧雰囲気が上記100mTor
r〜500mTorr程度に設定されているので,未処
理のウェハWを処理室102内に搬入した後の真空引き
を迅速に行うことができる。その結果,スループットの
低下を最小限に止めることができる。
At the same time, the gate valve 1 shown in FIG.
72 is opened and the transport mechanism 1 in the load lock chamber 170 is opened.
74 enters the processing chamber 102, the transfer mechanism 174
As a result, the processed wafer W mounted on the lifter pins 116 is carried out of the processing chamber 102 into the load lock chamber 170. At this time, in the etching method according to the present embodiment, as described above, the processing chamber 102 after the introduction of the inert gas is used.
The depressurized atmosphere inside is substantially 100 mTorr to 500 m
Because it is set to Torr, the normal load lock chamber 17
0 is substantially the same as the reduced pressure atmosphere.
The gate valve 172 can be opened without changing the depressurized atmosphere in the chamber 02. As a result, the processed wafer W can be quickly carried out into the load lock chamber 170 after removing the residual charge of the wafer W. Further, the reduced pressure atmosphere in the processing chamber 102 is set to 100 mTorr.
Since the pressure is set to about r to 500 mTorr, vacuuming after the unprocessed wafer W is loaded into the processing chamber 102 can be quickly performed. As a result, a decrease in throughput can be minimized.

【0031】本実施の形態にかかるエッチング方法は,
以上のように構成されており,処理室102内に不活性
ガスを導入してウェハWに生じた残留電荷を除去した後
に,ウェハWを静電チャック112から離し,リフトア
ップするので,そのリフトアップ時にウェハWと静電チ
ャック112との間での残留吸着の発生を抑制すること
ができる。その結果,リフターピン116が絶縁性材料
から構成され,ウェハWの残留電荷を逃がす電気的な経
路が形成されていなくても,ウェハWのリフトアップ時
にウェハWが揺れたり,跳ね上がったりすることを防止
できるため,処理済みのウェハWを確実に搬出すること
ができる。また,不活性ガス導入時の処理室102内の
圧力雰囲気は,100mTorr〜500mTorrに
設定されるので,処理室102内への不活性ガスの導入
を短時間で行うことができると共に,ゲートバルブ17
2の開放や,未処理のウェハWが処理室102内に搬入
された後の処理室102内の真空引きを迅速に行うこと
ができ,スループットが実質的に低下することがない。
The etching method according to the present embodiment comprises:
After the wafer W is removed from the electrostatic chuck 112 and lifted up after removing the residual charge generated on the wafer W by introducing an inert gas into the processing chamber 102, the lift is increased. It is possible to suppress the occurrence of residual suction between the wafer W and the electrostatic chuck 112 at the time of the up. As a result, even if the lifter pins 116 are made of an insulating material and an electric path for releasing the residual charge of the wafer W is not formed, the wafer W can be prevented from swinging or jumping when the wafer W is lifted up. Therefore, the processed wafer W can be reliably unloaded. Further, the pressure atmosphere in the processing chamber 102 at the time of introducing the inert gas is set to 100 mTorr to 500 mTorr, so that the inert gas can be introduced into the processing chamber 102 in a short time and the gate valve 17 can be used.
2, and the evacuation of the processing chamber 102 after the unprocessed wafer W is carried into the processing chamber 102 can be quickly performed, and the throughput is not substantially reduced.

【0032】[0032]

【実施例】次に,上記エッチング装置100でのリフト
アップ時のウェハWの揺れと,処理室102内の圧力雰
囲気との関係についての実施例を説明する。まず,ウェ
ハWの揺れ判定の測定条件について説明すると,ウェハ
Wは,8インチのシリコン基板上に1μmのSiO2
層が形成されたものを使用し,このウェハWに対して上
記実施の形態で説明した条件で2分間エッチング処理を
施した。また,エッチング装置100の設定条件は,N
2導入時の処理室102内の圧力のみを50mTorr
と,100mTorrと,300mTorrと,500
mTorrの各圧力雰囲気に変化させ,その他の条件
は,上記実施の形態と略同一に設定した。また,ウェハ
Wの揺れの判定は,目視して行い,上記各圧力条件下
で,それぞれ3回行った。
Next, an embodiment of the relationship between the swing of the wafer W during lift-up in the etching apparatus 100 and the pressure atmosphere in the processing chamber 102 will be described. First, the measurement conditions for judging the swing of the wafer W will be described. The wafer W is a silicon wafer having an 8-inch silicon substrate on which a 1 μm SiO 2 film layer is formed. The etching process was performed for 2 minutes under the conditions described in 2 above. The setting conditions of the etching apparatus 100 are N
(2) Only the pressure in the processing chamber 102 at the time of introduction is 50 mTorr
, 100 mTorr, 300 mTorr, and 500
The atmosphere was changed to each pressure atmosphere of mTorr, and other conditions were set substantially the same as in the above embodiment. Further, the determination of the swing of the wafer W was visually performed, and the determination was performed three times under each of the above pressure conditions.

【0033】その結果,次の表に示す関係を得た。As a result, the relationship shown in the following table was obtained.

【0034】[0034]

【表1】 [Table 1]

【0035】すなわち,処理室102内の圧力雰囲気を
50mTorrに設定した場合には,ウェハWの搬出に
支障が出る明らかな揺れが認められたが,同圧力雰囲気
を100mTorr,300mTorr,500mTo
rrのいずれかに設定した場合には,ウェハWの搬出に
影響しない軽度の揺れしか認められなかった。従って,
処理室102内の圧力雰囲気を,本実施例の如く100
mTorr〜500mTorrの範囲内に設定すれば,
ウェハWの残留電荷を解消し,リフトアップ時のウェハ
Wの揺れや跳ね上がりの発生を抑制することができる。
That is, when the pressure atmosphere in the processing chamber 102 was set to 50 mTorr, a clear swing which hindered the unloading of the wafer W was recognized, but the pressure atmosphere was changed to 100 mTorr, 300 mTorr, and 500 mTorr.
When set to any of rr, only a slight swing which did not affect the unloading of the wafer W was observed. Therefore,
The pressure atmosphere in the processing chamber 102 is changed to 100 as in this embodiment.
If it is set within the range of mTorr to 500 mTorr,
The residual charge of the wafer W can be eliminated, and the occurrence of the swing and the jump of the wafer W during the lift-up can be suppressed.

【0036】以上,本発明の好適な実施の形態および実
施例について,添付図面を参照しながら説明したが,本
発明はかかる構成に限定されるものではない。特許請求
の範囲に記載された技術的思想の範疇において,当業者
であれば,各種の変更例および修正例に想到し得るもの
であり,それら変更例および修正例についても本発明の
技術的範囲に属するものと了解される。
Although the preferred embodiments and examples of the present invention have been described with reference to the accompanying drawings, the present invention is not limited to such configurations. Within the scope of the technical concept described in the claims, those skilled in the art can come up with various modified examples and modified examples, and these modified examples and modified examples are also included in the technical scope of the present invention. It is understood that it belongs to.

【0037】例えば,上記実施の形態において,上部電
極と下部電極に供給する高周波電力を,図4に示すよう
に,エッチング処理終了後に処理時の電力から急激に停
止した構成を例に挙げて説明したが,本発明はかかる構
成に限定されるものではなく,例えば図5に示すよう
に,上記高周波電力を処理時の電力から段階的に低下さ
せた後,その供給を停止する構成を採用しても,本発明
を実施することができる。また,例えば,上部電極また
は下部電極に供給する高周波電力のみを,上述の如く段
階的に低下させる構成を採用しても,本発明を実施する
ことができる。
For example, in the above-described embodiment, a description will be given of an example in which the high-frequency power supplied to the upper electrode and the lower electrode is suddenly stopped from the power at the time of the etching process, as shown in FIG. However, the present invention is not limited to such a configuration. For example, as shown in FIG. 5, a configuration is adopted in which the high-frequency power is stepwise reduced from the power at the time of processing and then the supply is stopped. However, the present invention can be implemented. Further, for example, the present invention can be implemented by adopting a configuration in which only the high-frequency power supplied to the upper electrode or the lower electrode is reduced stepwise as described above.

【0038】また,上記実施の形態において,上部電極
と下部電極にそれぞれ高周波電力を印加するエッチング
装置を例に挙げて説明したが,本発明はかかる構成に限
定されるものではなく,上部電極または下部電極のみに
高周波電力を印加するプラズマ処理装置にも本発明を適
用することができる。さらに,処理室内に磁界を形成さ
せる磁石を備えたプラズマ処理装置にも,本発明を適用
することができる。また,本発明は,上述したエッチン
グ装置に限られず,例えばアッシング装置や,スパッタ
リング装置や,CVD装置などの各種プラズマ処理装置
にも適用することができる。さらに,被処理体として
は,被処理面にSiO2膜層が形成されたウェハのみな
らず,各種材料膜層が形成されたウェハや,LCD用ガ
ラス基板も採用することができる。
Further, in the above-described embodiment, the etching apparatus for applying high-frequency power to each of the upper electrode and the lower electrode has been described as an example. However, the present invention is not limited to such a configuration. The present invention can also be applied to a plasma processing apparatus that applies high-frequency power only to the lower electrode. Further, the present invention can be applied to a plasma processing apparatus having a magnet for forming a magnetic field in a processing chamber. Further, the present invention is not limited to the above-described etching apparatus, but can be applied to various plasma processing apparatuses such as an ashing apparatus, a sputtering apparatus, and a CVD apparatus. Further, as the object to be processed, not only a wafer having an SiO 2 film layer formed on a surface to be processed, but also a wafer having various material film layers formed thereon, and a glass substrate for LCD can be used.

【0039】[0039]

【発明の効果】本発明によれば,絶縁性材料から成る支
持部材を採用しても,所定の処理プロセスの終了後に揺
れや跳ね上がを生じさせることなくスムーズに静電チャ
ック上から被処理体を持ち上げることができる。その結
果,支持部材上の被処理体の配置位置を,常時所定位置
に位置決めすることができるので,被処理体の搬出を円
滑に行うことができる。
According to the present invention, even if a support member made of an insulating material is employed, the object to be processed can be smoothly processed from the electrostatic chuck without causing shaking or jumping after the completion of a predetermined processing process. Can lift body. As a result, the position of the object to be processed on the support member can always be positioned at a predetermined position, so that the object to be processed can be carried out smoothly.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明を適用可能なエッチング装置を示す概略
的な断面図である。
FIG. 1 is a schematic sectional view showing an etching apparatus to which the present invention can be applied.

【図2】図1に示すエッチング装置の上部電極付近を表
す概略的な要部拡大断面図であり,ウェハを静電チャッ
ク上に吸着した状態を示している。
FIG. 2 is a schematic enlarged cross-sectional view of a main part showing the vicinity of an upper electrode of the etching apparatus shown in FIG. 1, showing a state where a wafer is adsorbed on an electrostatic chuck.

【図3】図1に示すエッチング装置の上部電極付近を表
す概略的な要部拡大断面図であり,ウェハを静電チャッ
ク上からリフトアップした状態を示している。
FIG. 3 is a schematic enlarged cross-sectional view of a main part showing the vicinity of an upper electrode of the etching apparatus shown in FIG. 1, showing a state where a wafer is lifted up from above an electrostatic chuck.

【図4】図1に示すエッチング装置に適用されるエッチ
ング方法のタイミングチャートを表す概略的な説明図で
ある。
FIG. 4 is a schematic explanatory diagram showing a timing chart of an etching method applied to the etching apparatus shown in FIG.

【図5】図1に示すエッチング装置に適用される他のエ
ッチング方法のタイミングチャートを表す概略的な説明
図である。
FIG. 5 is a schematic explanatory view showing a timing chart of another etching method applied to the etching apparatus shown in FIG.

【符号の説明】[Explanation of symbols]

100 エッチング装置 102 処理室 106 下部電極 112 静電チャック 116 リフターピン 124,138 高周波電源 126 上部電極 126a ガス吐出孔 144,150,156 バルブ 152,158 流量調整バルブ 154,160 ガス供給源 162 真空引き機構 174 搬送機構 W ウェハ DESCRIPTION OF SYMBOLS 100 Etching apparatus 102 Processing chamber 106 Lower electrode 112 Electrostatic chuck 116 Lifter pin 124,138 High frequency power supply 126 Upper electrode 126a Gas discharge hole 144,150,156 Valve 152,158 Flow control valve 154,160 Gas supply source 162 Vacuum evacuation mechanism 174 Transport mechanism W Wafer

───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.6 識別記号 FI // C23C 14/34 C23C 14/34 S 16/50 16/50 ──────────────────────────────────────────────────続 き Continued on front page (51) Int.Cl. 6 Identification symbol FI // C23C 14/34 C23C 14/34 S 16/50 16/50

Claims (4)

【特許請求の範囲】[Claims] 【請求項1】 処理室内に配置された静電チャック上に
被処理体を載置し,前記静電チャックに対して電力を印
加して前記被処理体を保持した後,前記被処理体にプラ
ズマ処理を施すプラズマ処理方法において:前記プラズ
マ処理を施した後,前記処理室内にガスを導入する工程
と;前記静電チャックへの前記電力の供給を停止する工
程と;前記被処理体を支持する支持部材を前記静電チャ
ックに対して相対的に上昇させて,前記被処理体を前記
静電チャックから分離する工程と;を含むことを特徴と
する,プラズマ処理方法。
1. An object to be processed is placed on an electrostatic chuck disposed in a processing chamber, and power is applied to the electrostatic chuck to hold the object to be processed. In the plasma processing method of performing the plasma processing, a step of introducing a gas into the processing chamber after performing the plasma processing; a step of stopping the supply of the power to the electrostatic chuck; And e. Lifting a supporting member to be moved relative to the electrostatic chuck to separate the workpiece from the electrostatic chuck.
【請求項2】 前記処理室内にガスを導入する工程は,
前記ガスにより前記処理室内の圧力雰囲気を実質的に1
00mTorr〜500mTorrにする工程であるこ
とを特徴とする,請求項1に記載のプラズマ処理方法。
2. The step of introducing a gas into the processing chamber,
The gas reduces the pressure atmosphere in the processing chamber to substantially 1
2. The plasma processing method according to claim 1, wherein the process is performed at 00 mTorr to 500 mTorr.
【請求項3】 前記ガスは,不活性ガスであることを特
徴とする,請求項1または2のいずれかに記載のプラズ
マ処理方法。
3. The plasma processing method according to claim 1, wherein the gas is an inert gas.
【請求項4】 前記支持部材は,絶縁性材料から構成さ
れることを特徴とする,請求項1,2または3のいずれ
かに記載のプラズマ処理方法。
4. The plasma processing method according to claim 1, wherein the support member is made of an insulating material.
JP16130898A 1998-05-26 1998-05-26 Plasma processing method Expired - Lifetime JP4060941B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP16130898A JP4060941B2 (en) 1998-05-26 1998-05-26 Plasma processing method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP16130898A JP4060941B2 (en) 1998-05-26 1998-05-26 Plasma processing method

Publications (2)

Publication Number Publication Date
JPH11340208A true JPH11340208A (en) 1999-12-10
JP4060941B2 JP4060941B2 (en) 2008-03-12

Family

ID=15732644

Family Applications (1)

Application Number Title Priority Date Filing Date
JP16130898A Expired - Lifetime JP4060941B2 (en) 1998-05-26 1998-05-26 Plasma processing method

Country Status (1)

Country Link
JP (1) JP4060941B2 (en)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002252213A (en) * 2001-02-23 2002-09-06 Tokyo Electron Ltd Plasma etching method
JP2002353206A (en) * 2001-05-24 2002-12-06 Tokyo Electron Ltd Equipment for plasma treatment
JP2003188145A (en) * 2001-12-21 2003-07-04 Tokyo Electron Ltd Plasma treatment unit
WO2003085715A1 (en) * 2002-04-11 2003-10-16 Tokyo Electron Limited Method for suppressing charging of component in vacuum processing chamber of plasma processing system and plasma processing system
CN1296977C (en) * 2001-12-04 2007-01-24 安内华株式会社 Insulative film etching device
WO2007083795A1 (en) * 2006-01-20 2007-07-26 Tokyo Electron Limited Plasma processing equipment
JP2012204769A (en) * 2011-03-28 2012-10-22 Panasonic Corp Dry etching device
US8925351B2 (en) 2006-01-20 2015-01-06 Tokyo Electron Limited Manufacturing method of top plate of plasma processing apparatus
KR20180001495A (en) 2016-06-27 2018-01-04 도쿄엘렉트론가부시키가이샤 Substrate lifting mechanism, substrate mounting table, and substrate processing apparatus
WO2018042755A1 (en) * 2016-08-31 2018-03-08 株式会社日本製鋼所 Atomic layer growth device and atomic layer growth method
CN109312460A (en) * 2016-08-31 2019-02-05 株式会社日本制钢所 Plasma-Atomic layer grower and atomic layer growth method

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002252213A (en) * 2001-02-23 2002-09-06 Tokyo Electron Ltd Plasma etching method
JP2002353206A (en) * 2001-05-24 2002-12-06 Tokyo Electron Ltd Equipment for plasma treatment
CN1296977C (en) * 2001-12-04 2007-01-24 安内华株式会社 Insulative film etching device
JP2003188145A (en) * 2001-12-21 2003-07-04 Tokyo Electron Ltd Plasma treatment unit
US7592261B2 (en) 2002-04-11 2009-09-22 Tokyo Electron Limited Method for suppressing charging of component in vacuum processing chamber of plasma processing system and plasma processing system
WO2003085715A1 (en) * 2002-04-11 2003-10-16 Tokyo Electron Limited Method for suppressing charging of component in vacuum processing chamber of plasma processing system and plasma processing system
WO2007083795A1 (en) * 2006-01-20 2007-07-26 Tokyo Electron Limited Plasma processing equipment
US8925351B2 (en) 2006-01-20 2015-01-06 Tokyo Electron Limited Manufacturing method of top plate of plasma processing apparatus
JP2012204769A (en) * 2011-03-28 2012-10-22 Panasonic Corp Dry etching device
KR20180001495A (en) 2016-06-27 2018-01-04 도쿄엘렉트론가부시키가이샤 Substrate lifting mechanism, substrate mounting table, and substrate processing apparatus
WO2018042755A1 (en) * 2016-08-31 2018-03-08 株式会社日本製鋼所 Atomic layer growth device and atomic layer growth method
CN109312460A (en) * 2016-08-31 2019-02-05 株式会社日本制钢所 Plasma-Atomic layer grower and atomic layer growth method
CN109312459A (en) * 2016-08-31 2019-02-05 株式会社日本制钢所 Atomic layer growth device and atomic layer growth method
CN109312459B (en) * 2016-08-31 2020-12-18 株式会社日本制钢所 Atomic layer growth device and atomic layer growth method
US10889893B2 (en) 2016-08-31 2021-01-12 The Japan Steel Works, Ltd. Atomic layer deposition apparatus and atomic layer deposition method

Also Published As

Publication number Publication date
JP4060941B2 (en) 2008-03-12

Similar Documents

Publication Publication Date Title
US10115614B2 (en) Transfer chamber and method for preventing adhesion of particle
JP4394778B2 (en) Plasma processing apparatus and plasma processing method
US5997962A (en) Plasma process utilizing an electrostatic chuck
KR100735935B1 (en) Substrate processing method, system and program
KR101125430B1 (en) Workpiece de-chucking device of plasma reactor for dry-cleaning the inside of chamber and electro static chuck during de-chucking workpiece, and workpiece de-chucking method of the same
JP2879887B2 (en) Plasma processing method
US20080242086A1 (en) Plasma processing method and plasma processing apparatus
JP2019176031A (en) Plasma processing apparatus and method for conveying object to be processed
JP4060941B2 (en) Plasma processing method
JP4322484B2 (en) Plasma processing method and plasma processing apparatus
JP4642809B2 (en) Plasma processing method and plasma processing apparatus
JP2869384B2 (en) Plasma processing method
JP3162272B2 (en) Plasma processing method
US10847495B2 (en) Bonding system and bonding method
KR102500726B1 (en) Method of modifying surface, computer storage medium, apparatus for modifying surface and joining system
KR100319468B1 (en) Plasma Treatment Method
JP3118497B2 (en) Plasma processing apparatus and plasma processing method
JP2003163206A (en) Plasma treating device, plasma treating method and multi-chamber system
JP5497091B2 (en) Substrate processing method
JP2002367967A (en) Method and apparatus for treating plasma
JPH09129611A (en) Etching
KR102653253B1 (en) Substrate processing method and substrate processing apparatus
US20070281447A1 (en) Method of loading and/or unloading wafer in semiconductor manufacturing apparatus
KR20090071953A (en) A electrostatic of a semiconductor wafer and method sticking a wafer using the electrostatic
JP2001298013A (en) Substrate processing device

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050518

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20070411

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070417

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070611

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20071016

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20071121

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20071218

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20071221

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101228

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101228

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131228

Year of fee payment: 6

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term