JPH11330246A - 銅相互接続構造および形成方法 - Google Patents

銅相互接続構造および形成方法

Info

Publication number
JPH11330246A
JPH11330246A JP11097400A JP9740099A JPH11330246A JP H11330246 A JPH11330246 A JP H11330246A JP 11097400 A JP11097400 A JP 11097400A JP 9740099 A JP9740099 A JP 9740099A JP H11330246 A JPH11330246 A JP H11330246A
Authority
JP
Japan
Prior art keywords
copper
layer
forming
interconnect
opening
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP11097400A
Other languages
English (en)
Other versions
JP4302231B2 (ja
Inventor
Isuram Rabiuru
ラビウル・イスラム
V Geratos Abgelinos
アブゲリノス・ブイ・ゲラトス
Lucas Kevin
ケビン・ルーカス
Stanley M Filipiak
スタンレイ・エム・フィリピアク
Benkatoraman Ramunas
ラムナス・ベンカトラマン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Motorola Solutions Inc
Original Assignee
Motorola Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Motorola Inc filed Critical Motorola Inc
Publication of JPH11330246A publication Critical patent/JPH11330246A/ja
Application granted granted Critical
Publication of JP4302231B2 publication Critical patent/JP4302231B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

(57)【要約】 【課題】 銅相互接続部を用いた半導体素子を信頼性高
く製造可能な方法を提供する。 【解決手段】 一実施例では、半導体基板(10)上に
誘電体層(28)を堆積することによって、銅相互接続
構造を形成する。次に、誘電体層(28)にパターニン
グを行い、相互接続開口(29)を形成する。次に、相
互接続開口(29)内に銅層(34)を形成する。次
に、銅層(34)の一部を除去し、相互接続開口(2
9)内に銅相互接続部(39)を形成する。次に、銅相
互接続部(39)上に銅バリア層(40)を形成する。
アンモニアのみをソース・ガスとして用いて発生したプ
ラズマに銅相互接続部(39)の露出面を露出させるこ
とにより、銅バリア層(40)と銅相互接続部(39)
との間の接着性を向上させる。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、一般的に、半導体
素子に関し、更に特定すれば、半導体素子内部の銅相互
接続構造およびその形成方法に関するものである。
【0002】
【従来の技術】半導体業界では、従来よりアルミニウム
を用いて導電性相互接続を形成している。しかしなが
ら、今日、先進の半導体素子の速度要件を満たすために
は、アルミニウムによって与えられる抵抗よりも低い抵
抗を有する導電性相互接続部が必要となっている。銅
は、その抵抗が低いことのために、従来からのアルミニ
ウム相互接続部に取って代わるものとして最近提案され
た。銅は、アルミニウムとは異なり、現在半導体素子を
製造するために用いている多くの物質において、高い移
動性を呈する。したがって、半導体素子内に銅の相互接
続を使用するためには、銅バリア層を用いて、半導体素
子内部における銅の望ましくない拡散を防止する必要が
ある。しかしながら、バリア層を銅相互接続に接着する
ことには問題があり、半導体素子が故障する原因となる
ことが多い。
【0003】
【発明が解決しようとする課題】したがって、銅相互接
続部を用いて製造した半導体素子の信頼性向上を可能に
するメタライゼーション・プロセスが必要とされてい
る。
【0004】
【発明の実施の形態】図1に、本発明の一実施例による
半導体素子構造の一部5を示す。この半導体素子構造
は、半導体基板10,フィールド分離領域12,トラン
ジスタ14,導電性プラグ24,誘電体層22,エッチ
・ストップ層26,および誘電体層28を備えている。
トランジスタ14は、ソース/ドレイン領域16,ゲー
ト誘電体層18,およびゲート電極20を備えている。
一実施例では、半導体基板10は、単結晶シリコン基板
である。あるいは、半導体基板10は、絶縁物上シリコ
ン基板(silicon-on-insulator substrate),サファイア
上シリコン基板(silicon-on-sapphire substrate)等と
することも可能である。
【0005】一実施例では、フィールド分離領域12は
トレンチ分離領域であり、従来からのエッチングおよび
化学機械式研磨を用いて形成する。あるいは、フィール
ド分離領域12は、フィールド酸化物領域とすることも
可能であり、シリコン選択酸化法(LOCOS:local
oxidation of silicon),ポリ・バッファLOCOS
(PBL:poly-buffered LOCOS),ポリシリコン封入
選択酸化法(PELOX:polysilicon encapsulated l
ocal oxidation)等のような従来からの技法を用いて形
成する。
【0006】一実施例では、ゲート誘電体層18は熱二
酸化シリコン層であり、半導体基板10の一部を熱的に
酸化させることによって形成する。あるいは、ゲート誘
電体層18は、窒化シリコン層,酸窒化シリコン層,化
学蒸着二酸化シリコン層,窒化酸化物層,またはこれら
の組み合わせとすることも可能である。
【0007】一実施例では、ゲート電極20はポリシリ
コン層である。あるいは、ゲート電極20は、タングス
テンまたはモリブデンのような金属層,窒化チタンまた
は窒化タングステンのような窒化金属層,またはその組
み合わせとすることも可能である。加えて、ゲート電極
20は、ポリシリコン層の上に位置する、タングステン
・シリサイド,チタン・シリサイド,コバルト・シリサ
イドのような金属シリサイド層から成るポリサイド層と
することも可能である。
【0008】一実施例では、誘電体層22は、TEOS
をソース・ガスとして用いて形成する、プラズマ堆積酸
化物層である。あるいは、誘電体22は、窒化シリコン
層,PSG層,BPSG層,SOG層,酸窒化シリコン
層,ポリイミド層,またはこれらの組み合わせとするこ
とも可能である。
【0009】一実施例では、導電性プラグ24は、チタ
ン/窒化チタン・バリア層およびタングステン・コンタ
クト・フィル(tungsten contact fill)を用いて形成す
る。堆積した後、従来からのエッチングまたは化学機械
式研摩技法を用いて、タングステンおよび下地のチタン
/窒化チタン・バリア層を除去し、導電性プラグ24を
形成する。あるいは、導電性プラグ24は、コンタクト
・フィル材料としてポリシリコンを用いて形成すること
も可能である。
【0010】一実施例では、エッチ・ストップ層26は
酸窒化シリコン層であり、従来からのプラズマ堆積技法
を用いて形成する。あるいは、エッチ・ストップ層26
は、プラズマ堆積窒化シリコン層,窒化硼素層等とする
ことも可能である。
【0011】一実施例では、誘電体層28はプラズマ堆
積酸化物層であり、TEOSをソース・ガスとして用い
て形成する。あるいは、誘電体層28は、窒化シリコン
層,PSG層,BPSG層,SOG層,酸窒化シリコン
層,ポリイミド層等とすることも可能である。加えて、
前述の誘電体材料の組み合わせを用いて誘電体層28を
形成することも可能である。
【0012】図2において、誘電体層28の一部および
エッチ・ストップ層26の一部を除去し、導電性プラグ
24の一部を露出させ、相互接続開口29を形成する。
次に、相互接続開口29内部に、導電性バリア層30を
形成する。一実施例では、導電性バリア層30は窒化タ
ンタル層である。あるいは、導電性バリア層30は、窒
化チタン層,窒化タングステン層,窒化タンタル・シリ
コン層,タンタル層,チタン・タングステン層(Ti
W)等とすることも可能である。導電性バリア層30
は、従来からのスパッタリングまたは化学蒸着技法を用
いて堆積することができる。
【0013】次に、導電性バリア30の上に位置する第
1銅層32を形成する。図2に示すように、第1銅層3
2の厚さは、相互接続開口29を埋めるには不十分であ
る。一実施例では、第1銅層32を堆積するには、スパ
ッタ堆積プロセスを用いる。あるいは、化学蒸着プロセ
スを用いて、第1銅層32を形成してもよい。
【0014】次に、電気めっきプロセスを用いて、第1
銅層32上に第2銅層34を形成する。図2に示すよう
に、第2銅層34の厚さは、相互接続開口29を埋める
のに十分である。一実施例では、第2銅層34を堆積す
るには、銅(Cu),硫酸銅(Cu2SO4),硫酸(H
2SO4),および塩酸(HCl)からのもののような塩
素イオンから成るめっき溶液を用いる。この特定実施例
では、銅電気めっきプロセスの間、半導体基板のエッジ
付近において電流密度を変更し、銅の電気めっきの均一
性を改善する。これは、本願と同一譲受人に譲渡された
米国特許出願番号第08/856,459号に記載され
ている。尚、米国特許出願番号第08/856,459
号の主題は、本願でも使用可能である。あるいは、第2
銅層34を形成するには、他の電気めっき技法や他の電
気めっき溶液を用いてもよい。加えて、第2銅層34
は、化学蒸着のような、他の技法を用いて形成してもよ
い。
【0015】図3において、第2銅層34,第1銅層3
2および導電性バリア層30の部分を除去し、相互接続
開口29内に銅相互接続部39を形成する。この場合、
銅相互接続部39は、導電性バリア層30の残留部分3
6,第1銅層32の残留部分37,および第1銅層34
の残留部分38から成る。導電性バリア層30がチタ
ン,タングステン,またはタンタルから成る特定実施例
では、銅相互接続部39は、化学機械式研摩プロセスに
よって形成することも可能である。化学機械式研摩プロ
セスは、本願の譲受人に譲渡された米国特許出願番号第
08/954,190号に記載されているように、過酸
化水素,クエン酸アンモニウム,アルミナ,1,2,4
−トリアゾル,および脱イオン水から成る研磨スラリを
用いる。米国特許出願番号第08/954,190号の
主題は、本願でも使用可能である。あるいは、銅相互接
続部39を形成するには、イオン・ビーム・ミリング(i
on beam milling),反応性イオン・ビーム・エッチン
グ,およびプラズマ・エッチングのような従来からのエ
ッチング技法を用いたり、またはエッチングおよび研磨
技法の組み合わせを用いることも可能である。
【0016】次に、銅相互接続部39上にシリコンおよ
び窒素から成る銅バリア層40を形成する。銅バリア層
40は、銅相互接続部39内部の銅原子が、後に銅相互
接続部39上に堆積される誘電体層内に拡散するのを防
止するために用いられる。一実施例では、銅バリア層4
0は、365ナノメートル以下のフォトレジスト露出波
長に対して約0.0ないし約0.15の範囲の吸光係数
(k)を有する。更に具体的には、銅バリア層40は、
365ナノメートルおよび248ナノメートルのフォト
レジスト露出波長において、約0.0ないし約0.15
の範囲の吸光係数(k)を有する。
【0017】銅相互接続部39と銅バリア層40間の接
着性を改善するために、水素を含む無シリコン・プラズ
マ(silicon-free plasma)に銅相互接続部39を露出さ
せる。水素を含む無シリコン・プラズマを発生するに
は、無シリコン・ソース・ガスまたは無シリコン・ソー
ス・ガス群を用い、これらをプラズマ・チャンバに供給
する。例えば、一実施例では、水素を含む無シリコン・
プラズマは、アンモニア(NH3)のみをソース・ガス
として用いて発生し、本質的に水素および窒素から成る
プラズマを発生させる。この特定実施例では、本質的に
水素および窒素から成るプラズマは、約8.0torr
の堆積圧力,約400sccmのアンモニア流量,約2
00ワットのRF電力,約650ミルの間隔,および約
400℃の堆積温度という条件の下で発生する。あるい
は、水素を含む無シリコン・プラズマは、水素(H2
のみをソース・ガスとして用い、あるいは窒素
(N2),ヘリウム(He),またはアルゴン(Ar)
のような不活性ソース・ガスと水素を組み合わせて用い
て発生することも可能である。プラズマ・プロセスは、
銅バリア層40と銅相互接続部39との間の接着性を低
下させる可能性がある酸化銅を、銅相互接続部39の露
出面から除去すると考えられる。即ち、プラズマ内の水
素が、銅相互接続部表面上の酸化銅と反応して揮発性の
水を形成し、これを吸い出し、プラズマ内の窒素が銅表
面に衝突することによって、酸化銅の還元を補助すると
考えられる。加えて、続く銅バリア層の堆積と同じチャ
ンバ内でこの清浄化プロセスを行うことにより、清浄化
された銅表面は、堆積前には空気に再度露出されず、再
度酸化されることがなくなる。前述のプラズマ・プロセ
スは、銅相互接続部の抵抗を低下させず、しかも隣接す
る銅相互接続部間の漏れ電流を悪化させることもなく、
接着性を高めることを注記するのは重要である。
【0018】一実施例では、銅バリア層40は、酸窒化
シリコン(Sixyz)層である。この特定実施例で
は、銅バリア層40は、Applied Materials社のDXZチャ
ンバを取り付けたCenturaプラズマ堆積システムにおい
て、約5.0torrの堆積圧力,約73sccmのシ
ラン流量,約92sccmの亜酸化窒素流量,約390
0sccmの窒素流量,約500ワットのRF電力,約
475ミルの間隔,および約400℃の堆積温度という
堆積条件を用いて形成する。約365ナノメートルのフ
ォトレジスト露出波長において、前述の酸窒化シリコン
層は、約1.66の屈折率および約0.0の吸光係数を
有する。
【0019】別の実施例では、銅バリア層40は、プラ
ズマ堆積窒化シリコン(Sixy)層である。この特定
実施例では、銅バリア層40は、Applied Materials社
のDXZチャンバを取り付けたCenturaプラズマ堆積システ
ムにおいて、約5.0torrの堆積圧力,約100s
ccmのシラン流量,約140sccmのアンモニア流
量,約4000sccmの窒素流量,約450ワットの
RF電力,約610ミルの間隔,および約400℃の堆
積温度という堆積条件を用いて形成する。約365ナノ
メートルのフォトレジスト露出波長において、前述の窒
化シリコン層は、約2.05の屈折率および約0.0の
吸光係数を有する。
【0020】銅バリア層40は、インレイド銅メタライ
ゼーション(inlaid copper metallization)と確実に一
体化され得ることを注記するのは重要である。その理由
は、銅バリア層40は銅相互接続部39に接着し、銅相
互接続部39の抵抗にも、隣接するインレイド銅相互接
続部間の漏れ電流にも悪影響を及ぼすことがないからで
ある。即ち、約2400オングストローム離間されたイ
ンレイド銅相互接続部間の漏れ電流は、銅バリア層40
によって被覆した場合、1ナノアンペア未満であること
がわかっている。このように、本発明は、銅相互接続部
を用いて、漏れ電流の少ない半導体素子を製造すること
も可能にする。
【0021】一実施例では、銅バリア層40に隣接する
シリコンおよび窒素を含有する反射防止層41も形成す
る。反射防止層41は、約5ナノメートルないし約10
0ナノメートルの範囲の厚さを有する。反射防止層41
は、約365ナノメートル以下のフォトレジスト露出波
長において、約0.2ないし約1.0の範囲の吸光係数
(k)を有する。具体的には、反射防止層41は、36
5ナノメートルおよび248ナノメートルのフォトレジ
スト露出波長において、約0.2ないし約1.0の範囲
の吸光係数(k)を有する。このように、同一フォトレ
ジスト露出波長に対して、銅バリア層40は、反射防止
層41の吸光係数よりも小さい吸光係数を有する。
【0022】一実施例では、反射防止層41は、酸窒化
シリコン(Sixyz)層である。この特定実施例で
は、反射防止層41は、Applied Materials社のDXZチャ
ンバを取り付けたCenturaプラズマ堆積システムにおい
て、約5.0torrの堆積圧力,約300sccmの
シラン流量,約92sccmの亜酸化窒素流量,約39
00sccmの窒素流量,約520ワットのRF電力,
約475ミルの間隔,および約400℃の堆積温度とい
う堆積条件を用いて形成する。約365ナノメートルの
フォトレジスト露出波長において、前述の酸窒化シリコ
ン層は、約2.8の屈折率および約0.3の吸光係数を
有する。
【0023】尚、銅バリア層40および反射防止層41
の光学特性は、それぞれの堆積プロセスを調節すること
により、個々に変更可能であることは認められよう。例
えば、先に論じた、反射防止層41を堆積するために用
いるシラン流量を約330sccmに変更すると、その
結果、約365ナノメートルのフォトレジスト露出波長
において、約0.40の吸光係数を有する酸窒化シリコ
ン層が得られる。反射防止層41の吸光係数が増大する
のは、シラン流量の増大によって、酸窒化シリコン層内
のシリコン濃度が上昇するからである。同様に、シラン
流量を減少させると、酸窒化シリコン層内のシリコン濃
度が低下し、その吸光係数も減少する。したがって、反
射防止層41および銅バリア層40の光学特性は、独立
して変更することができる。例えば、銅バリア層40
は、反射防止層41のシリコン濃度よりも低いシリコン
濃度を有するように形成することができ、したがって、
銅バリア層40は、同一のフォトレジスト露出波長に対
して、反射防止層41よりも小さい吸光係数を有するこ
とができる。加えて、シリコン,酸素,窒素以外の成分
も、銅バリア層40および反射防止層41を形成するた
めに用いる酸窒化シリコン層に含ませてもよいことを注
記しておく。更に、シリコンおよび窒素以外の成分を、
銅バリア層40を形成するために用いる窒化シリコン層
内に含ませてもよい。例えば、水素がこれら窒化物層内
に存在してもよい。
【0024】反射防止層41上に、レベル間誘電体層4
8を形成する。一実施例では、図4に示すように、レベ
ル間誘電体層48は、誘電体層42,エッチ・ストップ
層44および誘電体層46から成る。
【0025】誘電体層42は、TEOSをソース・ガス
として用いて堆積する、プラズマ堆積酸化物層とすれば
よい。あるいは、誘電体層42は、PSG層,BPSG
層,SOG層,ポリイミド層,低誘電率絶縁体等とする
ことも可能である。
【0026】エッチ・ストップ層44は、プラズマ堆積
酸窒化シリコン層とすればよい。あるいは、エッチ・ス
トップ層44は、プラズマ堆積窒化シリコン層,窒化硼
素層等とすることも可能である。
【0027】誘電体層46は、TEOSをソース・ガス
として用いて堆積する、プラズマ堆積酸化物層とすれば
よい。あるいは、誘電体層46は、PSG層,BPSG
層,SOG層,ポリイミド層,低誘電率絶縁体等とする
ことも可能である。尚、レベル間誘電体層48は、異な
る誘電体材料を用いて形成する必要はないことは認めら
れよう。例えば、レベル間誘電体層48は、プラズマ堆
積酸化物,PSG,BPSG,SOG,ポリイミド,低
誘電率絶縁体等のような単一の誘電体材料を用いて形成
することも可能である。
【0028】次に、誘電体層48上にフォトレジスト・
マスク51を形成する。フォトレジスト・マスク51を
形成するには、365ナノメートルまたは248ナノメ
ートルというような、選択したフォトレジスト露出波長
を有する電磁放射線を用いる。反射防止層41は、非常
に反射性が高い銅相互接続部39上のフォトレジストを
リソグラフによってに露出させる場合に発生する可能性
がある、フォトレジスト・マスク51内の反射性ノッチ
ング(reflective notching)を減少させることを注記す
るのは重要である。次に、図5に示すように、フォトレ
ジスト・マスク51を用いて、下地の誘電体層48の一
部にパターニングを行う。より具体的には、誘電体層4
6およびエッチ・ストップ層44の一部を除去して、誘
電体層48内に相互接続開口52を形成する。次に、相
互接続開口52を形成した後、フォトレジスト・マスク
51を除去する。
【0029】図6において、次に、誘電体層48上にフ
ォトレジスト・マスク53を形成する。フォトレジスト
・マスク53を形成するには、365ナノメートルまた
は248ナノメートルというような、選択したフォトレ
ジスト露出波長を有する電磁放射線を用いる。反射防止
層41は、非常に反射性が高い銅相互接続部39上のフ
ォトレジストをリソグラフによってに露出させる場合に
発生する可能性がある、フォトレジスト・マスク53内
の反射性ノッチングを減少させることを注記するのは重
要である。次に、図6に示すように、フォトレジスト・
マスク53を用いて、下地の誘電体層48の一部にパタ
ーニングを行う。より具体的には、誘電体層42の一
部、反射防止層41,および銅バリア層40を除去し、
銅相互接続部39の一部を露出させるビア開口54を形
成する。また、この結果、誘電体層48内にデュアル・
インレイド開口(dual inlaid opening)50も形成され
る。デュアル・インレイド開口50は、相互接続部分5
2およびビア部分54から成る。次に、誘電体層48内
にデュアル・インレイド開口50を形成した後、フォト
レジスト・マスク53を除去する。
【0030】図7において、次に、デュアル・インレイ
ド開口50内に第2導電性バリア層を形成する。一実施
例では、第2導電性バリア層は窒化タンタル層である。
あるいは、第2導電性バリア層は、窒化チタン層,窒化
タングステン層,窒化タンタル・シリコン層,タンタル
層,チタン・タングステン層(TiW)等とすることも
可能である。第2導電性バリア層を堆積するには、従来
からのスパッタリングまたは化学蒸着技法を用いればよ
い。
【0031】次に、第2導電性バリア層上に第3銅層を
形成する。図7に示すように、第3銅層の厚さは、デュ
アル・インレイド開口50を埋めるには不十分である。
一実施例では、第3銅層を形成するには、スパッタ堆積
プロセスを用いる。あるいは、第3銅層を形成するに
は、化学蒸着プロセスを用いることも可能である。
【0032】次に、電気めっきプロセスを用いて、第3
銅層上に第4銅層を形成する。第4銅層の厚さは、デュ
アル・インレイド開口50を埋めるのに十分である。一
実施例では、第4銅層を堆積するには、銅(Cu),硫
酸銅(Cu2SO4),硫酸(H2SO4),および塩酸
(HCl)からのもののような塩素イオンから成るめっ
き溶液を用いる。この特定実施例では、銅電気めっきプ
ロセスの間、半導体基板のエッジ付近において電流密度
を変更し、銅の電気めっき均一性を改善する。これは、
本願と同一譲受人に譲渡された米国特許出願番号第08
/856,459号に記載されている。尚、米国特許出
願番号第08/856,459号の主題は、本願でも使
用可能である。あるいは、第4銅層を形成するには、他
の電気めっき技法や他の電気めっき溶液を用いることも
可能である。加えて、第4銅層は、化学蒸着のような、
他の技法を用いて形成することも可能である。
【0033】次に、第4銅層,第3銅層および第2導電
性バリア層の部分を除去し、デュアル・インレイド開口
50内に銅相互接続部62を形成する。この場合、銅相
互接続部62は、第2導電性バリア層の残留部分57,
第3銅層の残留部分59および第4銅層の残留部分60
から成る。第2導電性バリア層がチタン,タングステ
ン,またはタンタルから成る特定実施例では、銅相互接
続部62は、化学機械式研摩プロセスによって形成する
ことが可能である。化学機械式研摩プロセスは、本願の
譲受人に譲渡された米国特許出願番号第08/954,
190号に記載されているように、過酸化水素,クエン
酸アンモニウム,アルミナ,1,2,4−トリアゾル,
および脱イオン水から成る研磨スラリを用いる。米国特
許出願番号第08/954,190号の主題は、本願で
も使用可能である。あるいは、銅相互接続部62を形成
するには、イオン・ビーム・ミリング(ion beam millin
g),反応性イオン・ビーム・エッチング,およびプラズ
マ・エッチングのような従来からのエッチング技法を用
いたり、またはエッチングおよび研磨技法の組み合わせ
を用いることも可能である。
【0034】次に、図3において既に述べたように、銅
相互接続部62上にシリコンおよび窒素から成る銅バリ
ア層64を形成する。追加の相互接続レベルが不要な場
合、銅バリア層64は最終的な素子のパシベーション層
として機能する。続いて、これを貫通するボンド・パッ
ド開口(図示せず)を形成する。あるいは、追加の相互
接続レベルが必要な場合、図3ないし図7において述べ
た工程を繰り返す。
【0035】以上の説明から、本発明によれば、銅相互
接続部を用いた半導体素子を信頼性高く製造可能なメタ
ライゼーション・プロセスが提供されたことが明らかで
あろう。本発明は、その具体的な実施例を参照しながら
説明しかつ図示したが、本発明は図示したこれらの実施
例に限定されることを意図するものではない。本発明の
精神および範囲から逸脱することなく、変更や変形が可
能であることを当業者は認めよう。したがって、本発明
は、特許請求の範囲に該当する変形および変更全てを包
含することを意図するものである。
【図面の簡単な説明】
【図1】本発明の一実施例によるプロセス工程を示す断
面図。
【図2】本発明の一実施例によるプロセス工程を示す断
面図。
【図3】本発明の一実施例によるプロセス工程を示す断
面図。
【図4】本発明の一実施例によるプロセス工程を示す断
面図。
【図5】本発明の一実施例によるプロセス工程を示す断
面図。
【図6】本発明の一実施例によるプロセス工程を示す断
面図。
【図7】本発明の一実施例によるプロセス工程を示す断
面図。
【符号の説明】
5 半導体素子構造の一部 10 半導体基板 12 フィールド分離領域 14 トランジスタ 16 ソース/ドレイン領域 18 ゲート誘電体層 20 ゲート電極 22,28,42,46 誘電体層 24 導電性プラグ 26,44 エッチ・ストップ層 29,52 相互接続開口 30 導電性バリア層 32 第1銅層 34 第2銅層 36 導電性バリア層30の残留部分 37 第1銅層32の残留部分 38 第1銅層34の残留部分 39,62 銅相互接続部 40 銅バリア層 41 反射防止層 48 レベル間誘電体層 51,53 フォトレジスト・マスク 54 ビア開口 50 デュアル・インレイド開口 57 第2導電性バリア層の残留部分 59 第3銅層の残留部分 60 第4銅層の残留部分
───────────────────────────────────────────────────── フロントページの続き (72)発明者 アブゲリノス・ブイ・ゲラトス アメリカ合衆国カリフォルニア州レッドウ ッド・シティ、イートン・アベニュー2651 (72)発明者 ケビン・ルーカス アメリカ合衆国テキサス州オースチン、リ ッジリー・ドライブ4001 (72)発明者 スタンレイ・エム・フィリピアク アメリカ合衆国テキサス州フルガービル、 グリーンウェイ・ドライブ500 (72)発明者 ラムナス・ベンカトラマン アメリカ合衆国テキサス州オースチン、ハ ローゲート・ドライブ6221

Claims (5)

    【特許請求の範囲】
  1. 【請求項1】半導体素子内部に銅相互接続構造を形成す
    る方法であって:半導体基板を用意する段階;前記半導
    体基板上に誘電体層を形成する段階;前記誘電体層にパ
    ターニングを行い、該誘電体層内に開口を形成する段
    階;前記半導体基板上に銅層を形成し、前記開口内に前
    記銅層を配する段階;前記銅層を研磨し、前記開口内に
    銅相互接続部を形成する段階であって、該銅相互接続部
    が上面を有する、段階;前記銅相互接続部を、水素を含
    むプラズマに露出させ、前記銅相互接続部の前記上面か
    ら酸化銅を除去し、清浄化した銅表面を形成する段階;
    および前記清浄化した銅表面上に銅バリア層を形成し、
    該銅バリア層を形成する前に、前記清浄化した銅層を再
    度酸化させない段階;から成ることを特徴とする方法。
  2. 【請求項2】半導体素子内部に銅相互接続構造を形成す
    る方法であって:半導体基板を用意する段階;前記半導
    体基板上に誘電体層を形成する段階;前記誘電体層にパ
    ターニングを行い、該誘電体層内に開口を形成する段
    階;前記半導体基板上に銅層を形成し、前記開口内に前
    記銅層を配する段階;前記銅層を研磨し、前記開口内に
    銅相互接続部を形成する段階であって、該銅相互接続部
    が上面を有する、段階;前記銅相互接続部の前記上面か
    ら酸化銅を除去し、清浄化した銅表面を形成する段階;
    および前記清浄化した銅表面上に銅バリア層を形成する
    段階;から成ることを特徴とする方法。
  3. 【請求項3】半導体素子内部に銅相互接続構造を形成す
    る方法であって:半導体基板を用意する段階;前記半導
    体基板上に誘電体層を形成する段階;前記誘電体層にパ
    ターニングを行い、該誘電体層内に開口を形成する段
    階;前記半導体基板上に銅層を形成し、前記開口内に前
    記銅層を配する段階;前記銅層を研磨し、前記開口内に
    銅相互接続部を形成する段階であって、該銅相互接続部
    が上面を有する、段階;前記銅相互接続部を、水素およ
    び窒素を含むプラズマに露出させ、前記銅相互接続部の
    前記上面から酸化銅を除去し、かつ清浄化した銅表面を
    形成する段階;前記清浄化した銅表面上に銅バリア層を
    形成し、前記銅バリア層を形成する前に、前記清浄化し
    た銅表面を再度酸化させない段階;から成ることを特徴
    とする方法。
  4. 【請求項4】半導体素子内部に銅相互接続構造を形成す
    る方法であって:半導体基板を用意する段階;前記半導
    体基板上に誘電体層を形成する段階;前記誘電体層にパ
    ターニングを行い、該誘電体層内に開口を形成する段
    階;前記半導体基板上に銅層を形成し、前記開口内に前
    記銅層を配する段階;前記銅層を研磨し、前記開口内に
    銅相互接続部を形成する段階であって、該銅相互接続部
    が上面を有する、段階;前記銅相互接続部を、水素を含
    む無シリコン・プラズマに露出させて、前記銅相互接続
    部の前記上面から酸化銅を除去し、かつ清浄化した銅表
    面を形成する段階;および前記清浄化した銅表面上に銅
    バリア層を形成する段階;から成り、前記銅相互接続部
    を露出させる段階,および前記銅バリア層を形成する段
    階を同一チャンバ内で行うことを特徴とする方法。
  5. 【請求項5】半導体素子内部に銅相互接続構造を形成す
    る方法であって:半導体基板を用意する段階;前記半導
    体基板上に誘電体層を形成する段階;前記誘電体層にパ
    ターニングを行い、該誘電体層内に開口を形成する段
    階;前記半導体基板上に銅層を形成し、前記開口内に前
    記銅層を配する段階;前記銅層を研磨し、前記開口内に
    銅相互接続部を形成する段階であって、該銅相互接続部
    が上面を有する、段階;前記銅相互接続部を、水素およ
    び窒素を含むプラズマに露出させて、前記銅相互接続部
    の前記上面から酸化銅を除去し、かつ清浄化した銅表面
    を形成する段階;および前記清浄化した銅表面上に、シ
    リコンおよび窒素を含む銅バリア層を形成する段階;か
    ら成り、前記銅相互接続部を露出する段階および前記銅
    バリア層を形成する段階を同一チャンバ内で行うことを
    特徴とする方法。
JP09740099A 1998-04-06 1999-04-05 銅相互接続構造の形成方法 Expired - Fee Related JP4302231B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/055,510 US6174810B1 (en) 1998-04-06 1998-04-06 Copper interconnect structure and method of formation
US055510 1998-04-06

Publications (2)

Publication Number Publication Date
JPH11330246A true JPH11330246A (ja) 1999-11-30
JP4302231B2 JP4302231B2 (ja) 2009-07-22

Family

ID=21998333

Family Applications (1)

Application Number Title Priority Date Filing Date
JP09740099A Expired - Fee Related JP4302231B2 (ja) 1998-04-06 1999-04-05 銅相互接続構造の形成方法

Country Status (6)

Country Link
US (1) US6174810B1 (ja)
JP (1) JP4302231B2 (ja)
KR (1) KR100531561B1 (ja)
CN (1) CN1127132C (ja)
SG (1) SG74130A1 (ja)
TW (1) TW418495B (ja)

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1073106A2 (en) * 1999-07-30 2001-01-31 Applied Materials, Inc. Method for reducing oxidation of an interface of a semiconductor device and resulting device
JP2001176967A (ja) * 1999-12-21 2001-06-29 Nec Corp 半導体装置及びその製造方法
WO2002001627A1 (fr) * 2000-06-26 2002-01-03 Hitachi, Ltd. Dispositif a semi-conducteur et procede de fabrication associe
WO2002009173A2 (en) * 2000-07-26 2002-01-31 Advanced Micro Devices, Inc. Method of forming copper interconnect capping layers with improved interface and adhesion
JP2002343858A (ja) * 2001-05-11 2002-11-29 Sony Corp 半導体装置およびその製造方法
US6555911B1 (en) 1999-11-25 2003-04-29 Nec Electronics Corporation Semiconductor device and method of manufacturing interconnections thereof using copper and tungsten in predetermined ratios
JP2003530694A (ja) * 2000-04-11 2003-10-14 マイクロン テクノロジー インコーポレイテッド 銅保護層及び熱伝導体としての窒化アルミニウムの使用
JP2003347299A (ja) * 2002-05-24 2003-12-05 Renesas Technology Corp 半導体集積回路装置の製造方法
US6716749B2 (en) 1999-08-10 2004-04-06 Renesas Technology Corporation Semiconductor integrated circuit device and manufacturing method of semiconductor integrated circuit device
US6723631B2 (en) 2000-09-29 2004-04-20 Renesas Technology Corporation Fabrication method of semiconductor integrated circuit device
US6787480B2 (en) * 2001-02-21 2004-09-07 Nec Corporation Manufacturing method of semicondcutor device
JP2006165597A (ja) * 2006-02-10 2006-06-22 Renesas Technology Corp 半導体装置の製造方法
JP2007227958A (ja) * 2002-05-08 2007-09-06 Nec Electronics Corp 半導体装置
KR101094681B1 (ko) 2003-12-16 2011-12-20 램 리써치 코포레이션 레지스트 박리 동안 다공성 로우-k 재료의 손상을방지하는 방법
US8642467B2 (en) 2002-05-08 2014-02-04 Renesas Electronics Corporation Semiconductor device having silicon-diffused metal wiring layer and its manufacturing method

Families Citing this family (132)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6492266B1 (en) * 1998-07-09 2002-12-10 Advanced Micro Devices, Inc. Method of forming reliable capped copper interconnects
JP4014738B2 (ja) * 1998-09-15 2007-11-28 株式会社東芝 半導体ウェーハの製造方法
JP2000150647A (ja) * 1998-11-11 2000-05-30 Sony Corp 配線構造およびその製造方法
US7381642B2 (en) * 2004-09-23 2008-06-03 Megica Corporation Top layers of metal for integrated circuits
US7405149B1 (en) * 1998-12-21 2008-07-29 Megica Corporation Post passivation method for semiconductor chip or wafer
US6107188A (en) * 1999-08-16 2000-08-22 Taiwan Semiconductor Manufacturing Company Passivation method for copper process
US6423625B1 (en) * 1999-08-30 2002-07-23 Taiwan Semiconductor Manufacturing Company Ltd. Method of improving the bondability between Au wires and Cu bonding pads
US6734110B1 (en) * 1999-10-14 2004-05-11 Taiwan Semiconductor Manufacturing Company Damascene method employing composite etch stop layer
US6383925B1 (en) * 2000-02-04 2002-05-07 Advanced Micro Devices, Inc. Method of improving adhesion of capping layers to cooper interconnects
US6492267B1 (en) * 2000-02-11 2002-12-10 Micron Technology, Inc. Low temperature nitride used as Cu barrier layer
US6613671B1 (en) * 2000-03-03 2003-09-02 Micron Technology, Inc. Conductive connection forming methods, oxidation reducing methods, and integrated circuits formed thereby
JP3664939B2 (ja) * 2000-04-14 2005-06-29 富士通株式会社 Cmosイメージセンサ及びその製造方法
US6287960B1 (en) * 2000-05-08 2001-09-11 Motorola, Inc. Self aligned dual inlaid patterning and etching
US6294460B1 (en) * 2000-05-31 2001-09-25 Advanced Micro Devices, Inc. Semiconductor manufacturing method using a high extinction coefficient dielectric photomask
US6348406B1 (en) * 2000-05-31 2002-02-19 Advanced Micro Devices, Inc. Method for using a low dielectric constant layer as a semiconductor anti-reflective coating
US6576550B1 (en) * 2000-06-30 2003-06-10 Infineon, Ag ‘Via first’ dual damascene process for copper metallization
US6689682B1 (en) * 2000-08-11 2004-02-10 Advanced Micro Devices, Inc. Multilayer anti-reflective coating for semiconductor lithography
US6500754B1 (en) * 2000-11-02 2002-12-31 Advanced Micro Devices, Inc. Anneal hillock suppression method in integrated circuit interconnects
US6348410B1 (en) * 2000-11-02 2002-02-19 Advanced Micro Devices, Inc. Low temperature hillock suppression method in integrated circuit interconnects
DE10059143B4 (de) * 2000-11-29 2006-12-28 Advanced Micro Devices, Inc., Sunnyvale Oberflächenbehandlungs- und Deckschichtverfahren zur Herstellung einer Kupfergrenzfläche in einem Halbleiterbauteil
JP2002198370A (ja) * 2000-12-26 2002-07-12 Mitsubishi Electric Corp 半導体装置および半導体装置の製造方法
US7172960B2 (en) * 2000-12-27 2007-02-06 Intel Corporation Multi-layer film stack for extinction of substrate reflections during patterning
US6576982B1 (en) * 2001-02-06 2003-06-10 Advanced Micro Devices, Inc. Use of sion for preventing copper contamination of dielectric layer
US6562416B2 (en) * 2001-05-02 2003-05-13 Advanced Micro Devices, Inc. Method of forming low resistance vias
US6432822B1 (en) * 2001-05-02 2002-08-13 Advanced Micro Devices, Inc. Method of improving electromigration resistance of capped Cu
JP2003017564A (ja) * 2001-07-04 2003-01-17 Fujitsu Ltd 半導体装置およびその製造方法
US6429128B1 (en) * 2001-07-12 2002-08-06 Advanced Micro Devices, Inc. Method of forming nitride capped Cu lines with reduced electromigration along the Cu/nitride interface
JP2003068848A (ja) * 2001-08-29 2003-03-07 Fujitsu Ltd 半導体装置及びその製造方法
US6727176B2 (en) * 2001-11-08 2004-04-27 Advanced Micro Devices, Inc. Method of forming reliable Cu interconnects
CN1220258C (zh) * 2001-12-27 2005-09-21 松下电器产业株式会社 布线结构的形成方法
CN1220259C (zh) * 2001-12-27 2005-09-21 松下电器产业株式会社 布线结构的形成方法
CN1207773C (zh) * 2001-12-27 2005-06-22 松下电器产业株式会社 布线结构的形成方法
CN1198331C (zh) * 2001-12-27 2005-04-20 松下电器产业株式会社 布线结构的形成方法
US20030134495A1 (en) * 2002-01-15 2003-07-17 International Business Machines Corporation Integration scheme for advanced BEOL metallization including low-k cap layer and method thereof
US6709971B2 (en) * 2002-01-30 2004-03-23 Intel Corporation Interconnect structures in a semiconductor device and processes of formation
US6656840B2 (en) * 2002-04-29 2003-12-02 Applied Materials Inc. Method for forming silicon containing layers on a substrate
KR100904613B1 (ko) * 2002-12-23 2009-06-25 매그나칩 반도체 유한회사 구리 배선의 캐핑층 형성 방법
US7056826B2 (en) * 2003-01-07 2006-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming copper interconnects
KR100508094B1 (ko) * 2003-06-26 2005-08-17 삼성전자주식회사 커패시터를 구비하는 반도체 소자 및 그 형성 방법
KR100555513B1 (ko) * 2003-08-04 2006-03-03 삼성전자주식회사 보이드 발생이 방지되는 금속배선구조 및 금속배선방법
US7078336B2 (en) * 2003-11-19 2006-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for fabricating a copper barrier layer with low dielectric constant and leakage current
US7074701B2 (en) * 2003-11-21 2006-07-11 Taiwan Semiconductor Manufacturing Company Method of forming a borderless contact opening featuring a composite tri-layer etch stop material
JP4339152B2 (ja) * 2004-03-08 2009-10-07 富士通マイクロエレクトロニクス株式会社 配線構造の形成方法
US7229911B2 (en) * 2004-04-19 2007-06-12 Applied Materials, Inc. Adhesion improvement for low k dielectrics to conductive materials
US20050233555A1 (en) * 2004-04-19 2005-10-20 Nagarajan Rajagopalan Adhesion improvement for low k dielectrics to conductive materials
KR100632115B1 (ko) * 2004-12-29 2006-10-04 동부일렉트로닉스 주식회사 반도체 소자의 금속 배선 형성 방법
JP4941921B2 (ja) * 2005-03-14 2012-05-30 株式会社アルバック 選択W−CVD法及びCu多層配線の製作法
US7192855B2 (en) * 2005-04-15 2007-03-20 Freescale Semiconductor, Inc. PECVD nitride film
CN101123210B (zh) * 2006-08-10 2013-04-17 中芯国际集成电路制造(上海)有限公司 金属互连层的制造方法
TW200826233A (en) * 2006-12-15 2008-06-16 Touch Micro System Tech Method of fabricating metal interconnects and inter-metal dielectric layer thereof
TWI340427B (en) * 2007-02-06 2011-04-11 Touch Micro System Tech Method of fabricating micro mechanical moving member and metal interconnects thereof
US7863196B2 (en) * 2007-05-10 2011-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned dielectric cap
DE102007057686B4 (de) * 2007-11-30 2011-07-28 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG, 01109 Verfahren und Halbleiterbauelement mit einer Schutzschicht zum Reduzieren der Verspannungsrelaxation in einer Doppelverspannungsbeschichtungstechnik
JP5135002B2 (ja) * 2008-02-28 2013-01-30 ルネサスエレクトロニクス株式会社 半導体装置
US20100240220A1 (en) * 2009-03-20 2010-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Process for stripping photoresist and removing dielectric liner
JPWO2010143609A1 (ja) * 2009-06-12 2012-11-22 株式会社アルバック 電子装置の形成方法、電子装置、半導体装置及びトランジスタ
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
CN104241194B (zh) * 2013-06-20 2017-10-27 中芯国际集成电路制造(上海)有限公司 半导体互连结构及其制作方法
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) * 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11705414B2 (en) * 2017-10-05 2023-07-18 Texas Instruments Incorporated Structure and method for semiconductor packaging
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS596540A (ja) 1982-07-05 1984-01-13 Toshiba Corp 半導体装置の製造方法
JP2544396B2 (ja) * 1987-08-25 1996-10-16 株式会社日立製作所 半導体集積回路装置の製造方法
JP2839579B2 (ja) * 1989-10-02 1998-12-16 株式会社東芝 半導体装置及びその製造方法
US5677111A (en) * 1991-12-20 1997-10-14 Sony Corporation Process for production of micropattern utilizing antireflection film
US5612254A (en) * 1992-06-29 1997-03-18 Intel Corporation Methods of forming an interconnect on a semiconductor substrate
TW363146B (en) 1992-08-20 1999-07-01 Sony Corp An anti-reflective layer and a method of forming a photoresist pattern
JP3342164B2 (ja) 1993-04-16 2002-11-05 三菱電機株式会社 半導体装置およびその製造方法
US5378659A (en) 1993-07-06 1995-01-03 Motorola Inc. Method and structure for forming an integrated circuit pattern on a semiconductor substrate
US5447887A (en) 1994-04-01 1995-09-05 Motorola, Inc. Method for capping copper in semiconductor devices
KR100366910B1 (ko) * 1994-04-05 2003-03-04 소니 가부시끼 가이샤 반도체장치의제조방법
US5744376A (en) * 1996-04-08 1998-04-28 Chartered Semiconductor Manufacturing Pte, Ltd Method of manufacturing copper interconnect with top barrier layer
US5893752A (en) * 1997-12-22 1999-04-13 Motorola, Inc. Process for forming a semiconductor device
US5821168A (en) * 1997-07-16 1998-10-13 Motorola, Inc. Process for forming a semiconductor device
US6071813A (en) * 1997-10-20 2000-06-06 Advanced Micro Devices, Inc. Method and system for electrical coupling to copper interconnects

Cited By (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8183150B2 (en) 1998-11-17 2012-05-22 Applied Materials, Inc. Semiconductor device having silicon carbide and conductive pathway interface
EP1073106A3 (en) * 1999-07-30 2005-08-24 Applied Materials, Inc. Method for reducing oxidation of an interface of a semiconductor device and resulting device
EP1073106A2 (en) * 1999-07-30 2001-01-31 Applied Materials, Inc. Method for reducing oxidation of an interface of a semiconductor device and resulting device
US6797609B2 (en) 1999-08-10 2004-09-28 Renesas Technology Corp. Semiconductor integrated circuit device and manufacturing method of semiconductor integrated circuit device
US6864169B2 (en) 1999-08-10 2005-03-08 Renesas Technology Corp. Semiconductor integrated circuit device and manufacturing method of semiconductor integrated circuit device
US6849535B2 (en) 1999-08-10 2005-02-01 Renesas Technology Corp. Semiconductor integrated circuit device and manufacturing method of semiconductor integrated circuit device
US6815330B2 (en) 1999-08-10 2004-11-09 Renesas Technology Corp. Semiconductor integrated circuit device and manufacturing method of semiconductor integrated circuit device
US6716749B2 (en) 1999-08-10 2004-04-06 Renesas Technology Corporation Semiconductor integrated circuit device and manufacturing method of semiconductor integrated circuit device
US6797606B2 (en) 1999-08-10 2004-09-28 Renesas Technology Corp. Semiconductor integrated circuit device and manufacturing method of semiconductor integrated circuit device
US6756679B2 (en) 1999-08-10 2004-06-29 Hitachi, Ltd. Semiconductor integrated circuit device and manufacturing method of semiconductor integrated circuit device
US6555911B1 (en) 1999-11-25 2003-04-29 Nec Electronics Corporation Semiconductor device and method of manufacturing interconnections thereof using copper and tungsten in predetermined ratios
JP2001176967A (ja) * 1999-12-21 2001-06-29 Nec Corp 半導体装置及びその製造方法
JP2003530694A (ja) * 2000-04-11 2003-10-14 マイクロン テクノロジー インコーポレイテッド 銅保護層及び熱伝導体としての窒化アルミニウムの使用
US7947596B2 (en) 2000-06-26 2011-05-24 Renesas Electronics Corporation Semiconductor device and method of manufacturing the same
WO2002001627A1 (fr) * 2000-06-26 2002-01-03 Hitachi, Ltd. Dispositif a semi-conducteur et procede de fabrication associe
JP4659329B2 (ja) * 2000-06-26 2011-03-30 ルネサスエレクトロニクス株式会社 半導体装置及びその製造方法
US7122900B2 (en) 2000-06-26 2006-10-17 Renesas Technology Corp. Semiconductor device and method manufacturing the same
WO2002009173A3 (en) * 2000-07-26 2002-05-23 Advanced Micro Devices Inc Method of forming copper interconnect capping layers with improved interface and adhesion
WO2002009173A2 (en) * 2000-07-26 2002-01-31 Advanced Micro Devices, Inc. Method of forming copper interconnect capping layers with improved interface and adhesion
US6723631B2 (en) 2000-09-29 2004-04-20 Renesas Technology Corporation Fabrication method of semiconductor integrated circuit device
US7084063B2 (en) 2000-09-29 2006-08-01 Hitachi, Ltd. Fabrication method of semiconductor integrated circuit device
US7268087B2 (en) 2001-02-21 2007-09-11 Nec Electronics Corporation Manufacturing method of semiconductor device
US6787480B2 (en) * 2001-02-21 2004-09-07 Nec Corporation Manufacturing method of semicondcutor device
JP2002343858A (ja) * 2001-05-11 2002-11-29 Sony Corp 半導体装置およびその製造方法
JP2007227958A (ja) * 2002-05-08 2007-09-06 Nec Electronics Corp 半導体装置
US8642467B2 (en) 2002-05-08 2014-02-04 Renesas Electronics Corporation Semiconductor device having silicon-diffused metal wiring layer and its manufacturing method
JP2003347299A (ja) * 2002-05-24 2003-12-05 Renesas Technology Corp 半導体集積回路装置の製造方法
KR101094681B1 (ko) 2003-12-16 2011-12-20 램 리써치 코포레이션 레지스트 박리 동안 다공성 로우-k 재료의 손상을방지하는 방법
JP2006165597A (ja) * 2006-02-10 2006-06-22 Renesas Technology Corp 半導体装置の製造方法

Also Published As

Publication number Publication date
KR100531561B1 (ko) 2005-11-29
JP4302231B2 (ja) 2009-07-22
KR19990082886A (ko) 1999-11-25
CN1127132C (zh) 2003-11-05
SG74130A1 (en) 2000-07-18
TW418495B (en) 2001-01-11
CN1232291A (zh) 1999-10-20
US6174810B1 (en) 2001-01-16

Similar Documents

Publication Publication Date Title
JP4302231B2 (ja) 銅相互接続構造の形成方法
US6204200B1 (en) Process scheme to form controlled airgaps between interconnect lines to reduce capacitance
US6479407B2 (en) Semiconductor device and process for producing the same
US6773998B1 (en) Modified film stack and patterning strategy for stress compensation and prevention of pattern distortion in amorphous carbon gate patterning
KR100341658B1 (ko) 반도체 장치 및 그 제조 방법
US6228760B1 (en) Use of PE-SiON or PE-OXIDE for contact or via photo and for defect reduction with oxide and W chemical-mechanical polish
US6429105B1 (en) Method of manufacturing semiconductor device
US20050151262A1 (en) Semiconductor integrated circuit device
US6522013B1 (en) Punch-through via with conformal barrier liner
JP3676185B2 (ja) 半導体装置
US6274932B1 (en) Semiconductor device having metal interconnection comprising metal silicide and four conductive layers
US7033930B2 (en) Interconnect structures in a semiconductor device and processes of formation
US6130155A (en) Method of forming metal lines in an integrated circuit having reduced reaction with an anti-reflection coating
US6812140B2 (en) Method for contact profile improvement
JP2000252278A (ja) 半導体装置およびその製造方法
KR100248572B1 (ko) 반도체장치 및 그제조방법
KR100363013B1 (ko) 반도체 장치의 금속 패턴 형성 방법
JP3231645B2 (ja) 半導体装置およびその製造方法
JPH113892A (ja) 半導体装置の製造方法
KR100706823B1 (ko) 티타늄나이트라이드막을 이용한 확산방지막과오믹콘택층의 동시 형성 방법
KR100327145B1 (ko) 반도체 장치 및 그 제조 방법
KR101127240B1 (ko) 로우-k 유전체의 상부에 반사 방지 특성을 갖는 캡층의형성 방법
KR100840880B1 (ko) 반도체 장치 및 그 제조 방법
KR100414229B1 (ko) 티타늄나이트라이드막을 이용한 확산방지막과오믹콘택층의 동시 형성 방법
KR20030003331A (ko) 반도체 소자의 구리 배선 형성 방법

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20041217

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20051216

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060404

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20081120

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20081224

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20081224

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090323

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20090414

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20090422

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120501

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120501

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130501

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140501

Year of fee payment: 5

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees