JPH11283979A - Manufacture of semiconductor device - Google Patents

Manufacture of semiconductor device

Info

Publication number
JPH11283979A
JPH11283979A JP8120898A JP8120898A JPH11283979A JP H11283979 A JPH11283979 A JP H11283979A JP 8120898 A JP8120898 A JP 8120898A JP 8120898 A JP8120898 A JP 8120898A JP H11283979 A JPH11283979 A JP H11283979A
Authority
JP
Japan
Prior art keywords
film
conductive film
wiring
thickness
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP8120898A
Other languages
Japanese (ja)
Inventor
Satoshi Ikeda
智 池田
Kazuhiro Hoshino
和弘 星野
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sony Corp
Original Assignee
Sony Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sony Corp filed Critical Sony Corp
Priority to JP8120898A priority Critical patent/JPH11283979A/en
Publication of JPH11283979A publication Critical patent/JPH11283979A/en
Pending legal-status Critical Current

Links

Abstract

PROBLEM TO BE SOLVED: To fill fine connection holes and/or wiring channels with a conductive film with a good filling characteristic by forming a conductive film of a specified thickness by chemical vapor deposition on the surface of the connection holes and/or wiring channels, and then forming a second conductive film by electrolytic plating on the conductive film. SOLUTION: On a semiconductor substrate 1, an intelayer insulating film 2 is formed. In wiring channels 3 formed by etching specified parts of the insulating film 2, Cu wirings 4 are formed as channel interconnections. Next, an interlayer insulating film 5 is formed on the entire surface of the substrate, and then its specified parts are etched to form connection holes 6 which reach the Cu wirings 4. After that, a barrier metal film 7 is formed on the entire surface of the substrate and then a Cu film (conductive film) 8, which has a thickness of one atom lager amount to 100 nm, becoming a seed film is formed by chemical vapor deposition. Then, a Cu film 9 is formed into an adequately thickness to be completely embedded in the connection holes 6 by electrolytic plating on the entire surface of the Cu film 8 with the Cu film 8 as a seed film.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】この発明は、半導体装置の製
造方法に関し、特に、配線材料としてCuを用いる半導
体装置の製造に適用して好適なものである。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method for manufacturing a semiconductor device, and more particularly, to a method suitable for manufacturing a semiconductor device using Cu as a wiring material.

【0002】[0002]

【従来の技術】LSIの高速化が進行する中で、配線抵
抗を下げるために配線材料をAlからCuに置き換える
開発が進められている。現状のAl配線は、半導体基板
上にAl膜を成膜した後、このAl膜を反応性イオンエ
ッチング(RIE)法によりパターニングすることによ
り形成される。Cu配線を現状のAl配線の形成工程と
同様の工程、すなわちCu膜の成膜とRIE法によるパ
ターニングとにより形成する方法では、Cu膜のRIE
技術が確立されていない問題がある。この問題を克服す
る手法として、いわゆるデュアルダマシン(Dual Damas
cene)プロセスがある。これは、層間絶縁膜に接続孔お
よび配線溝を形成した半導体基板上にCu膜を成膜した
後、化学機械研磨(CMP)法によりこのCu膜の研磨
を行い、接続孔および配線溝に埋め込まれたCu配線を
形成するプロセスである。このデュアルダマシンプロセ
スは、Cu膜のドライエッチング技術を必要とせず、し
かも接続孔の埋め込みと配線形成とを同時に行うことが
できることにより、Cu配線の実用化と工程削減による
プロセスの低コスト化との両面で期待されている技術で
ある。
2. Description of the Related Art As the speed of LSIs has increased, development has been underway to replace the wiring material with Al instead of Cu in order to reduce the wiring resistance. The current Al wiring is formed by forming an Al film on a semiconductor substrate and then patterning the Al film by a reactive ion etching (RIE) method. In a method similar to the current Al wiring forming step, that is, a method of forming a Cu film by forming a Cu film and patterning by RIE, the RIE of the Cu film is performed.
There is a problem that the technology has not been established. As a method to overcome this problem, a so-called Dual Damas
cene) process. This is because, after a Cu film is formed on a semiconductor substrate having a connection hole and a wiring groove formed in an interlayer insulating film, the Cu film is polished by a chemical mechanical polishing (CMP) method and embedded in the connection hole and the wiring groove. This is a process for forming a Cu wiring. This dual damascene process does not require the dry etching technology of the Cu film and can simultaneously perform the burying of the connection hole and the formation of the wiring. This technology is expected on both sides.

【0003】図13〜図16に、従来のデュアルダマシ
ンプロセスによる配線形成フローを示す。
FIGS. 13 to 16 show a wiring forming flow by a conventional dual damascene process.

【0004】この従来の技術によれば、まず、図13に
示すように、あらかじめトランジスタなどの素子(図示
せず)が形成された半導体基板101上に層間絶縁膜1
02を成膜した後、この層間絶縁膜102にリソグラフ
ィー技術およびドライエッチング技術により配線溝10
3を形成し、この配線溝103内に第1層目のCu配線
104を溝配線として形成する。次に、基板全面に層間
絶縁膜105を成膜する。
According to this conventional technique, first, as shown in FIG. 13, an interlayer insulating film 1 is formed on a semiconductor substrate 101 on which elements such as transistors (not shown) are formed in advance.
02 is formed on the interlayer insulating film 102 by lithography and dry etching.
3 is formed, and a first-layer Cu wiring 104 is formed in the wiring groove 103 as a groove wiring. Next, an interlayer insulating film 105 is formed over the entire surface of the substrate.

【0005】次に、図14に示すように、層間絶縁膜1
05の所定部分をリソグラフィー技術およびドライエッ
チング技術によりエッチング除去してCu配線104に
達する接続孔106およびその上の配線溝107を形成
する。
[0005] Next, as shown in FIG.
A predetermined portion 05 is etched and removed by lithography and dry etching to form a connection hole 106 reaching the Cu wiring 104 and a wiring groove 107 thereon.

【0006】次に、図15に示すように、Cuが層間絶
縁膜105に拡散するのを防止するための拡散防止膜、
すなわちバリアメタル膜108を基板全面に成膜した
後、このバリアメタル膜108上にCu膜109を接続
孔106および配線溝107が完全に埋め込まれるよう
に十分に厚く成膜する。
Next, as shown in FIG. 15, a diffusion preventing film for preventing Cu from diffusing into the interlayer insulating film 105,
That is, after the barrier metal film 108 is formed on the entire surface of the substrate, a Cu film 109 is formed on the barrier metal film 108 to be sufficiently thick so that the connection holes 106 and the wiring grooves 107 are completely buried.

【0007】次に、Cu膜109およびバリアメタル膜
108をCMP法により研磨して接続孔106の内部お
よび配線溝107の内部にのみこれらの膜を残す。これ
によって、図16に示すように、第2層目のCu配線1
10がデュアルダマシン配線として形成される。
Next, the Cu film 109 and the barrier metal film 108 are polished by the CMP method to leave these films only inside the connection hole 106 and inside the wiring groove 107. As a result, as shown in FIG.
10 is formed as a dual damascene wiring.

【0008】従来、接続孔106および配線溝107を
埋め込むCu膜109の形成方法としては、スパッタリ
ング法、スパッタリング法とリフロー法との組み合わ
せ、化学気相成長(CVD)法などが開発されている
が、これらの技術は個々に問題を抱えており、実現が困
難である。
Conventionally, a sputtering method, a combination of a sputtering method and a reflow method, a chemical vapor deposition (CVD) method, and the like have been developed as a method of forming the Cu film 109 filling the connection hole 106 and the wiring groove 107. However, each of these technologies has its own problems and is difficult to implement.

【0009】すなわち、スパッタリング法については、
段差被覆性(ステップカバレッジ)が悪いという問題が
あり、このスパッタリング法単独でCu膜109を接続
孔106および配線溝107に完全に埋め込むことがで
きるに至っていないのが実状である。また、スパッタリ
ング法とリフロー法との組み合わせでは、デュアルダマ
シン構造のように下地表面の段差が深くなると、接続孔
106および配線溝107の上部でリフローしたCu膜
109がいわゆるブリッジングを起こしてボイドを発生
し、完全に埋め込むことは困難である。
That is, regarding the sputtering method,
There is a problem that the step coverage is poor, and the actual situation is that the Cu film 109 cannot be completely buried in the connection hole 106 and the wiring groove 107 by this sputtering method alone. Further, in the combination of the sputtering method and the reflow method, when the step on the base surface becomes deep as in the dual damascene structure, the Cu film 109 reflowed above the connection holes 106 and the wiring grooves 107 causes so-called bridging to cause voids. It occurs and is difficult to completely embed.

【0010】一方、CVD法によるCu膜109の埋め
込みは、上述のスパッタリング法のような物理気相成長
(PVD)法に比べてステップカバレッジが良いので、
埋め込みには問題がないが、成膜速度が遅い、原料ガス
のコストが高いなどの問題から、量産に適用されるまで
には至っていない。
On the other hand, the embedding of the Cu film 109 by the CVD method has better step coverage than the physical vapor deposition (PVD) method such as the sputtering method described above.
Although there is no problem with embedding, it has not been applied to mass production due to problems such as a low film formation rate and a high cost of source gas.

【0011】上述の問題から、近年、電解めっき法によ
るCu膜の埋め込み技術が急速に発展してきた。この電
解めっき法により成膜されたCu膜は、膜中の不純物濃
度が低いため、PVD法やCVD法により成膜されたC
u膜と比較しても比抵抗などの膜特性が同等であること
から、非常に有望視されている。
[0011] Due to the above-mentioned problems, a technique of embedding a Cu film by electrolytic plating has been rapidly developed in recent years. Since the Cu film formed by the electrolytic plating method has a low impurity concentration in the film, the Cu film formed by the PVD method or the CVD method is formed.
Since the film characteristics such as specific resistance are equivalent to those of the u film, the film is very promising.

【0012】この電解めっき法によるCu膜の埋め込み
では、電解めっきを行う前に何らかの方法で基板表面に
シード膜(シードレイヤー)と呼ばれるCu成長の核と
なるCu膜を形成する必要がある。このシード膜として
のCu膜のステップカバレッジは、その後に電解めっき
法により成膜されるCu膜のステップカバレッジに強く
影響する。したがって、シード膜のステップカバレッジ
は、電解めっきのプロセスでは非常に重要な問題であ
る。
In embedding the Cu film by the electrolytic plating method, it is necessary to form a Cu film called a seed film (seed layer), which is a seed film (seed layer), which is a nucleus of Cu growth, on the substrate surface before performing the electrolytic plating. The step coverage of the Cu film as the seed film strongly affects the step coverage of the Cu film formed by the electrolytic plating method thereafter. Therefore, step coverage of the seed film is a very important issue in the electrolytic plating process.

【0013】従来、このシード膜としてのCu膜は、ス
パッタリング法により成膜するのが最も一般的である
(例えば、月刊Semiconductor World 1997.12, p.19
2)。しかしながら、スパッタリング法により成膜され
るCu膜のステップカバレッジは良好でないため、その
上に電解めっき法によりCu膜を成膜する際の成長速度
が不均一となり、その結果、接続孔や配線溝の埋め込み
特性は良好でなかった。
Conventionally, the Cu film as the seed film is most commonly formed by a sputtering method (for example, monthly Semiconductor World 1997.12, p.19).
2). However, since the step coverage of the Cu film formed by the sputtering method is not good, the growth rate when the Cu film is formed thereon by the electrolytic plating method is not uniform, and as a result, the connection holes and the wiring grooves are not formed. The embedding characteristics were not good.

【0014】一方、シード膜としてのCu膜の成膜に、
スパッタリング法に代えてCVD法を使用する可能性が
示唆されている(例えば、月刊Semiconductor World 19
97.12, p.107)。このCVD法によれば、良好なステッ
プカバレッジを得ることができるため、シード膜として
のCu膜をスパッタリング法により成膜する場合の問題
を克服することができると考えられる。
On the other hand, when forming a Cu film as a seed film,
It has been suggested that a CVD method may be used instead of the sputtering method (for example, monthly Semiconductor World 19
97.12, p.107). According to this CVD method, since good step coverage can be obtained, it is considered that the problem of forming a Cu film as a seed film by a sputtering method can be overcome.

【0015】[0015]

【発明が解決しようとする課題】しかしながら、上述の
ようにシード膜としてのCu膜をCVD法により成膜す
る場合、その膜厚の最適化については何ら具体的な検討
がなされていないのが実状である。
However, when a Cu film as a seed film is formed by the CVD method as described above, no specific study has been made on the optimization of the film thickness. It is.

【0016】したがって、この発明の目的は、電解めっ
き法により微細な接続孔および/または配線溝をCu膜
やその他の導電膜で良好な埋め込み特性で高精度に埋め
込むことができる半導体装置の製造方法を提供すること
にある。
Accordingly, an object of the present invention is to provide a method of manufacturing a semiconductor device in which fine connection holes and / or wiring grooves can be buried with a Cu film or another conductive film with good burying characteristics with high precision by electrolytic plating. Is to provide.

【0017】[0017]

【課題を解決するための手段】上記目的を達成するため
に、この発明は、接続孔および/または配線溝に導電膜
を埋め込むようにした半導体装置の製造方法において、
少なくとも接続孔および/または配線溝の部分の表面に
化学気相成長法により膜厚が1原子層分の膜厚以上10
0nm以下の第1の導電膜を形成する工程と、第1の導
電膜上に電解めっき法により第2の導電膜を形成して接
続孔および/または配線溝を埋め込む工程とを有するこ
とを特徴とするものである。
To achieve the above object, the present invention relates to a method of manufacturing a semiconductor device in which a conductive film is embedded in a connection hole and / or a wiring groove.
At least the surface of the connection hole and / or the wiring groove portion has a thickness of at least one atomic layer by a chemical vapor deposition method.
A step of forming a first conductive film having a thickness of 0 nm or less; and a step of forming a second conductive film on the first conductive film by an electrolytic plating method to fill connection holes and / or wiring grooves. It is assumed that.

【0018】この発明において、第1の導電膜は、第2
の導電膜を電解めっき法により形成する際のシード膜と
なる。このとき、この第1の導電膜は、一般的には基板
表面の全面に形成するが、電解めっきを行う際にこの第
1の導電膜を陽極として電圧を印加することができるこ
とを条件として、少なくとも第2の導電膜を形成すべき
領域の表面に連続的に形成すれば足り、また、最低限1
原子層あれば足りる。一方、この第1の導電膜は、厚す
ぎると、その形成の際に接続孔および/または配線溝の
上部の角の近傍の部分で盛り上がりが生じ、この接続孔
および/または配線溝の内部にボイドが発生しやすいな
ど、接続孔および/または配線溝を良好な埋め込み特性
で埋め込むのに支障を来すことから、100nm以下と
するのが良く、これが第1の導電膜の膜厚の上限とな
る。この第1の導電膜の膜厚は、良好なステップカバレ
ッジを確保しつつ、成膜時間の短縮を図る観点より、具
体的には、好適には、1原子層分の膜厚以上50nm以
下、特に5nm以上50nm以下、より好適には、1原
子層分の膜厚以上40nm以下、特に5nm以上40n
m以下である。ここで、50nmという膜厚は、スパッ
タリング法により成膜する場合に実用上許容し得るステ
ップカバレッジが得られる膜厚の下限に相当する。
In the present invention, the first conductive film is formed of the second conductive film.
Becomes a seed film when the conductive film is formed by electrolytic plating. At this time, the first conductive film is generally formed on the entire surface of the substrate surface, provided that a voltage can be applied using the first conductive film as an anode during electrolytic plating. It is sufficient that the second conductive film is continuously formed on the surface of the region where the second conductive film is to be formed.
Atomic layer is enough. On the other hand, if the first conductive film is too thick, a bulge occurs in a portion near the upper corner of the connection hole and / or the wiring groove when the first conductive film is formed, and the first conductive film is formed inside the connection hole and / or the wiring groove. Since it is difficult to embed the connection holes and / or wiring grooves with good embedding characteristics, for example, voids are easily generated, the thickness is preferably 100 nm or less. Become. From the viewpoint of shortening the film formation time while ensuring good step coverage, the film thickness of the first conductive film is specifically preferably not less than the thickness of one atomic layer and not more than 50 nm. In particular, 5 nm or more and 50 nm or less, more preferably, the thickness of one atomic layer or more and 40 nm or less, particularly 5 nm or more and 40 n.
m or less. Here, the film thickness of 50 nm corresponds to the lower limit of the film thickness at which practically acceptable step coverage can be obtained when the film is formed by a sputtering method.

【0019】この発明において、第1の導電膜および第
2の導電膜は、典型的には互いに同一の材料からなる
が、電解めっき法により第2の導電膜を支障なく形成す
ることができる限り、互いに異なる材料からなるもので
あってもよい。具体的には、第1の導電膜の材料として
は、Cu、Pt、Rh、Ag、Ti、TiN/Ti、T
iN/Rh、TiN/Ptなどが挙げられる。また、第
2の導電膜の材料としては、Cu、Ag、Ptなどが挙
げられる。
In the present invention, the first conductive film and the second conductive film are typically made of the same material as each other, as long as the second conductive film can be formed by the electroplating method without any trouble. , May be made of different materials. Specifically, the material of the first conductive film is Cu, Pt, Rh, Ag, Ti, TiN / Ti, T
iN / Rh, TiN / Pt and the like. In addition, examples of the material of the second conductive film include Cu, Ag, and Pt.

【0020】この発明において、典型的には、第1の導
電膜を形成する前に第1の導電膜および第2の導電膜の
構成元素に対する拡散防止膜を形成する。具体的には、
この拡散防止膜の材料としては、TiN、TiN/T
i、Ta、TaN、WNなどが挙げられる。
In the present invention, typically, before forming the first conductive film, a diffusion prevention film for the constituent elements of the first conductive film and the second conductive film is formed. In particular,
As the material of the diffusion prevention film, TiN, TiN / T
i, Ta, TaN, WN and the like.

【0021】上述のように構成されたこの発明によれ
ば、電解めっき法により第2の導電膜を形成する際のシ
ード膜となる第1の導電膜を化学気相成長法により形成
するようにしていることにより、接続孔および/または
配線溝の部分の表面にこの第1の導電膜をコンフォーマ
ルに形成することができ、良好なステップカバレッジで
均一に形成することができる。
According to the present invention having the above-described structure, the first conductive film serving as a seed film when the second conductive film is formed by electrolytic plating is formed by chemical vapor deposition. Accordingly, the first conductive film can be formed conformally on the surface of the connection hole and / or the wiring groove, and can be uniformly formed with good step coverage.

【0022】次に、この第1の導電膜上に電解めっき法
により第2の導電膜を形成するが、この電解めっきの基
本原理は次の通りである。すなわち、この電解めっきに
おいては、第1の導電膜が形成された基板をめっき液中
に浸し、この基板上に形成された第1の導電膜が陰極、
めっき液中の電極板が陽極となるような電界を印加す
る。めっき液中の陽イオンは、電界の作用によって陰極
の基板側に付着する。これによって、第1の導電膜上に
第2の導電膜が堆積する。このとき、第1の導電膜が良
好なステップカバレッジで均一に形成されていることに
より、その上の第2の導電膜の成長速度も均一になる。
その結果、この第2の導電膜による接続孔および/また
は配線溝の埋め込み特性が良好となる。
Next, a second conductive film is formed on the first conductive film by an electrolytic plating method. The basic principle of the electrolytic plating is as follows. That is, in this electrolytic plating, the substrate on which the first conductive film is formed is immersed in a plating solution, and the first conductive film formed on the substrate is used as a cathode,
An electric field is applied so that the electrode plate in the plating solution becomes an anode. The cations in the plating solution adhere to the substrate side of the cathode by the action of the electric field. Thus, a second conductive film is deposited on the first conductive film. At this time, since the first conductive film is uniformly formed with good step coverage, the growth rate of the second conductive film thereon is also uniform.
As a result, the filling characteristics of the connection hole and / or the wiring groove by the second conductive film are improved.

【0023】[0023]

【発明の実施の形態】以下、この発明の実施形態につい
て図面を参照しながら説明する。
Embodiments of the present invention will be described below with reference to the drawings.

【0024】図1〜図4はこの発明の第1の実施形態に
よるLSIの製造方法を示し、特にその接続孔形成工程
を示す。
FIGS. 1 to 4 show a method of manufacturing an LSI according to the first embodiment of the present invention, and particularly show a process of forming a connection hole.

【0025】この第1の実施形態においては、まず、図
1に示すように、通常のLSI製造工程によってあらか
じめトランジスタなどの素子(図示せず)が形成された
Si基板のような半導体基板1上にCVD法や熱酸化法
などによりSiO2 膜のような層間絶縁膜2を成膜した
後、この層間絶縁膜2の所定部分をリソグラフィー技術
およびドライエッチング技術によりエッチング除去して
配線溝3を形成する。次に、この配線溝3内に第1層目
のCu配線4を溝配線として形成する。この第1層目の
Cu配線4は、例えば、拡散防止膜としてのTiN/T
i膜、配線主材料であるCu膜およびリソグラフィー工
程で必要な反射防止膜としてのTiN膜が順次積層され
た構造を有する。次に、基板全面にCVD法などにより
SiO2膜のような層間絶縁膜5を成膜した後、この層
間絶縁膜5の所定部分をリソグラフィー技術およびドラ
イエッチング技術によりエッチング除去してCu配線4
に達する接続孔6を形成する。
In the first embodiment, first, as shown in FIG. 1, a semiconductor substrate 1 such as a Si substrate on which elements such as transistors (not shown) are formed in advance by a normal LSI manufacturing process. After forming an interlayer insulating film 2 such as a SiO 2 film by a CVD method or a thermal oxidation method, a predetermined portion of the interlayer insulating film 2 is removed by etching using a lithography technique and a dry etching technique to form a wiring groove 3. I do. Next, the first layer Cu wiring 4 is formed in the wiring groove 3 as a groove wiring. The Cu wiring 4 of the first layer is made of, for example, TiN / T as a diffusion prevention film.
It has a structure in which an i film, a Cu film as a main wiring material, and a TiN film as an antireflection film required in a lithography step are sequentially laminated. Next, after an interlayer insulating film 5 such as a SiO 2 film is formed on the entire surface of the substrate by a CVD method or the like, a predetermined portion of the interlayer insulating film 5 is etched and removed by a lithography technique and a dry etching technique to form a Cu wiring
Is formed.

【0026】次に、例えばスパッタリング法により、基
板全面にバリアメタル膜7を成膜する。このバリアメタ
ル膜7としては例えばTiN膜を用い、その膜厚は例え
ば50nmである。このTiN膜の形成条件の一例を挙
げると、プロセスガスとしてArとN2 との混合ガスを
用い、それらの流量をそれぞれ60sccmおよび12
0sccmとし、圧力を0.67Pa、DC電力を8k
W、温度を200℃とする。
Next, a barrier metal film 7 is formed on the entire surface of the substrate by, for example, a sputtering method. For example, a TiN film is used as the barrier metal film 7, and its thickness is, for example, 50 nm. As an example of conditions for forming the TiN film, a mixed gas of Ar and N 2 is used as a process gas, and the flow rates thereof are set to 60 sccm and 12 sccm, respectively.
0 sccm, pressure 0.67 Pa, DC power 8 k
W, the temperature is 200 ° C.

【0027】次に、図2に示すように、バリアメタル膜
7上にCVD法によりシード膜となるCu膜8を成膜す
る。このCu膜8の膜厚は例えば50nmである。この
Cu膜8の成膜条件の一例を挙げると、原料ガスとして
50℃に温度制御されたCu(hfac)(tmv
s)、キャリアガスとしてHe(流量100sccm)
を用い、それらの合計流量を300cc/minとし、
成膜圧力を133Pa、成膜温度を210℃とする。こ
のCVD法により成膜されたCu膜8のステップカバレ
ッジは良好であり、膜厚が均一である。また、このCu
膜8の膜厚は例えば50nmと薄いので、その成膜時間
は短くて済む。
Next, as shown in FIG. 2, a Cu film 8 serving as a seed film is formed on the barrier metal film 7 by a CVD method. The thickness of the Cu film 8 is, for example, 50 nm. An example of the conditions for forming the Cu film 8 is Cu (hfac) (tmv) whose temperature is controlled to 50 ° C. as a source gas.
s), He (a flow rate of 100 sccm) as a carrier gas
And their total flow rate is 300 cc / min,
The film forming pressure is 133 Pa and the film forming temperature is 210 ° C. The step coverage of the Cu film 8 formed by the CVD method is good, and the film thickness is uniform. In addition, this Cu
Since the thickness of the film 8 is as thin as, for example, 50 nm, the film forming time can be short.

【0028】次に、図3に示すように、Cu膜8をシー
ド膜としてその全面に電解めっき法によりCu膜9を接
続孔6が完全に埋め込まれるように十分に厚く成膜す
る。このCu膜9の膜厚は例えば500nmである。こ
のCu膜9の成膜条件の一例を挙げると、めっき液とし
てCuSO4 (5H2 O)を用い、液温を30℃、電流
密度を2A/dm2 とし、陽極板としてCu板を用い
る。
Next, as shown in FIG. 3, using the Cu film 8 as a seed film, a Cu film 9 is formed on the entire surface by electrolytic plating so as to be sufficiently thick so that the connection holes 6 are completely buried. The thickness of the Cu film 9 is, for example, 500 nm. As an example of conditions for forming the Cu film 9, CuSO 4 (5H 2 O) is used as a plating solution, the liquid temperature is 30 ° C., the current density is 2 A / dm 2, and a Cu plate is used as an anode plate.

【0029】次に、例えばCMP法により、Cu膜9、
Cu膜8およびバリアメタル膜7を研磨し、接続孔6の
部分のみにこれらの膜を残す。これによって、図4に示
すように、接続孔6の内部に埋め込まれたCu膜8およ
びCu膜9からなるCuプラグ10が形成される。
Next, the Cu film 9,
The Cu film 8 and the barrier metal film 7 are polished to leave these films only in the portions of the connection holes 6. As a result, as shown in FIG. 4, a Cu plug 10 composed of the Cu film 8 and the Cu film 9 embedded in the connection hole 6 is formed.

【0030】この後、通常のLSI製造工程により、層
間絶縁膜、第2層目のCu配線、配線保護膜などの形成
工程を経て、目的とするLSIが完成する。
Thereafter, the target LSI is completed through the steps of forming an interlayer insulating film, a second-layer Cu wiring, a wiring protection film, and the like in a normal LSI manufacturing process.

【0031】以上のように、この第1の実施形態によれ
ば、接続孔6を有する基板表面にシード膜となるCu膜
8をCVD法により成膜しているので、このCu膜8を
良好なステップカバレッジで均一に成膜することができ
る。そして、このシード膜としてのCu膜8上に電解め
っき法によりCu膜9を十分な膜厚に成膜して接続孔6
を埋め込んでいることにより、接続孔6が高アスペクト
比であっても、良好な埋め込み特性で高精度に埋め込み
を行うことができ、接続孔6の埋め込み不良を防止する
ことができる。これによって、接続孔6の内部がCuで
完全に埋め込まれたCuプラグ10を形成することがで
きる。以上により、配線材料としてのCuが低比抵抗で
かつ優れたエレクトロマイグレーション耐性を有するこ
とと相まって、信頼性が高く、高速動作可能な高性能の
LSIを高歩留まりで実現することができる。
As described above, according to the first embodiment, the Cu film 8 serving as the seed film is formed on the surface of the substrate having the connection holes 6 by the CVD method. A uniform film can be formed with a proper step coverage. Then, a Cu film 9 having a sufficient thickness is formed on the Cu film 8 serving as the seed film by an electrolytic plating method.
By embedding, even if the connection hole 6 has a high aspect ratio, it is possible to bury the connection hole 6 with good embedding characteristics and high accuracy, and it is possible to prevent the embedding failure of the connection hole 6. Thereby, the Cu plug 10 in which the inside of the connection hole 6 is completely buried with Cu can be formed. As described above, coupled with the fact that Cu as a wiring material has low specific resistance and excellent electromigration resistance, it is possible to realize a high-performance LSI with high reliability and high-speed operation at a high yield.

【0032】図5〜図8はこの発明の第2の実施形態に
よるLSIの製造方法を示し、特にその配線形成工程を
示す。
FIGS. 5 to 8 show a method of manufacturing an LSI according to a second embodiment of the present invention, and particularly show a wiring forming process thereof.

【0033】この第2の実施形態においては、まず、図
5に示すように、通常のLSI製造工程によってあらか
じめトランジスタなどの素子(図示せず)が形成された
Si基板のような半導体基板21上にCVD法や熱酸化
法などによりSiO2 膜のような層間絶縁膜22を成膜
した後、この層間絶縁膜22の所定部分をリソグラフィ
ー技術およびドライエッチング技術によりエッチング除
去して接続孔23を形成する。次に、この接続孔23内
にCuプラグ24を形成する。このCuプラグ24は、
例えば、拡散防止膜としてのTiN/Ti膜、Cu膜お
よびリソグラフィー工程で必要な反射防止膜としてのT
iN膜が順次積層された構造を有する。次に、基板全面
にCVD法などによりSiO2 膜のような層間絶縁膜2
5を形成した後、この層間絶縁膜25の所定部分をリソ
グラフィー技術およびドライエッチング技術によりエッ
チング除去してCuプラグ24に達する配線溝26を形
成する。次に、例えばスパッタリング法により、基板全
面に拡散防止膜としてのバリアメタル膜27を成膜す
る。このバリアメタル膜27としては例えばTiN膜を
用い、その膜厚は例えば50nmである。
In the second embodiment, first, as shown in FIG. 5, a semiconductor substrate 21 such as a Si substrate on which elements such as transistors (not shown) are formed in advance by a normal LSI manufacturing process. After forming an interlayer insulating film 22 such as a SiO 2 film by a CVD method or a thermal oxidation method, a predetermined portion of the interlayer insulating film 22 is removed by etching using a lithography technique and a dry etching technique to form a connection hole 23. I do. Next, a Cu plug 24 is formed in the connection hole 23. This Cu plug 24
For example, a TiN / Ti film as a diffusion prevention film, a Cu film, and a T as an antireflection film required in a lithography process.
It has a structure in which iN films are sequentially stacked. Next, an interlayer insulating film 2 such as a SiO 2 film is formed on the entire surface of the substrate by a CVD method or the like.
After forming 5, a predetermined portion of the interlayer insulating film 25 is removed by etching using a lithography technique and a dry etching technique to form a wiring groove 26 reaching the Cu plug 24. Next, a barrier metal film 27 as a diffusion prevention film is formed on the entire surface of the substrate by, for example, a sputtering method. For example, a TiN film is used as the barrier metal film 27, and its thickness is, for example, 50 nm.

【0034】次に、図6に示すように、バリアメタル膜
27の全面にCVD法によりシード膜となるCu膜28
を成膜する。このCu膜28の膜厚は、例えば50nm
である。このCu膜28の成膜条件は第1の実施形態と
同様である。
Next, as shown in FIG. 6, a Cu film 28 serving as a seed film is formed on the entire surface of the barrier metal film 27 by the CVD method.
Is formed. The thickness of the Cu film 28 is, for example, 50 nm.
It is. The conditions for forming the Cu film 28 are the same as in the first embodiment.

【0035】次に、図7に示すように、Cu膜28をシ
ード膜としてその全面に電解めっき法によりCu膜29
を配線溝26が完全に埋め込まれるように十分に厚く成
膜する。このCu膜29の膜厚は例えば500nmであ
る。このCu膜29の成膜条件は第1の実施形態と同様
である。
Next, as shown in FIG. 7, a Cu film 29 is formed on the entire surface by electrolytic plating using the Cu film 28 as a seed film.
Is formed sufficiently thick so that the wiring groove 26 is completely buried. The thickness of the Cu film 29 is, for example, 500 nm. The conditions for forming the Cu film 29 are the same as in the first embodiment.

【0036】次に、例えばCMP法により、Cu膜2
9、Cu膜28およびバリアメタル膜27を研磨し、接
続孔26の部分のみにこれらの膜を残す。これによっ
て、図8に示すように、接続孔26の内部に埋め込まれ
たCu膜28およびCu膜29からなるCu配線30が
溝配線として形成される。
Next, the Cu film 2 is formed by, eg, CMP.
9, the Cu film 28 and the barrier metal film 27 are polished, and these films are left only at the connection holes 26. As a result, as shown in FIG. 8, a Cu wiring 30 including the Cu film 28 and the Cu film 29 embedded in the connection hole 26 is formed as a groove wiring.

【0037】この後、通常のLSI製造工程により、層
間絶縁膜、第2層目のCu配線、配線保護膜などの形成
工程を経て、目的とするLSIが完成する。
Thereafter, the target LSI is completed through the steps of forming an interlayer insulating film, a second-layer Cu wiring, a wiring protection film, and the like in a normal LSI manufacturing process.

【0038】以上のように、この第2の実施形態によれ
ば、配線溝26を有する基板表面にシード膜となるCu
膜28をCVD法により成膜しているので、このCu膜
28を良好なステップカバレッジで均一に形成すること
ができる。そして、このシード膜としてのCu膜28上
に電解めっき法によりCu膜29を十分な膜厚に成膜し
て配線溝26を埋め込んでいることにより、良好な埋め
込み特性で高精度に埋め込みを行うことができ、この配
線溝26の埋め込み不良を防止することができる。これ
によって、配線溝26の内部がCuで完全に埋め込まれ
た構造のCu配線30を溝配線として形成することがで
きる。以上により、配線材料としてのCuが低比抵抗で
かつ優れたエレクトロマイグレーション耐性を有するこ
とと相まって、信頼性が高く、高速動作可能な高性能の
LSIを高歩留まりで実現することができる。
As described above, according to the second embodiment, the Cu film serving as a seed film is formed on the surface of the substrate having the wiring groove 26.
Since the film 28 is formed by the CVD method, the Cu film 28 can be formed uniformly with good step coverage. Then, a Cu film 29 is formed to a sufficient thickness on the Cu film 28 as a seed film by electrolytic plating to fill the wiring groove 26, so that the filling is performed with good filling characteristics and high precision. This makes it possible to prevent the wiring groove 26 from being buried in a defective manner. Thereby, the Cu wiring 30 having a structure in which the inside of the wiring groove 26 is completely buried with Cu can be formed as a groove wiring. As described above, coupled with the fact that Cu as a wiring material has low specific resistance and excellent electromigration resistance, it is possible to realize a high-performance LSI with high reliability and high-speed operation at a high yield.

【0039】図9〜図12はこの発明の第3の実施形態
によるLSIの製造方法を示し、特にその配線形成工程
を示す。この第3の実施形態は、デュアルダマシンプロ
セスを用いる例である。
FIGS. 9 to 12 show a method of manufacturing an LSI according to the third embodiment of the present invention, and particularly show a wiring forming step thereof. The third embodiment is an example using a dual damascene process.

【0040】この第3の実施形態においては、まず、図
9に示すように、通常のLSI製造工程によってあらか
じめトランジスタなどの素子(図示せず)が形成された
Si基板のような半導体基板41上にCVD法や熱酸化
法などによりSiO2 膜のような層間絶縁膜42を成膜
した後、この層間絶縁膜42の所定部分をリソグラフィ
ー技術およびドライエッチング技術によりエッチング除
去して配線溝43を形成する。次に、この配線溝43内
に第1層目のCu配線44を溝配線として形成する。こ
の第1層目のCu配線44は、例えば、拡散防止膜とし
てのTiN/Ti膜、配線主材料であるCu膜およびリ
ソグラフィー工程で必要な反射防止膜としてのTiN膜
が順次積層された構造を有する。次に、基板全面にCV
D法などによりSiO2 膜のような層間絶縁膜45を成
膜した後、この層間絶縁膜45の所定部分をリソグラフ
ィー技術およびドライエッチング技術によりエッチング
除去して第1層目のCu配線44に達する接続孔46お
よびその上の配線溝47を形成する。次に、例えばスパ
ッタリング法により、基板全面に拡散防止膜としてのバ
リアメタル膜48を形成する。このバリアメタル膜48
としては例えばTiN膜を用い、その膜厚は例えば50
nmである。
In the third embodiment, first, as shown in FIG. 9, a semiconductor substrate 41 such as a Si substrate on which elements such as transistors (not shown) are formed in advance by a normal LSI manufacturing process. After forming an interlayer insulating film 42 such as a SiO 2 film by a CVD method or a thermal oxidation method, a wiring groove 43 is formed by removing a predetermined portion of the interlayer insulating film 42 by lithography and dry etching. I do. Next, a first-layer Cu wiring 44 is formed in the wiring groove 43 as a groove wiring. The first layer Cu wiring 44 has, for example, a structure in which a TiN / Ti film as a diffusion prevention film, a Cu film as a main wiring material, and a TiN film as an antireflection film required in a lithography step are sequentially laminated. Have. Next, CV is applied to the entire surface of the substrate.
After an interlayer insulating film 45 such as a SiO 2 film is formed by the D method or the like, a predetermined portion of the interlayer insulating film 45 is removed by etching using a lithography technique and a dry etching technique to reach the first-layer Cu wiring 44. A connection hole 46 and a wiring groove 47 thereon are formed. Next, a barrier metal film 48 as a diffusion prevention film is formed on the entire surface of the substrate by, for example, a sputtering method. This barrier metal film 48
For example, a TiN film is used, and its thickness is, for example, 50
nm.

【0041】次に、図10に示すように、バリアメタル
膜48の全面にCVD法によりシード膜となるCu膜4
9を成膜する。このCu膜49の膜厚は例えば50nm
である。このCu膜49の成膜条件は第1の実施形態と
同様である。
Next, as shown in FIG. 10, a Cu film 4 serving as a seed film is formed on the entire surface of the barrier metal film 48 by the CVD method.
9 is formed. The thickness of the Cu film 49 is, for example, 50 nm.
It is. The conditions for forming the Cu film 49 are the same as in the first embodiment.

【0042】次に、図11に示すように、Cu膜49を
シード膜としてその全面に電解めっき法によりCu膜5
0を接続孔46および配線溝47が完全に埋め込まれる
ように十分に厚く成膜する。このCu膜50の膜厚は例
えば500nmである。このCu膜50の成膜条件は第
1の実施形態と同様である。
Next, as shown in FIG. 11, a Cu film 5 is formed on the entire surface of the Cu film 49 by electrolytic plating using the Cu film 49 as a seed film.
0 is formed thick enough so that the connection hole 46 and the wiring groove 47 are completely buried. The thickness of the Cu film 50 is, for example, 500 nm. The conditions for forming the Cu film 50 are the same as in the first embodiment.

【0043】次に、例えばCMP法により、Cu膜5
0、Cu膜49およびバリアメタル膜48を研磨し、接
続孔46および配線溝47の部分のみにこれらの膜を残
す。これによって、図12に示すように、接続孔46お
よび配線溝47の内部に埋め込まれたCu膜49および
Cu膜50からなるCu配線51がデュアルダマシン配
線として形成される。
Next, the Cu film 5 is formed by, eg, CMP.
0, the Cu film 49 and the barrier metal film 48 are polished, and these films are left only in the connection holes 46 and the wiring grooves 47. As a result, as shown in FIG. 12, a Cu wiring 51 including the Cu film 49 and the Cu film 50 embedded in the connection hole 46 and the wiring groove 47 is formed as a dual damascene wiring.

【0044】この後、通常のLSI製造工程により、層
間絶縁膜、配線保護膜などの形成工程を経て、目的とす
るLSIが完成する。
Thereafter, the target LSI is completed through the steps of forming an interlayer insulating film, a wiring protection film, and the like in a normal LSI manufacturing process.

【0045】以上のように、この第3の実施形態によれ
ば、接続孔46および配線溝47を有する基板表面にシ
ード膜となるCu膜49をCVD法により成膜している
ので、このCu膜49を良好なステップカバレッジで均
一に形成することができる。そして、このシード膜とし
てのCu膜49上に電解めっき法によりCu膜50を十
分な膜厚に成膜して接続孔46および配線溝47を埋め
込んでいることにより、良好な埋め込み特性で高精度に
埋め込みを行うことができ、これらの接続孔46および
配線溝47の埋め込み不良を防止することができる。こ
れによって、第2層目のCu配線51を、接続孔46の
内部および配線溝47の内部がCuで完全に埋め込まれ
た構造のデュアルダマシン配線として形成することがで
きる。以上により、配線材料としてのCuが低比抵抗で
かつ優れたエレクトロマイグレーション耐性を有するこ
とと相まって、信頼性が高く、高速動作可能な高性能の
LSIを高歩留まりで実現することができる。
As described above, according to the third embodiment, the Cu film 49 serving as a seed film is formed on the surface of the substrate having the connection holes 46 and the wiring grooves 47 by the CVD method. The film 49 can be formed uniformly with good step coverage. Then, the Cu film 50 is formed to a sufficient thickness on the Cu film 49 as the seed film by the electrolytic plating method and the connection holes 46 and the wiring grooves 47 are buried. Can be embedded in the connection hole 46 and the wiring groove 47. Thus, the Cu wiring 51 of the second layer can be formed as a dual damascene wiring having a structure in which the inside of the connection hole 46 and the inside of the wiring groove 47 are completely buried with Cu. As described above, coupled with the fact that Cu as a wiring material has low specific resistance and excellent electromigration resistance, it is possible to realize a high-performance LSI with high reliability and high-speed operation at a high yield.

【0046】以上、この発明の実施形態について具体的
に説明したが、この発明は、上述の実施形態に限定され
るものではなく、この発明の技術的思想に基づく各種の
変形が可能である。
Although the embodiments of the present invention have been specifically described above, the present invention is not limited to the above-described embodiments, and various modifications based on the technical concept of the present invention are possible.

【0047】例えば、上述の第1、第2および第3の実
施形態において挙げた数値、構造、基板、原料、プロセ
スなどはあくまでも例に過ぎず、必要に応じて、これら
と異なる数値、構造、基板、原料、プロセスなどを用い
てもよい。
For example, the numerical values, structures, substrates, raw materials, processes, and the like described in the first, second, and third embodiments are merely examples, and different numerical values, structures, and the like may be used as necessary. Substrates, raw materials, processes, and the like may be used.

【0048】[0048]

【発明の効果】以上説明したように、この発明によれ
ば、少なくとも接続孔および/または配線溝の部分の表
面に化学気相成長法により第1の導電膜を形成し、この
第1の導電膜上に電解めっき法により第2の導電膜を形
成して接続孔および/または配線溝を埋め込むようにし
ていることにより、微細な接続孔および/または配線溝
をCu膜やその他の導電膜で高精度に埋め込むことがで
きる。
As described above, according to the present invention, the first conductive film is formed on at least the surface of the connection hole and / or the wiring groove by the chemical vapor deposition method. By forming the second conductive film on the film by the electrolytic plating method so as to fill the connection hole and / or the wiring groove, the fine connection hole and / or the wiring groove can be formed by a Cu film or another conductive film. Can be embedded with high precision.

【図面の簡単な説明】[Brief description of the drawings]

【図1】この発明の第1の実施形態によるLSIの製造
方法を説明するための断面図である。
FIG. 1 is a cross-sectional view for explaining an LSI manufacturing method according to a first embodiment of the present invention.

【図2】この発明の第1の実施形態によるLSIの製造
方法を説明するための断面図である。
FIG. 2 is a cross-sectional view for explaining the LSI manufacturing method according to the first embodiment of the present invention.

【図3】この発明の第1の実施形態によるLSIの製造
方法を説明するための断面図である。
FIG. 3 is a cross-sectional view for explaining the LSI manufacturing method according to the first embodiment of the present invention.

【図4】この発明の第1の実施形態によるLSIの製造
方法を説明するための断面図である。
FIG. 4 is a cross-sectional view for explaining the LSI manufacturing method according to the first embodiment of the present invention;

【図5】この発明の第2の実施形態によるLSIの製造
方法を説明するための断面図である。
FIG. 5 is a cross-sectional view for explaining an LSI manufacturing method according to a second embodiment of the present invention;

【図6】この発明の第2の実施形態によるLSIの製造
方法を説明するための断面図である。
FIG. 6 is a cross-sectional view for explaining the LSI manufacturing method according to the second embodiment of the present invention;

【図7】この発明の第2の実施形態によるLSIの製造
方法を説明するための断面図である。
FIG. 7 is a cross-sectional view for explaining the LSI manufacturing method according to the second embodiment of the present invention;

【図8】この発明の第2の実施形態によるLSIの製造
方法を説明するための断面図である。
FIG. 8 is a cross-sectional view for explaining the LSI manufacturing method according to the second embodiment of the present invention;

【図9】この発明の第3の実施形態によるLSIの製造
方法を説明するための断面図である。
FIG. 9 is a cross-sectional view for explaining an LSI manufacturing method according to a third embodiment of the present invention.

【図10】この発明の第3の実施形態によるLSIの製
造方法を説明するための断面図である。
FIG. 10 is a cross-sectional view for explaining the LSI manufacturing method according to the third embodiment of the present invention.

【図11】この発明の第3の実施形態によるLSIの製
造方法を説明するための断面図である。
FIG. 11 is a cross-sectional view for explaining the LSI manufacturing method according to the third embodiment of the present invention.

【図12】この発明の第3の実施形態によるLSIの製
造方法を説明するための断面図である。
FIG. 12 is a cross-sectional view for explaining the LSI manufacturing method according to the third embodiment of the present invention.

【図13】従来のデュアルダマシンプロセスによる配線
形成方法を説明するための断面図である。
FIG. 13 is a cross-sectional view for explaining a wiring forming method by a conventional dual damascene process.

【図14】従来のデュアルダマシンプロセスによる配線
形成方法を説明するための断面図である。
FIG. 14 is a cross-sectional view for explaining a conventional wiring forming method by a dual damascene process.

【図15】従来のデュアルダマシンプロセスによる配線
形成方法を説明するための断面図である。
FIG. 15 is a cross-sectional view for explaining a conventional wiring forming method by a dual damascene process.

【図16】従来のデュアルダマシンプロセスによる配線
形成方法を説明するための断面図である。
FIG. 16 is a cross-sectional view illustrating a conventional method of forming a wiring by a dual damascene process.

【符号の説明】[Explanation of symbols]

1、21、41・・・半導体基板、5、25、45・・
・層間絶縁膜、7、27、48・・・バリアメタル膜、
8、9、28、29、49、50・・・Cu膜
1, 21, 41 ... semiconductor substrate, 5, 25, 45 ...
.Interlayer insulating films, 7, 27, 48... Barrier metal films,
8, 9, 28, 29, 49, 50 ... Cu film

Claims (11)

【特許請求の範囲】[Claims] 【請求項1】 接続孔および/または配線溝に導電膜を
埋め込むようにした半導体装置の製造方法において、 少なくとも上記接続孔および/または配線溝の部分の表
面に化学気相成長法により膜厚が1原子層分の膜厚以上
100nm以下の第1の導電膜を形成する工程と、 上記第1の導電膜上に電解めっき法により第2の導電膜
を形成して上記接続孔および/または配線溝を埋め込む
工程とを有することを特徴とする半導体装置の製造方
法。
1. A method of manufacturing a semiconductor device in which a conductive film is embedded in a connection hole and / or a wiring groove, wherein at least the surface of the connection hole and / or the wiring groove has a film thickness by a chemical vapor deposition method. Forming a first conductive film having a thickness of one atomic layer or more and 100 nm or less; forming a second conductive film on the first conductive film by electrolytic plating to form the connection hole and / or the wiring; Burying a groove.
【請求項2】 上記第1の導電膜の膜厚は1原子層分の
膜厚以上50nm以下であることを特徴とする請求項1
記載の半導体装置の製造方法。
2. The semiconductor device according to claim 1, wherein said first conductive film has a thickness of at least one atomic layer and not more than 50 nm.
The manufacturing method of the semiconductor device described in the above.
【請求項3】 上記第1の導電膜の膜厚は5nm以上5
0nm以下であることを特徴とする請求項1記載の半導
体装置の製造方法。
3. The film thickness of the first conductive film is not less than 5 nm and not more than 5 nm.
2. The method for manufacturing a semiconductor device according to claim 1, wherein the thickness is 0 nm or less.
【請求項4】 上記第1の導電膜の膜厚は1原子層分の
膜厚以上40nm以下であることを特徴とする請求項1
記載の半導体装置の製造方法。
4. The semiconductor device according to claim 1, wherein the thickness of the first conductive film is not less than one atomic layer and not more than 40 nm.
The manufacturing method of the semiconductor device described in the above.
【請求項5】 上記第1の導電膜の膜厚は5nm以上4
0nm以下であることを特徴とする請求項1記載の半導
体装置の製造方法。
5. The film thickness of the first conductive film is 5 nm or more.
2. The method for manufacturing a semiconductor device according to claim 1, wherein the thickness is 0 nm or less.
【請求項6】 上記第1の導電膜および上記第2の導電
膜は互いに同一の材料からなることを特徴とする請求項
1記載の半導体装置の製造方法。
6. The method according to claim 1, wherein the first conductive film and the second conductive film are made of the same material.
【請求項7】 上記第1の導電膜および上記第2の導電
膜は互いに異なる材料からなることを特徴とする請求項
1記載の半導体装置の製造方法。
7. The method according to claim 1, wherein the first conductive film and the second conductive film are made of different materials.
【請求項8】 上記第1の導電膜はCu、Pt、Rh、
Ag、Ti、TiN/Ti、TiN/RhまたはTiN
/Ptからなることを特徴とする請求項1記載の半導体
装置の製造方法。
8. The first conductive film is made of Cu, Pt, Rh,
Ag, Ti, TiN / Ti, TiN / Rh or TiN
2. The method of manufacturing a semiconductor device according to claim 1, comprising: / Pt.
【請求項9】 上記第2の導電膜はCu、AgまたはP
tからなることを特徴とする請求項1記載の半導体装置
の製造方法。
9. The second conductive film is made of Cu, Ag or P.
2. The method for manufacturing a semiconductor device according to claim 1, comprising t.
【請求項10】 上記第1の導電膜を形成する前に上記
第1の導電膜および上記第2の導電膜の構成元素に対す
る拡散防止膜を形成するようにしたことを特徴とする請
求項1記載の半導体装置の製造方法。
10. The method according to claim 1, wherein a diffusion preventing film for a constituent element of the first conductive film and the second conductive film is formed before forming the first conductive film. The manufacturing method of the semiconductor device described in the above.
【請求項11】 上記拡散防止膜はTiN、TiN/T
i、Ta、TaNまたはWNからなることを特徴とする
請求項10記載の半導体装置の製造方法。
11. The diffusion preventing film is made of TiN, TiN / T.
11. The method of manufacturing a semiconductor device according to claim 10, comprising i, Ta, TaN or WN.
JP8120898A 1998-03-27 1998-03-27 Manufacture of semiconductor device Pending JPH11283979A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP8120898A JPH11283979A (en) 1998-03-27 1998-03-27 Manufacture of semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP8120898A JPH11283979A (en) 1998-03-27 1998-03-27 Manufacture of semiconductor device

Publications (1)

Publication Number Publication Date
JPH11283979A true JPH11283979A (en) 1999-10-15

Family

ID=13740078

Family Applications (1)

Application Number Title Priority Date Filing Date
JP8120898A Pending JPH11283979A (en) 1998-03-27 1998-03-27 Manufacture of semiconductor device

Country Status (1)

Country Link
JP (1) JPH11283979A (en)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001338924A (en) * 2000-05-29 2001-12-07 Sony Corp Method of manufacturing semiconductor device
WO2003012845A1 (en) * 2001-07-31 2003-02-13 Applied Materials, Inc. Semiconductor fabrication device and semiconductor fabrication method
KR100407681B1 (en) * 2000-06-26 2003-12-01 주식회사 하이닉스반도체 Method of forming a metal line in a semiconductor device
KR100407679B1 (en) * 2000-06-15 2003-12-01 주식회사 하이닉스반도체 Method of forming a copper wiring in a semiconductor device
US7001841B2 (en) 2002-08-26 2006-02-21 Matsushita Electric Industrial Co., Ltd. Production method of semiconductor device
JP2007123923A (en) * 1999-12-15 2007-05-17 Asm Genitech Korea Ltd Method of forming copper interconnection and thin film using catalyst and chemical vapor deposition method
JP2007251164A (en) * 2006-03-15 2007-09-27 Internatl Business Mach Corp <Ibm> Interconnect structure, semiconductor structure and method of forming interconnect structure (formation of oxidation-resistant seed layer for interconnect usage)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007123923A (en) * 1999-12-15 2007-05-17 Asm Genitech Korea Ltd Method of forming copper interconnection and thin film using catalyst and chemical vapor deposition method
JP2001338924A (en) * 2000-05-29 2001-12-07 Sony Corp Method of manufacturing semiconductor device
KR100407679B1 (en) * 2000-06-15 2003-12-01 주식회사 하이닉스반도체 Method of forming a copper wiring in a semiconductor device
KR100407681B1 (en) * 2000-06-26 2003-12-01 주식회사 하이닉스반도체 Method of forming a metal line in a semiconductor device
WO2003012845A1 (en) * 2001-07-31 2003-02-13 Applied Materials, Inc. Semiconductor fabrication device and semiconductor fabrication method
US7001841B2 (en) 2002-08-26 2006-02-21 Matsushita Electric Industrial Co., Ltd. Production method of semiconductor device
JP2007251164A (en) * 2006-03-15 2007-09-27 Internatl Business Mach Corp <Ibm> Interconnect structure, semiconductor structure and method of forming interconnect structure (formation of oxidation-resistant seed layer for interconnect usage)

Similar Documents

Publication Publication Date Title
TWI598996B (en) Methods for producing interconnects in semiconductor devices
US8698318B2 (en) Superfilled metal contact vias for semiconductor devices
US6479902B1 (en) Semiconductor catalytic layer and atomic layer deposition thereof
JP4049978B2 (en) Metal wiring formation method using plating
US6709970B1 (en) Method for creating a damascene interconnect using a two-step electroplating process
US6566258B1 (en) Bi-layer etch stop for inter-level via
US9343407B2 (en) Method to fabricate copper wiring structures and structures formed thereby
US6153522A (en) Semiconductor device manufacturing method
US20020036309A1 (en) Semiconductor device and method for fabricating the same
US20170110369A1 (en) Electronic device and method for producing same
JP2009510771A (en) Techniques for forming copper-based metallization layers including conductive capping layers
JP2002289690A (en) Integrated circuit and its manufacturing method
JP2002033323A (en) Method of manufacturing semiconductor device having copper interconnecting portion
US6642145B1 (en) Method of manufacturing an integrated circuit with a dielectric diffusion barrier layer formed between interconnects and interlayer dielectric layers
JPH11283979A (en) Manufacture of semiconductor device
JP2001053077A (en) Semiconductor integrated circuit device and its manufacture
US6518648B1 (en) Superconductor barrier layer for integrated circuit interconnects
JP4829389B2 (en) Method for forming wiring of semiconductor element
JPH11340226A (en) Manufacture of semiconductor device
KR100572825B1 (en) Method of manufacturing metal layer of semiconductor device
KR20090024854A (en) Metal line and method for fabricating metal line of semiconductor device
KR100421913B1 (en) Method for forming interconnect structures of semiconductor device
KR100451767B1 (en) Method for forming interconnect structures of semiconductor device
JP3269490B2 (en) Semiconductor integrated circuit device and method of manufacturing the same
KR100720400B1 (en) Method for forming interconnect structures of semiconductor device

Legal Events

Date Code Title Description
RD04 Notification of resignation of power of attorney

Effective date: 20041222

Free format text: JAPANESE INTERMEDIATE CODE: A7424