JPH11251457A - 半導体デバイス,メモリ・セル,およびその形成方法 - Google Patents

半導体デバイス,メモリ・セル,およびその形成方法

Info

Publication number
JPH11251457A
JPH11251457A JP10360972A JP36097298A JPH11251457A JP H11251457 A JPH11251457 A JP H11251457A JP 10360972 A JP10360972 A JP 10360972A JP 36097298 A JP36097298 A JP 36097298A JP H11251457 A JPH11251457 A JP H11251457A
Authority
JP
Japan
Prior art keywords
insulating layer
conductive
layer
insulating
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP10360972A
Other languages
English (en)
Inventor
S Reeji Craig
クレイグ・エス・レージ
Buhatt Mosumi
モスミ・ブハット
Tom Ri Yon-Ju
ヨン‐ジュ・トム・リ
G Nagy Andrew
アンドリュー・ジー・ネイジー
E Furisa Larry
ラリー・イー・フリサ
Stanley M Filipiak
スタンレイ・エム・フィリピアク
L Omeera David
デイビッド・エル・オメーラ
P Ong T
ティー・ピー・オング
Michael P Woo
マイケル・ピー・ウー
G Sparkes Terry
テリー・ジー・スパークス
M Geratos Carol
キャロル・エム・ゲラトス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Motorola Solutions Inc
Original Assignee
Motorola Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Motorola Inc filed Critical Motorola Inc
Publication of JPH11251457A publication Critical patent/JPH11251457A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/12Static random access memory [SRAM] devices comprising a MOSFET load element

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Semiconductor Memories (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

(57)【要約】 【課題】 交差結合を形成する際の接触の問題を解消し
た半導体デバイスを提供する。 【解決手段】 半導体デバイスは、SRAMセルのメモ
リ・アレイを含む。SRAMセルは、ロジック型デバイ
スにより密接に関連するプロセス・フローを用いて形成
する。SRAMセルは、典型的な3つの半導体層ではな
く、1つの半導体層を用いて形成する。SRAMセル
は、その寸法を大幅に縮小可能(0.25ミクロン未
満、更に0.1ミクロン以下寸法までも可能)とする多
くの特徴を含む。独特なプロセス統合化方式によって、
ローカル相互接続部(522,524)の形成を可能と
し、各ローカル相互接続部はSRAMの反転器を交差結
合し、単一の開口(70)内に形成する。また、ワード
・ラインの相互接続部分(104)は、同じワード・ラ
インのシリコン部分(36)から横方向にずれているの
で、この相互接続部分は、ビット・ライン接続の邪魔に
ならない。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、一般的に、半導体
デバイスに関し、更に特定すれば、メモリ・セルを備え
たメモリ・アレイを有する半導体デバイスおよびその形
成方法に関するものである。
【0002】
【従来の技術】デバイスの寸法が縮小し続け、半導体デ
バイス内のコンポーネントを相互接続する必要性が高ま
りつつあるので、堅牢であり、かつ半導体デバイス内の
種々のコンポーネントに使用可能な、先進の相互接続シ
ステムが必要となっている。多くの場合、これらのロー
カル相互接続部(local interconnect)は、半導体基板内
のゲート電極とソース/ドレイン領域との間に作られ
る。この接続を行う場合、厚い絶縁層を貫通し、ゲート
電極およびソース/ドレイン領域に達する開口をエッチ
ングする際に困難が生ずる可能性がある。ゲート電極
は、典型的に、ソース/ドレイン領域よりも高い位置に
あるので、ゲート電極の方が、ソース/ドレイン領域と
比較して、長い時間エッチングされる。
【0003】この問題を解決する試みの中に、エッチ・
ストップ膜を用いるものが含まれる。即ち、プラズマ・
エンハンス窒化物膜を、基板内のゲート電極およびドー
プ領域上に形成することができる。非常に厚い酸化物膜
を形成し、平面化する。ゲート電極上のプラズマ・エン
ハンス窒化物膜は、ソース/ドレイン領域上の厚い酸化
物膜を全てエッチングする前に、完全にエッチングで除
去することができる。これが可能なのは、厚い酸化物膜
とプラズマ・エンハンス窒化物との間のエッチング選択
性が低いからである(典型的に、8:1未満)。
【0004】プラズマ・エンハンス窒化物膜の厚さを増
大させることは、よい選択肢ではない。何故なら、エッ
チ・ストップ膜は典型的に厚さが1,000オングスト
ローム未満であり、窒化物の厚さの増大のために、後続
のエッチ・ストップ膜のパターニングが一層困難となり
得るからである。プラズマ・エンハンス窒化シリコン膜
の厚さ増大のために、厚い酸化物膜のエッチングの後に
残る膜厚における非均一性の量が増大する。更に、プラ
ズマ・エンハンス窒化シリコン膜の除去を目的とするエ
ッチング工程が、この非均一性を伝搬し、最も激しくエ
ッチングが行われた弱点(weak spot) において、分離機
能が失われることが予想され、しかもソース/ドレイン
領域上の膜を完全に除去し切れない。また、プラズマ・
エンハンス窒化膜の厚さ増大により、デバイス上の他の
導体に対する容量性結合も増大する。
【0005】この問題を解決しようとする更に他の試み
に、厚い酸化物膜によって覆われた窒化物のエッチ・ス
トップ膜の下に、薄い酸化物膜を配するものがある。こ
の場合も、厚い酸化物膜を貫通してエッチングを行う際
に、窒化物のエッチ・ストップ膜が、厚い酸化物膜のパ
ターニングの間にエッチングされる可能性がある。窒化
物のエッチ・ストップ膜をエッチングした後、酸化物の
エッチャントは急速に薄い酸化物膜を除去する。
【0006】それ以外の別の試みでは、窒化物膜,エッ
チ・ストップ・ポリシリコン膜,および燐ガラス膜を順
次形成する。エッチ・ストップ・ポリシリコン膜をエッ
チ・ストップ膜として、燐ガラス膜を貫通する開口を形
成する。この構造に高圧蒸気酸化を行い、エッチ・スト
ップ・ポリシリコンを熱酸化膜に変換する。エッチング
工程を実行し、熱酸化物膜および窒化物膜を貫通する開
口を形成する。高圧蒸気酸化は、ゲート電極のような他
に存在する構造に、望ましくない酸化を発生させる可能
性がある。
【0007】
【発明が解決しようとする課題】特に、スタティック・
ランダム・アクセス・メモリ(SRAM)メモリ・セル
を有する半導体デバイスでは、記憶ノードとラッチ・ト
ランジスタとの間の交差結合を形成する際に、かかる接
触の問題を発生し易い。図1を参照すると、SRAMセ
ル10は、1対のパス・トランジスタ11,12を含
み、それぞれ、ビット・ライン(BL)および相補ビッ
ト・ライン(BL反転)に接続されている。トランジス
タ11の他の部分は、n−型ラッチ・トランジスタ13
およびp−型負荷トランジスタ15のドレインに接続さ
れている。パス・トランジスタ12の他の部分は、n−
チャネル・ラッチ・トランジスタ14およびp−チャネ
ル負荷トランジスタ16のドレインに接続されている。
図1に見られるように、ラッチ・トランジスタ13およ
び負荷トランジスタ15のゲート電極は、トランジスタ
14,16のドレインに接続されている。また、トラン
ジスタ14,16のゲート電極は、トランジスタ13,
15のドレインに接続されている。トランジスタ13,
14のソースは、VSS電極に接続され、トランジスタ1
5,16のソースはVDD電極に接続されている。パス・
トランジスタ11,12のゲート電極は、ワード・ライ
ンの一部であり、互いに電気的に接続されている。この
特定のSRAMセル10では、SRAMセル内で反転器
を交差結合しようとする際に、典型的に、困難に遭遇す
る。
【0008】
【発明の実施の形態】本発明は、添付図面に、限定では
なく一例として、図示してある。図面では、同様の参照
番号は、同様のエレメントを示すものとする。
【0009】また、図面におけるエレメントは、簡略性
および明確性を目的として図示されており、必ずしも同
じ拡縮率で描かれている訳ではないことを、当業者は認
めよう。例えば、図面におけるエレメントには、その寸
法が他のエレメントに対して誇張してあり、本発明の実
施例(群)の理解を深める役割を果たしているものもあ
る。
【0010】半導体デバイスは、スタティック・ランダ
ム・アクセス・メモリ・セルのメモリ・アレイを含む。
SRAMセルは、ロジック型デバイス(即ち、マイクロ
コントローラ,マイクロプロセッサ等)により密接に関
連するプロセス・フローを用いて形成される。本発明の
SRAMセルは、他のSRAMセルにおいて典型的に見
られる少なくとも3つの層と比較して、1つの半導体
(即ち、シリコン,ゲルマニウム等)層を用いて形成さ
れる。SRAMセルは、非常に小さな寸法(0.25ミ
クロン未満、更に0.1ミクロンおよびそれ以下も可能
である)にまで、その寸法を縮小可能とする多くの特徴
を含む。独特のプロセス統合方式によって、ローカル相
互接続部の形成を可能とし、各ローカル相互接続部が、
SRAMの反転器を交差結合し(cross couple)、単一の
開口内に形成される。他の特徴については、以下で論ず
る。本発明は、以下に続く詳細な説明によって、よりよ
く理解されよう。
【0011】図2は、メモリ・アレイを有する半導体デ
バイスの一部の平面図を示し、SRAMセルの一部分
が、半導体デバイスの基板から形成されている。この明
細書で用いる場合、半導体デバイス基板とは、単結晶半
導体ウエハ,絶縁物上半導体(SOI)ウエハ,または
半導体デバイスを形成する際に用いられるその他のあら
ゆる種類の基板を含むものとする。この特定実施例で
は、半導体デバイス基板は、単結晶半導体ウエハおよび
その上に位置するエピタキシャル層を含む。半導体デバ
イス基板の主面は、コンポーネント(即ち、トランジス
タ等)の部分が形成される面である。
【0012】図2に示すように、フィールド分離領域2
0を形成し、アクティブ領域22,24,26,28を
規定する。フィールド分離領域20は、従来の手段によ
って形成し、より具体的には、本実施例ではシャロー・
トレンチ分離プロセス(shallow trench isolation proc
ess)を用いて形成する。フィールド分離領域20を形成
した後、一連のインプラント・ドーピング工程を実行
し、ウエル領域を形成する。アクティブ領域22,24
は、低濃度にドープしたp−型シリコンであり、アクテ
ィブ領域26,28は、低濃度にドープしたn−型シリ
コンである。アクティブ領域22,24は、典型的に、
p−ウエル領域の一部であり、アクティブ領域26,2
8は、典型的に、n−ウエル領域の一部である。ウエル
領域のように、低濃度にドープした領域は、1立方セン
チメートル当たり約1E18原子以下のドーピング濃度
を有する。
【0013】アクティブ領域22,24,26,28上
にゲート誘電体層を形成するが、図2には示されていな
い。アクティブ領域22,24,26,28およびフィ
ールド分離領域20上に、半導体層を堆積する。半導体
層の厚さは、約1,000ないし3,000オングスト
ロームの範囲である。
【0014】オプションのインプラント・スクリーン層
(即ち、薄い酸化物層)を、半導体層上に形成するが、
図示していない。インプラント・スクリーン層の厚さ
は、50ないし200オングストロームの範囲である。
次に、半導体層上にマスキング層(図示せず)を形成
し、周辺エリア(メモリ・アレイの外側)の全てではな
いにしても、その殆どを被覆する。メモリ・アレイ内の
半導体層を露出させる。次に、半導体層の露出部分にド
ーパントを導入し、マスキング層およびインプラント・
スクリーン層を除去する。
【0015】半導体層上に絶縁キャッピング層(insulat
ing capping layer)を形成する。絶縁キャッピング層
は、典型的に、二酸化シリコンとは異なるエッチング・
レートを有する。しかしながら、特定の実施例の中に
は、絶縁キャッピング層は、テトラエチル・オルトシリ
ケート(TEOS:tetraethyl orthosilicate)を用い
て形成した酸化物層とすることが可能な場合もある。絶
縁キャッピング層は、複数の膜を含むことができる。絶
縁キャッピング層は、典型的に、窒化物膜であり、その
厚さは約700ないし1500オングストロームの範囲
である。
【0016】次に、絶縁キャッピング層44上にマスキ
ング層40をコートし、パターニングを行って開口42
を形成する。その1つを図3に示す。メモリ・アレイ内
において、絶縁キャッピング層44をいくらか露出させ
る。メモリ・アレイ内のこれらのエリアは、半導体層を
ラッチ・トランジスタおよび負荷トランジスタのドレイ
ン領域に電気的に接続する位置に対応する(反転器の交
差結合)。メモリ・アレイの外側では、入力保護トラン
ジスタを形成するエリアの可能な例外として、絶縁キャ
ッピング層44全体が、マスキング層40で被覆されて
いる。エッチング工程を実行し、マスキング層40によ
って被覆されていない全てのエリアに対して、半導体層
の上に位置する絶縁キャッピング層44を除去する。エ
ッチングの後、マスキング層40を除去し、絶縁キャッ
ピング層44および半導体層の露出部分上に、反射防止
層(図示せず)を形成する。反射防止層は、典型的に、
シリコン濃厚窒化シリコンのような窒化物を堆積するこ
とによって形成し、その厚さは約100ないし400オ
ングストロームの範囲である。
【0017】反射防止層上にマスキング層(図示せず)
を形成し、これにパターニングを行う。反射防止層,残
留する絶縁キャッピング層44,およびパターニングさ
れたマスキング層によって被覆されていない半導体層の
部分を除去し、半導体層を含む導電性部材32,34,
36を形成する。パターニングの後、絶縁キャッピング
層は、その下地導電性部材とほぼ完全に重なり合う側面
を有する。
【0018】図4を参照すると、導電性部材32は、ラ
ッチ・トランジスタ・ゲート電極部332,負荷トラン
ジスタ・ゲート電極部326,および相互接続部325
を含む。この明細書で用いる場合、相互接続部とは、フ
ィールド絶縁領域20の上に位置する導電性部材の部分
のことであり、典型的に、ゲート電極部を互いに、また
はメモリ・セル即ちデバイスの他の部分と接続するため
に用いる。導電性部材34は、ラッチ・トランジスタ・
ゲート電極部344,負荷トランジスタ・ゲート電極部
348,および相互接続部345を含む。導電性部材3
6は、パス・トランジスタ・ゲート電極部362,36
4および相互接続部365を含む。導電性部材36は、
メモリ・アレイのワード・ラインの一部である。ゲート
電極部は、それらの各トランジスタのためのゲート電極
である。
【0019】マスキング層および反射防止層は、導電性
部材32,34,36を形成した後除去する。反射防止
層を除去するには、ドライ・エッチング・プロセスを用
いる。酸化シリコン層のような保護層を、導電性部材上
に形成する。その厚さは、約50ないし200オングス
トロームの範囲である。
【0020】次に、N+およびP+ソース/ドレイン・
ドーピングを行う。燐,砒素等を含むn−型ドーパント
を用いて、アクティブ領域22および24(図2に見ら
れるような領域)の部分にドープし、図4に示すよう
に、1立方センチメートル当たり少なくとも1E19原
子のドーパント濃度に、N+ドープ領域222,22
4,226,242,244,246を形成する。硼素
等を含むp−型ドーパントを用いて、アクティブ領域2
6,28の部分にドープし、1立方センチメートル当た
り少なくとも1E19原子のドーパント濃度に、P+ド
ープ領域264,268,284,288を形成する。
これらは、半導体デバイスのソース,ドレイン,および
ソース/ドレイン領域(電流搬送電極)を形成する。
尚、同様のドーピングを周辺回路にも行い、その場合、
n−チャネル・トランジスタはn−型ドーピングを受
け、p−チャネル・トランジスタはp−型ドーピングを
受けることを注記しておく。典型的に、アニールを行い
ドーパントを活性化する。半導体デバイスの周辺領域で
は、半導体層にN+またはP+ドーピングを行い、n−
チャネルおよびp−チャネル・トランジスタのゲート電
極を形成する。
【0021】保護層および基板の他の部分上に、スペー
サ形成層を形成する。典型的に、スペーサ形成層は、厚
さが約500ないし800オングストロームの範囲の窒
化シリコン層である。絶縁キャッピング層と同様、スペ
ーサ形成層も、TEOSを用いて形成した酸化物層とす
ることも可能である。スペーサ形成層には、他の材料も
使用可能である。スペーサ形成層に異方性エッチングを
行い、導電性部材に隣接してスペーサを形成する。
【0022】プロセスのこの時点において、いくつかの
構造の形状について注記しておく。絶縁キャッピング層
44,保護層,および側壁スペーサの組み合わせは、複
合絶縁層を形成する。複合絶縁層の形状は、導電性部材
32,34,36の形状とほぼ同様である。部分42
5,445以外は複合絶縁層によって被覆され、更に複
合絶縁層は導電性部材32,34を横方向に包囲する。
図4では、絶縁部材32,34,36上の絶縁キャッピ
ング層44の位置を示す。何故なら、これは、導電性部
材32,34,36の上に位置する主要絶縁層であるか
らである。導電性部材32,34の部分425,445
は、絶縁キャッピング層44によって被覆されない。側
壁スペーサを含む複合絶縁層を構成する他の層は、レイ
アウトの理解を容易にするために、図4のような平面図
には図示していない。
【0023】次に、シリサイド・プロセスを実行し、導
電性部材32,34の部分425,445上、およびド
ープ領域222,242,226,242,244,2
46,264,268,284,288上にシリサイド
領域を形成する。この処理シーケンスは、N+およびP
+ドープ領域,およびメモリ・アレイの外側である、周
辺エリアの残りの半導体層のほぼ全ての上にもシリサイ
ドを形成する。入力保護回路の他に、周辺エリア内のト
ランジスタのゲート電極にもシリサイドを形成する。シ
リサイド領域は、珪化チタン(TiSi2 ),珪化コバ
ルト(CoSi 2 ),珪化ニッケル(NiSi),珪化
パラジウム(Pd2 Si),珪化タンタル(TaS
2 ),珪化モリブデン(MoSi2 ),珪化プラチナ
(PtSi)等を含む。
【0024】次に、導電性部材32,34,36および
基板の他の部分の上に、複数の絶縁膜を含む絶縁層を形
成する。絶縁層を貫通する開口を形成し、図5に示すよ
うに、開口内に導電性部材522,524,542,5
44,562,564,582,584を形成する。導
電性部材522,524は、記憶ノードのために電気的
接続を行うセル内ストラップ(ローカル・インレイド相
互接続部(local inlaid interconnect) )である。導電
性部材542,544,562,564は、ビット・ラ
インおよびVSS接続のためのコンタクト・ランディング
・パッド(contact landing pad) である。導電性部材5
82,584は、セル間ストラップ(ローカル・インレ
イド相互接続部)であり、各々、4つの異なるメモリ・
セルのドレイン領域に対する電気的接続を行い、VDD
続のためのコンタクト・ランディング・パッドである。
4つのメモリ・セルの1つを図5に示す。
【0025】絶縁層および導電性部材の形成は、ロジッ
ク・デバイス(即ち、マイクロプロセッサ,マイクロコ
ントローラ等)に対してより密接に関連するプロセス・
シーケンスによって形成されるSRAMセルに合わせて
行われた(tailor)。その中核の一部は、SRAMセル内
の反転器の交差結合に関する。図6ないし図8は、図5
の切断線6−6および8−8から見た、メモリ・セルの
部分の断面図を示す。
【0026】図6は、半導体デバイス内のメモリ・セル
の一部を含み、更にN−ウエル領域602およびP−ウ
エル領域606を含む。フィールド分離領域20,P+
ドープ領域284,およびN+ドープ領域244は、半
導体基板の主面付近に位置し、それぞれ、ウエル領域6
02,606から形成される。導電性部材32の部分4
25および導電性部材34の相互接続部345は、フィ
ールド分離領域20の部分の上に位置する。シリサイド
領域610は、部分425,P+ドープ領域284,お
よびN+ドープ領域244の上に位置するが、図6に示
す相互接続部345の部分の上には位置しない。相互接
続部345の上に位置するのは、絶縁キャッピング層4
4である。部分425および相互接続部345に隣接し
て、保護層616および絶縁スペーサ618がある。こ
の時点までの処理については、既に論じた。
【0027】シリサイド領域610を形成した後、次に
基板を処理し、絶縁層62を堆積する。図6に見られる
この特定実施例では、絶縁層62は5枚の膜を含む。図
5に示す導電性部材522,524を形成する際、半導
体デバイスの他の部分に損傷を加えたり悪影響を及ぼす
ことなく、適正な電気的接続を行う必要がある。図6を
参照すると、例えば、テトラエチルオルトシリケート
(TEOS即ちSi(OC2 5 4 )および酸素(O
2 )を使用し、プラズマ酸化物堆積工程を用いて、第1
絶縁膜620を形成する。第1膜の厚さは、約500オ
ングストローム未満であり、通常、約150ないし40
0オングストロームの範囲の厚さを有する。
【0028】第2絶縁膜622を形成する。第2絶縁膜
622は、傾斜窒化シリコン膜(graded silicon nitrid
e film) を含み、その厚さは約500ないし1,000
オングストロームの範囲である。第2絶縁膜622の形
成に関する詳細は、この明細書の後半において説明す
る。第1および第2絶縁膜620,622を組み合わせ
た厚さは、可能であれば、約1,000オングストロー
ム以下に保持すべきである。あるいは、第1および第2
絶縁膜620,622を、耐熱金属の酸化物または金属
窒化物の単一膜,あるいは低k誘電体膜で置換すること
も可能である。この明細書で用いる場合、低k誘電体膜
は、二酸化シリコンよりも低い誘電率を有する膜のこと
を意味する。尚、二酸化シリコンの誘電率は、3.9で
ある。
【0029】第2絶縁膜上に第3絶縁膜624を形成す
る。第3絶縁膜624は典型的にドープ酸化物を含む。
具体的な実施例の1つでは、この膜を形成するには、T
EOS,酸素,硼酸トリメチル(TMB),および燐酸
トリメチル(TMPi)を用い、ボロフォスフォシリケ
ート・ガラスを形成することができる。あるいは、非ド
ープ酸化物膜あるいはシラン(SiH4 )またはジシラ
ン(Si2 6 )を用いて形成される酸化物膜のよう
な、他の絶縁膜を形成することも可能である。第3絶縁
膜624の厚さは、1,000オングストロームよりも
厚く、通常では約5,000ないし15,000オング
ストロームの厚さに堆積することが多い。
【0030】第3絶縁膜624を平面化し、第4絶縁膜
626を被せる。第4絶縁膜626は、典型的に、酸化
物を含み、約1,000ないし4,000オングストロ
ームの厚さを有する。第4絶縁膜626上に、反射防止
膜である第5絶縁膜628を形成する。第5絶縁膜62
8は、典型的に、シリコン濃厚シリコン酸窒化物,シリ
コン濃厚シリコン窒化物等である。第4および第5絶縁
膜626,628はオプションである。
【0031】第1,第2,第3,第4,および第5絶縁
膜は、約450℃以下の温度で形成し、凝集またはシリ
サイド領域610に対する他の悪影響の可能性を低下さ
せる。しかしながら、高速熱処理を用いてこれらの膜の
いずれかを形成すると、堆積の間の温度が750℃もの
高温となる場合がある。何故なら、堆積時間が典型的に
5分未満となるからである。第1,第3,および第4絶
縁膜は、従来の手段を用いて形成する。
【0032】第2絶縁膜622は、傾斜窒化シリコン膜
を含むことができ、少なくとも4種類の異なる方法で形
成することができる。一実施例では、「フラッシュPE
N」プロセスを用いて、第2絶縁膜622を形成する。
このプロセスでは、従来のプラズマ・エンハンス窒化物
プロセスを、プロセスの開始時に用いる。少なくとも1
つの窒素ソース・ガス(窒素(N2 ),アンモニア(N
3 )等)および半導体ソース・ガス(シラン(SiH
4 ),ジシラン(Si2 6 ),塩素化シランまたはジ
シラン等)を、堆積の間流す。典型的に、シラン,窒
素,およびアンモニアをプロセス開始の間流す。
【0033】窒素ソース・ガス流と同時またはこれより
も前に半導体ソース・ガス流を停止する代わりに、半導
体ソース・ガス流よりも前に、窒素ソース・ガス流を停
止する。これら2つのガス流を停止する時間差は、典型
的に、0.5ないし15秒であり、約1ないし7秒の範
囲というのが更に一般的である。この時間中、反応器内
の窒素ソース・ガスは欠乏し、一方半導体ソース・ガス
は流れ続ける。得られる第2絶縁膜622は、傾斜組成
を有し、第3絶縁膜624付近の表面では、半導体(即
ち、シリコン)の濃度が高くなっている。このシリコン
濃度の上昇によって、第3絶縁膜624と比較してより
良いエッチング選択性が与えられる。
【0034】あるいは、第2絶縁膜622は、プロセス
の開始時に、窒化シリコンの堆積のための従来のパラメ
ータを使用し急速熱化学蒸着を用いて形成する。半導体
ソース・ガス(即ち、SiH4 等)および窒素ソース・
ガス(即ち、NH3 等)は、約1:8ないし1:12の
範囲のガス流比率で流す。「フラッシュPEN」プロセ
スと同様、堆積の最初の部分では、ほぼ化学量論比を有
する窒化シリコン膜を形成する。しかしながら、堆積の
終了付近では、半導体ソース・ガスを減少または停止さ
せる前に、約5ないし10秒の範囲の時間期間にわた
り、窒素ソース・ガス流を停止する。この膜は典型的に
「フラッシュPEN」プロセスよりも高い温度で形成す
るが、この急速熱プロセスは、典型的に、より良い電気
的特性を有する膜を与える。
【0035】尚、本発明の実施例は、半導体ソース・ガ
スを停止する前に、窒素ソース・ガスを完全に停止しな
くてもよいことを注記しておく。半導体ソース・ガスの
流量の窒素ソース・ガスの流量に対する比率は、第2絶
縁膜622の堆積終了付近では増大する。したがって、
半導体ソース・ガス流を停止する前に、窒素ソース・ガ
スの流量を減少させることができるが、必ずしも停止す
ることはない。
【0036】更に別の方法では、従来のプラズマ・エン
ハンス窒化シリコン膜の堆積を用いて、傾斜窒化シリコ
ン膜を形成することができる。堆積に続いて、シリコ
ン,ゲルマニウム等のイオンを従来のプラズマ・エンハ
ンス窒化シリコン膜に注入し、膜の上面付近の半導体含
有量を増大させる。シリコン・イオンを用いる場合、加
速エネルギは約5ないし50キロ電子ボルトの範囲であ
り、投与量は1平方センチメートル当たり少なくとも1
E15イオンである。
【0037】逆に、半導体膜(即ち、シリコン,ゲルマ
ニウム,シリコン・ゲルマニウム等)は、プラズマ・エ
ンハンス化学蒸着によって堆積することができる。半導
体膜に窒素イオンを注入する。あるいは、半導体膜に酸
素,アルゴン,または炭素を注入し、その抵抗率を高め
ることも可能である。イオンは、約5ないし50キロ電
子ボルトの範囲の加速エネルギ、および1平方センチメ
ートル当たり少なくとも1E15イオンの投与量で半導
体膜内に注入する。
【0038】更に別の実施例では、傾斜窒化膜は、プラ
ズマ・エンハンス窒化シリコン膜とこの窒化シリコン膜
上の半導体膜との組み合わせによって置換することも可
能である。半導体膜の厚さは約100オングストローム
以下であり、典型的には約50オングストローム以下で
ある。直前の段落で記載したような抵抗率を増大させる
ためのイオン注入は、オプションである。
【0039】更に別の実施例では、傾斜窒化シリコン膜
は、反応性スパッタリング・プロセスを用いて形成する
ことができる。スパッタ堆積の開始時に、窒素およびア
ルゴンを含むプラズマを、シリコン・ターゲットに対し
て方向付ける。堆積の殆どは、3:4のシリコン対窒素
比を有する膜を堆積するために設計されている。堆積の
終了時頃では、プラズマ内のシリコン対窒素の比率は低
下し、堆積膜内のその上面付近でシリコン対窒素比が上
昇する。注入方法またはスパッタ堆積方法の後、典型的
にアニールを行う。
【0040】傾斜窒化シリコン膜は、その厚さ全体にわ
たってほぼ均一な組成を有する、半導体濃厚窒化物膜
(即ち、シリコン濃厚窒化シリコン)で置換することが
できる。この膜は、従来の方法で形成する。
【0041】第1および第2絶縁膜620,622は、
耐熱金属の酸化物(二酸化チタン(TiO2 ),五酸化
タンタル(Ta2 5 )等)または金属窒化物(窒化ア
ルミニウム(AIN),窒化タンタル・シリコン(Ta
x Siy z )等)の単一膜で置換することができる。
これらの膜は、金属または金属化合物膜を堆積し、次い
で、酸素環境において、スパッタリングで形成した膜を
酸化させることによって形成することができる。この場
合、通常、アニーリング,無線周波数(RF)酸素プラ
ズマ等を含む。あるいは、耐熱金属または耐熱金属化合
物を、酸素を含有するプラズマ内においてスパッタリン
グすることにより、耐熱金属の酸化物を堆積することも
可能である。金属窒化物膜は、窒素を含むプラズマ内に
おいて金属または金属化合物をスパッタリングすること
によって形成することができる。
【0042】窒化タンタル・シリコン(Tax Siy
z )および窒化タンタルは、窒素を含むプラズマを用
い、硅化タンタルまたはタンタルを反応性スパッタリン
グする(reactivity sputtering) ことによって形成す
る。プラズマ内の窒素量を調節することによって、絶縁
タンタル系膜を形成することができる。窒化タンタル・
シリコンを絶縁性とするために、膜内の窒素の原子百分
率が少なくとも45原子%,より一般的には55原子%
以上となるように、窒素流量を調節しなければならな
い。膜内の55原子%の窒素濃度は、スパッタリング・
チャンバ内における約3:1の窒素対アルゴン・ガス比
に対応する。窒化タンタルを絶縁性とするには、窒素対
アルゴンガス比を一層高く、一般的には5:1より高い
比とする。窒化タンタル・シリコンおよび窒化タンタル
では、それらの対応する導電性膜と比較して、絶縁膜を
形成する場合により高い窒素対アルゴン・ガス比を用い
る。
【0043】第5絶縁膜628は、シラン,アンモニア
(NH3 ),および亜酸化窒素(N 2 O)のプラズマ反
応によって形成する。酸窒化シリコン膜は決して化学量
論とならないが、第5絶縁膜は、殆どの従来のシリコン
酸窒化膜と比べると、比較的シリコン濃厚性が高い。堆
積の間ガス流比は、3:1ないし5:1(SiH4 :N
2 O),4:1ないし6:1(N2 :N2 O)および1
0:1ないし14:1(N2 :SiH4 )である。他の
全ての堆積パラメータは従来通りである。
【0044】膜620,624,626のような従来の
絶縁膜でさえも、完全な絶縁体である膜はない。膜62
2,628は、典型的に、従来の絶縁膜と比較すると、
比較的シリコン量を多く有することができる部分を有す
る。膜620,624,626は、膜622,628と
比較すると、完全な絶縁体に近く、膜622,628
は、この明細書では絶縁膜と見なすことにする。何故な
ら、これらは、半導体と比較すると、絶縁体の方に近い
特性を有するからである。絶縁膜62を用いると、最終
半導体デバイス内のメモリ・セル当たりの漏れ電流は、
DD電極とVSS電極との間の電位差が約1.8ボルトで
あり、メモリ・セルの温度が約125℃である場合、約
10ピコアンペア以下となる。約1.8ボルト差におけ
るメモリ・セル当たりの漏れ電流は、室温(約22℃)
で通常1ピコアンペア未満である。本発明の実施例で
は、約1.8ボルト差におけるメモリ・セルの漏れ電流
は、室温で約0.1ピコアンペアである。
【0045】次に、絶縁膜62の予めパターニングして
ある表面629上にレジスト層64をコートし、レジス
ト層64の部分を露光し、レジスト層64を現像してマ
スキング層の開口を形成することによって、パターン・
マスキング層を形成する。図6に示すように、マスキン
グ層開口の1つを66で示す。マスキング層の開口は、
導電性部材522,524,542,544,562,
564,582,584が形成される場所に対応する。
【0046】複数の処理工程を含むエッチング・シーケ
ンスを、単一のエッチング・チャンバ内において、単一
の真空サイクルの間に実行する。あるいは、複数の真空
サイクルまたは複数のエッチング・チャンバ内におい
て、エッチング・シーケンスを行うことも可能である。
フッ素含有ガスを用いて、5枚の絶縁膜620,62
2,624,626,628にエッチングを行う。しか
しながら、実際のフッ素含有ガスおよびエッチング・プ
ラズマ内のその他のガスは、膜間で様々に変化する。
尚、パターン・マスキング層は、導電性部材522,5
24,542,544,562,564,582,58
4のための開口を形成するために用いる唯一のマスキン
グ層であることを注記しておく。言い換えると、導電性
部材522,524,542,544,562,56
4,582,584を形成するためには、デュアル・イ
ンレイド・プロセス・シーケンス(dual-inlaid process
sequence)を実行しない。
【0047】トリフルオロメタン(CHF3 )および四
フッ化炭素(CF4 )の組み合わせを用いて、第5およ
び第4絶縁膜626,628を貫通するエッチングを行
う。第5膜628をエッチングによって貫通させた後、
ガス化学薬品を切り替え、第4絶縁膜626をエッチン
グする場合には、CF4 に対して相対的に薄くする。第
5絶縁膜628のエッチングの間、CHF3 :CF4
は約1:1であり、第4絶縁膜626の間、CHF3
CF4 比は約10:1である。
【0048】第3絶縁膜624のエッチングは、膜の厚
さが半導体デバイス全体で変化するので、困難である。
図6に見られるように、相互接続部345および絶縁キ
ャッピング層44上の第3絶縁膜624の一部分が最も
薄く、ドープ領域284,244の上に位置するシリサ
イド領域610上の第3絶縁膜624の別の部分はかな
り厚めであり、部分425の上に位置するシリサイド領
域610上の第3絶縁膜の更に別の部分は、中間の厚さ
を有する。これら3つの異なる高さのために、エッチン
グが困難となる。
【0049】第3絶縁膜624は、二工程プロセスの間
にエッチングする。最初の工程において、絶縁キャッピ
ング層44の上に位置する第3絶縁膜624の殆どを除
去する。第3絶縁膜をエッチングするには、オクトフル
オロブテン(C4 8 ),一酸化炭素(CO),および
CF4 の組み合わせを用いる。C4 8 :CO:CF 4
ガスの比率は、約1:7:2である。絶縁キャッピング
層44上の第2絶縁膜622に達する前、またはその直
後に、エッチング化学薬品を交換する。CF4ガス流を
停止するが、C4 8 ガスおよびCOガスはほぼ同じ流
量で継続する。
【0050】プラズマ・エンハンス窒化物のような従来
の窒化物膜を第2絶縁膜622に用いた場合、ドープ領
域244,284の上に位置するシリサイド領域610
の上に位置する第2絶縁膜622の部分に到達する前
に、絶縁キャッピング層44上にある第2絶縁膜622
の殆どは除去される。シリサイド領域610の上に位置
する第2絶縁膜622の部分を除去するための後続のエ
ッチング工程では、大量の絶縁キャッピング層44を除
去し、相互接続部345とシリサイド領域610との間
に、漏れ経路の形成または電気的短絡の可能性さえもあ
る。第3絶縁膜624付近の表面において、第2絶縁膜
622(傾斜窒化物の実施例の場合)内のシリコン含有
量が多いために、第3絶縁膜624のエッチングの間、
第2および第3絶縁膜622,624間により良いエッ
チング選択性が可能となる。第2絶縁膜622および第
3絶縁膜624のエッチングの終了時頃で用いたエッチ
ング化学薬品の組成は、選択性(第3絶縁膜624のエ
ッチング速度の第2絶縁膜622のエッチング速度に対
する選択性)が少なくとも10:1となるように選択す
る。
【0051】第3絶縁膜のエッチングに続いて、エッチ
ング化学薬品を交換して、第2絶縁膜622の傾斜窒化
シリコンを貫通するエッチングを行う。この特定実施例
では、フルオロメタン(CH3 F)およびO2 を用い
て、第2絶縁膜622を貫通するエッチングを行う。一
特定実施例では、ガスの比率は、O2 のCH3 Fに対す
る比率が2:1ないし5:1の範囲となるようにする。
一特定実施例では、この比率は約3:1である。この工
程に続いて、エッチング化学薬品を再び交換し、第1絶
縁膜620を貫通するエッチングを行う。エッチング化
学薬品は、COおよびC4 8 を、約4:1のCO:C
4 8 比で含む。第2絶縁膜622をエッチングする際
に用いる無線周波数(RF)電力は、絶縁層62をエッ
チングする他の工程全ての間に用いるRF電力の約20
ないし30パーセントである。他のエッチング工程のい
ずれかまたは全ての間、アルゴン,ヘリウム等を含む希
ガスを用いることができる。他の全てのエッチング・パ
ラメータは従来通りである。全てのエッチング工程は、
反応性イオン・エッチングとして特徴付けられる。これ
らのエッチング工程によって、図7に示すような開口7
0を形成する。
【0052】傾斜窒化シリコンの実施例では、第3絶縁
膜624は、傾斜窒化シリコンを含む第2絶縁膜622
に対して選択的に除去することができる。第1の絶縁膜
620は通常二酸化シリコンであるので、絶縁キャッピ
ング層44をエッチングするにしても、さほど多くエッ
チングすることなく、第2絶縁膜622を除去すること
ができる。図7には示さないが、第1および第2絶縁膜
620,622の部分は、開口70内で側壁スペーサ6
18に隣接して位置する場合もある。絶縁スペーサ61
8の側面に沿って絶縁膜622,620が存在すること
によって、後続の処理に伴って決して問題を発生しては
ならない。何故なら、メモリ・セル毎の漏れ電流は容認
可能であるからである。
【0053】先に注記したように、第1および第2絶縁
膜620,622は、耐熱金属の酸化物または金属窒化
物の単一膜で置換することができる。これらの膜は、ア
ルゴン,ヘリウム,クリプトン等のような希ガスを含む
スパッタ・エッチング・プロセスを用いて除去すること
ができる。典型的に、膜が厚い程、堆積およびエッチン
グの非均一性のため、必要なオーバーエッチングが多く
なる。耐熱金属の酸化物または金属窒化物の厚さは、薄
く保持しなければならない。何故なら、このエッチング
・プロセスは選択的でないからである。そうしないと、
この工程のオーバーエッチングの部分が、シリサイド領
域610または絶縁キャッピング層44を除去し過ぎる
可能性がある。
【0054】本発明の一実施例は、第1および第2絶縁
膜620,622の一方または双方に、低k誘電体を用
いることを含む。多くの低k誘電体は有機(炭素含有)
であり、フッ素を含有する場合もある。有機膜を用いる
場合、主に酸素を用いてこれを除去することができ、恐
らくエッチングの間唯一の活性エッチング種として酸素
を有する。
【0055】接着/バリア膜72および導電膜74を、
開口70内および絶縁層62上に形成する。接着/バリ
ア膜は、チタン/窒化チタン化合物等のような、1つ以
上の膜を含むことができる。導電膜74は、タングステ
ン,ドープ・シリコン,アルミニウム,銅等を含む。接
着/バリア膜72および導電性膜74は、典型的に、ス
パッタ堆積,化学蒸着,またはその組み合わせによって
形成する。堆積に続いて、次に基板を研磨し、開口70
の外側にある膜72,74の部分を除去する。相互接続
部材524は既に形成されている。導電性部材524
は、SRAMセルの記憶ノードの一部であることを注記
しておく。この特定の場合では、これはラッチ・トラン
ジスタのドレイン領域を負荷トランジスタのドレイン領
域に接続する。負荷トランジスタは他の導電性部材に電
気的に接続され、他の導電性部材は、同じSRAMセル
の他の2つのラッチ・トランジスタおよび負荷トランジ
スタのゲート電極を含む。より具体的には、相互接続部
材524は、導電性部材32の部分425およびドープ
領域244,284を電気的に接続するが、これは導電
性部材34の相互接続部345からは絶縁されている。
絶縁キャッピング層44は、相互接続部345を縦方向
において相互接続部材524から電気的に絶縁し、スペ
ーサ618および保護層616は、相互接続部345を
横方向において相互接続部材524から電気的に絶縁す
る。
【0056】このプロセスによって、異なる高さに位置
するメモリ・セルの導電性領域を(ドープ領域244ま
たは284を部分425に)電気的に接続する導電性部
材524の形成が可能となる。また、導電性部材524
は、ほぼ同じ高さにある2つの導電性領域を電気的に接
続しつつ、これら2つの導電性領域間に横方向に位置
し、それらよりも高い位置にある更に別の導電性領域
(相互接続部345)からは絶縁されている。この全て
は、2つの異なるマスクを必要とするデュアル・インレ
イド相互接続プロセス・フローを用いることなく、達成
される。開口70を形成するには、1つのマスク層だけ
があればよい。
【0057】同じプロセス・シーケンスの間に、他の導
電性部材522,542,544,562,564,5
82,584を形成する。これらは導電性プラグとな
る。図8は、導電性部材522についての同様の構造を
含む。これは、ドープ領域224,264および部分4
45を互いに接続するために用いられる。シリサイド領
域610は、接触抵抗を減らす役割を果たす。メモリ・
セル内において、シリサイド領域610を有する導電性
部材32,34の部分は、実質的に、上に位置する導電
性部材522,524に電気的接続を行う部分のみであ
る。他の実施例では、メモリ・セル内のシリサイド領域
610は必要でない。導電性部材522,524,54
2,544,562,564,582,584は、下に
位置するドープ・シリコン領域または層に直接接触する
ように形成することができる。
【0058】堆積によってレベル間誘電体層90を形成
し、これにパターニングを行ってコンタクト開口を形成
し、図9に示すように、これらの中に導電性プラグ92
2,926,942,946,968,988を形成す
る。コンタクト開口の外側にある下地の導電性部材の部
分は、図9では破線で示されている。図9の中央付近に
おいて、破線で示す導電性部材は、記憶ノード接続部の
一部である導電性部材である。したがって、このレベル
ではこれらを接触させない。何故なら、これらはセル内
接続部であり、セル間接続部ではないからである。次
に、コンタクト開口を充填し、導電性部材522,52
4,542,544,562,564,582,584
と同様に、導電性プラグ922,926,942,94
6,968,988を形成する。
【0059】図10において、レベル間誘電体レベル9
0上に絶縁膜100を形成し、これにパターニングを行
って相互接続トレンチを形成し、コンタクト開口94
2,944,962,964,982,984内に位置
する下地の導電性プラグを露出させる。相互接続トレン
チ102を充填して、相互接続部104,106,10
8および導電性ランディング・パッド103,105を
形成する。ワード・ラインは、相互接続部104および
導電性部材36を含む。相互接続部104は、導電性部
材36と比較して、格段に低い抵抗を有する。導電性部
材36は、図10の下部付近に破線で示されている。相
互接続部104は、典型的に、各16,32,64,1
28個のメモリ・セル毎に電気的接続(図9には図示せ
ず)を行う。メモリ・セル内では、相互接続部104お
よび導電性部材36は互いにほぼ平行であるが、相互接
続部104は、導電性部材36の上に位置しない。更
に、平面図からは、相互接続部106は、相互接続部1
04と導電性部材36との間に位置する。相互接続部1
06,108を、それぞれ、VSS電極およびVDD電極に
電気的に接続する。続いて、導電性ランディング・パッ
ド103,105を、メモリ・セルのビット・ラインに
接続する。
【0060】処理を続けて、図11に示すように、ほぼ
完全な半導体デバイスを形成する。図11は、図8とほ
ぼ同じ位置における断面図である。相互接続部104,
106,108は、接着/バリア膜1022および導電
性充填材1024を、相互接続トレンチ102内に含
む。相互接続部104,106,108上に、別のレベ
ル間誘電体層110を形成する。導電性ランディング層
103,105(図11には図示せず)に、導電性プラ
グ(図示せず)を形成する。別の絶縁層(図示せず)を
堆積し、これにパターニングを行って相互接続トレンチ
を形成し、ここにビット・ラインを配置する。ビット・
ライン相互接続部112を含む相互接続部は、相互接続
トレンチ内に形成する。相互接続部112は、接着/バ
リア膜1122および導電性充填材1124を含む。メ
モリ・アレイ内では、ビット・ライン相互接続部は、電
源電極(VDDおよびVSS)をコンポーネント(即ち、ト
ランジスタ)に電気的に接続する相互接続部106,1
08とは逆に、最上位の相互接続部である。
【0061】パシベーション層114およびポリイミド
のようなダイ・コート(die coat)116を、相互接続部
112上に形成する。半導体デバイスの他の部分にも他
の電気的接続を行うが、図示しない。必要であれば、追
加のレベル間誘電体層および相互接続層も形成可能であ
る。パシベーション層114およびダイ・コート116
は、最上位の相互接続レベル上に形成する。
【0062】ここに記載するSRAMセルは、0.25
ミクロン・プロセスに用いることができ、更に小さい幾
何学的形状にも縮小可能である。レベル間誘電体層90
から開始するSRAMセルの処理は従来通りである。導
電性充填材1024,1124は、典型的に、アルミニ
ウムまたは銅である。デバイスの寸法が縮小すると、レ
ベル間誘電体層,および相互接続トレンチを規定する絶
縁層に、低k誘電体を用いることができる。非常に小さ
い幾何学的形状の高速SRAMセルのためには、導電性
充填層を銅とする。
【0063】上述のSRAMアレイ内にSRAMセルを
含む半導体デバイスを形成するためのプロセスは、種々
の異なる面を利用するように配合したものである。ま
ず、単結晶シリコン内に形成された6つのトランジスタ
を有するメモリ・セルを形成する。このメモリ・セル
は、4トランジスタ−2抵抗SRAMセルと比較して、
通常より安定なSRAMを形成し、薄膜負荷トランジス
タを備えた6トランジスタSRAMセルと比較して、よ
り良いオン電流対オフ電流比を有する。このプロセス
は、4トランジスタ−2抵抗SRAMセルや、薄膜負荷
トランジスタを備えた6トランジスタSRAMと比較し
て、用いる半導体層が少なくて済む。追加のポリシリコ
ン層を付加することによる追加のプロセス工程またはそ
の他のプロセスの複雑化が回避される。更に、本プロセ
スは、1マスク・プロセス・シーケンスによって導電性
プラグを形成し、異なる高さにある導電性領域を含む複
数の構造上に、記憶ノード接続を形成することを可能に
する。
【0064】図7を参照すると、導電性部材524は、
ドープ領域244,284および導電性部材32の部分
425上のシリサイド領域610への電気的接続を形成
する。しかしながら、導電性部材524は、導電性部材
34の相互接続部345には電気的接続を行わない。明
らかに、本発明の実施例は、スタティック・ランダム・
アクセス・メモリ・セルのみに限定される訳ではない。
同じ形式のプロセスは、半導体デバイス内に、反転器,
一連の反転器またはその他の論理コンポーネントを形成
するためにも使用可能である。ドープ領域244,28
4は逆の導電型を有するが、他の実施例では、同じ導電
型のドープ領域を接続する同様の構造も形成可能であ
る。
【0065】SRAMセルは、相互接続部104および
導電性部材36を含む、ワード・ラインを有する。メモ
リ・セル内では、相互接続部104は、直接導電性部材
36の上に位置するのではない。図10に示すように相
互接続部104を配置することにより、相互接続部10
4は、同一レベルに形成された相互接続部間に、一層均
一な間隔を形成するのに役立ち、これによって、一定の
近接効果のためにパターニングが容易になる。また、相
互接続部104の配置により、相互接続部104がメモ
リ・セル内の導電性部材36上に配置された場合に、ビ
ット・ラインへの短絡の可能性が低下する。言い換える
と、この実施例では、整合不良許容度の増大という形
で、処理マージンが余分に得られる。
【0066】この特定実施例は、シリサイドを形成した
(silicided) 導電性部材の部分を有し、他の部分にはシ
リサイドを形成しない。メモリ・セル内では、上に位置
する導電性部材524,522にそれぞれ接触する部分
425,445のみに、シリサイドを形成する。導電性
部材32,34の他の部分は、シリサイドが形成されな
いゲート電極部を含む。何故なら、デバイスの速度は、
シリサイドを形成しない部分による悪影響を受けないか
らである。導電性部材36は、メモリ・セル内に、シリ
サイドまたはその他の金属を有さない。SRAMセル内
のデータにアクセスする場合の遅延時間の殆どは、行お
よび列デコーダおよびセンス・アンプのような、メモリ
・アレイの外側の周辺回路によって発生する。これらの
周辺回路にはシリサイドを形成し、これらが比較的高速
度で動作可能とする。したがって、シリサイドを形成し
ない導電性部材は、既存の遅延時間よりも約0.1ナノ
秒の遅延時間を追加することになる。この1ナノ秒の1
/10の追加は、アクセス時間の長さが典型的に少なく
とも数ナノ秒であることを考えれば、比較的無意味であ
る。
【0067】多くの膜を用いて絶縁層62を形成し、層
62のエッチングには数回の工程が含まれるが、既存の
材料の使用が可能であり、適性に特徴化されていない未
知のまたは外来の材料またはプロセスを用いる必要がな
い実施例では、プロセスの統合化が得られる。そうする
場合、本プロセスの既存工場への統合化は、典型的に、
新たな機器を調達することなく行うことができる。
【0068】本発明の実施例の更に別の利点は、メモリ
・セルを非常に小さな寸法にまで縮小可能なことであ
る。一特定実施例では、セルは、0.3ミクロン未満の
寸法で形成可能であり、更に0.1ミクロンおよびそれ
以下にも縮小することが可能である。デバイスは、約
1.8ボルトのVDD電位で動作するように設計されてい
るが、VDD電位をこれよりも高くすることも可能であ
り、あるいは、0.9ボルトまたはそれ以下にさえも低
下させることも可能である。したがって、このデバイス
は、非常に先進的な設計ルールを用いることを可能にす
る。ゲート電極のようなメモリ・デバイスのある部分
は、更に縮小して、デバイスの速度を一層高めることも
可能である。
【0069】図示しないが、他のインプラントを用い
て、スレシホルド電圧を調節し、チャネルおよびフィー
ルド・パンチスルー(punchthrough)等の可能性を低下さ
せる。また、低濃度ドープ領域(LDD)のための追加
注入も行うことができる。しかしながら、非常に小さい
寸法および低い電位では、これらのLDD領域は、チャ
ネル・パンチスルーに必要な電圧量の減少、またはこれ
らの領域からのドーパント(拡散)の制御のために、不
必要になる可能性や望ましくなくなる可能性がある。
【0070】上述の明細書では、具体的な実施例を参照
しながら本発明について説明した。しかしながら、特許
請求の範囲に明記した本発明の範囲から逸脱することな
く、種々の修正や変更が可能であることを当業者は認め
よう。したがって、明細書および図面は、限定的な意味
ではなく例示的な意味で解釈すべきであり、かかる修正
は全て、本発明の範囲に含まれることを意図する。特許
請求の範囲においては、ミーンズ・プラス・ファンクシ
ョン(means-plus-function) 項目(群)がある場合は、
いずれも、ここに記載した構造で、列挙した機能(群)
を行うものを含むものとする。また、ミーンズ・プラス
・ファンクション項目(群)は、列挙した機能(群)を
行う構造的同等物および同等の構造も含むものとする。
【図面の簡単な説明】
【図1】6−トランジスタSRAMセル(従来技術)の
概略図。
【図2】フィールド分離領域を形成した後に、部分的に
形成されたSRAMセルの平面図。
【図3】本発明の一実施例にしたがってマスキング層を
絶縁キャッピング層上に形成した後の図2のメモリ・セ
ルの平面図。
【図4】本発明の一実施例にしたがって導電性部材上の
絶縁キャッピング層の部分を除去した後の図3のメモリ
・セルの平面図。
【図5】本発明の一実施例にしたがって導電性部材を形
成した後の図4のメモリ・セルの平面図。
【図6】図5に示す導電性部材の形成の間におけるメモ
リ・セルの部分の断面図。
【図7】図5に示す導電性部材の形成の間におけるメモ
リ・セルの部分の断面図。
【図8】図5に示す導電性部材の形成の間におけるメモ
リ・セルの部分の断面図。
【図9】メモリ・セルにコンタクト開口を形成した後の
メモリ・セルの平面図。
【図10】メモリ・セルに対する第1レベル相互接続後
のメモリ・セルの平面図。
【図11】ほぼ完成したデバイスを形成した後の図10
のメモリ・セルの断面図。
【符号の説明】
10 SRAMセル 11,12 パス・トランジスタ 13 n−型ラッチ・トランジスタ 14 n−チャネル・ラッチ・トランジスタ 15 p−型負荷トランジスタ 16 p−チャネル負荷トランジスタ 20 フィールド分離領域 22,24,26,28 アクティブ領域 32,34,36,522,534,542,544,
562,564,582,584 導電性部材 40 マスキング層 42,70 開口 44 絶縁キャッピング層 62 絶縁層 64 レジスト層 66 マスキング層開口 72,1022,1122 接着/バリア膜 74 導電膜 90 レベル間誘電体レベル 100 絶縁膜 102 相互接続トレンチ 103,105 導電性ランディング・パッド 104,106,108,325,345,365
相互接続部 110 レベル間誘電体層 114 パシベーション層 116 ダイ・コート 222,224,226,242,244,246
N+ドープ領域 264,268,284,288 P+ドープ領域 326,348 負荷トランジスタ・ゲート電極部 332,344 ラッチ・トランジスタ・ゲート電極
部 362,364 パス・トランジスタ・ゲート電極部 524 相互接続部材 602 N−ウエル領域 606 P−ウエル領域 610 シリサイド領域 616 保護層 618 絶縁スペーサ 620 第1絶縁膜 622 第2絶縁膜 624 第3絶縁膜 626 第4絶縁膜 628 第5絶縁膜 629 表面 922,926,942,946,968,988
導電性プラグ 942,944,962,964,982,984
コンタクト開口 1024,1124 導電性充填材
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ヨン‐ジュ・トム・リ アメリカ合衆国テキサス州オースチン、パ ートリッジ・ベンド・ドライブ13034 (72)発明者 アンドリュー・ジー・ネイジー アメリカ合衆国テキサス州オースチン、エ スペランザ・ドライブ11304 (72)発明者 ラリー・イー・フリサ ドイツ国ラディビュール・ベイ・ドレスデ ン、ニザストラッセ、ドレスデン‐ラディ ビュール、ステイジェンバーガー・パー ク・ホテル (72)発明者 スタンレイ・エム・フィリピアク アメリカ合衆国テキサス州フラガービル、 グリーンウエイ・ドライブ500 (72)発明者 デイビッド・エル・オメーラ アメリカ合衆国テキサス州オースチン、ド リンゲンバーグ12620 (72)発明者 ティー・ピー・オング アメリカ合衆国テキサス州オースチン、ウ エスト・ドーマン・ドライブ16107 (72)発明者 マイケル・ピー・ウー アメリカ合衆国テキサス州オースチン、テ ィバー・サークル9300 (72)発明者 テリー・ジー・スパークス アメリカ合衆国テキサス州オースチン、メ ンディチノ・ドライブ8808 (72)発明者 キャロル・エム・ゲラトス アメリカ合衆国カリフォルニア州レッドウ ット・シティ、イートン・アベニュー2651

Claims (6)

    【特許請求の範囲】
  1. 【請求項1】半導体デバイスであって:主面を有する基
    板;各々前記基板内のその主面付近に位置する第1ドー
    プ領域(244)および第2ドープ領域(284);前
    記基板の前記主面の一部(345)の上に位置する第1
    導電性部材(34)であって:平面図からは:前記部分
    (345)は前記第1ドープ領域(244)と前記第2
    ドープ領域(284)との間に位置し;ある形状を有す
    る第1導電性部材(34);前記第1導電性部材の上に
    位置する第1絶縁層(44)であって、平面図からは、
    前記第1導電性部材(34)の形状と実質的に同様の形
    状を有する第1絶縁層(44);第1開口(70)を有
    する第2絶縁層(62);前記第1ドープ領域(24
    4)を前記第2ドープ領域(284)に電気的に接続す
    る第1相互接続部(524)であって:前記第1相互接
    続部(524)は、前記第1導電性部材(34)および
    前記第1絶縁層(44)上に延び;前記第1相互接続部
    (524)は、前記第2絶縁層(62)の第1開口(7
    0)内に位置するインレイド相互接続部であり、 前記第2絶縁層(62)の前記第1開口(70)内にお
    いて、前記第1絶縁層(44)によって縦方向に前記第
    1導電性部材(34)から電気的に絶縁されている第1
    相互接続部(524);から成ることを特徴とする半導
    体デバイス。
  2. 【請求項2】メモリ・セルであって:基板;前記基板の
    一部の上に位置するフィールド分離領域(20);前記
    基板の異なる部分の上に位置するゲート誘電体層;およ
    び前記フィールド絶縁領域(20)および前記ゲート誘
    電体層の上に位置する第1導電性部材(34);から成
    り、 前記第1導電性部材(34)は、相互接続部(345)
    およびゲート電極部(344,348)を含み;前記第
    1導電性部材(34)の前記相互接続部(345)上に
    第1シリサイド領域(445)があるが、前記第1導電
    性部材(34)の前記ゲート電極部(344,348)
    上にはシリサイドがないことを特徴とするメモリ・セ
    ル。
  3. 【請求項3】メモリ・アレイを含む半導体デバイスであ
    って:基板の主面に形成されたコンポーネント;前記コ
    ンポーネントの上に位置する第1絶縁層(90);前記
    第1絶縁層(90)の上に位置する第1レベル相互接続
    部(106,108)であって、電源電極に電気的に接
    続されている第1レベル相互接続部(106,10
    8);前記第1レベル相互接続部(106,108)の
    上に位置する第2絶縁層(110);および前記第2絶
    縁層(110)の上に位置する第2レベル相互接続部
    (112);から成り、前記メモリ・アレイ内におい
    て:前記第2レベル相互接続部(112)はビット・ラ
    インを含み;前記第2レベル相互接続部(112)は、
    前記メモリ・アレイ内のメモリ・セルに電力を供給する
    全ての相互接続部(106,108)よりも高い位置に
    あることを特徴とする半導体デバイス。
  4. 【請求項4】半導体デバイスであって:第1の高さに位
    置する第1導電性領域(288);前記第1の高さより
    も高い第2の高さに位置する第2導電性領域(42
    5);前記第1および第2導電性領域(284,42
    5)の上に位置する第1絶縁膜(622)であって、傾
    斜窒化物,金属窒化物,または低k誘電体を含む第1絶
    縁膜(622);前記第1絶縁膜(622)の上に位置
    し、少なくとも約1,000オングストロームの厚さを
    有する第2絶縁膜(624)であって、前記第1絶縁膜
    (622)と比較して、異なるレートで除去可能な第2
    絶縁膜(624);前記第1および第2導電性領域(2
    84,425)上の前記第1および第2絶縁膜(62
    2,624)を貫通する開口(70);および前記第1
    および第2導電性領域(284,425)の少なくとも
    一方に電気的に接続された相互接続部(524)であっ
    て、前記開口(70)をほぼ埋める相互接続部(52
    4);から成ることを特徴とする半導体デバイス。
  5. 【請求項5】半導体デバイスの形成方法であって:第1
    の高さに位置する第1導電性領域(284)を形成する
    段階;前記第1の高さよりも高い第2の高さに位置する
    第2導電性領域(425)を形成する段階;前記第1お
    よび第2導電性領域(284,425)上に第1絶縁膜
    (622)を形成する段階であって、傾斜窒化物,金属
    窒化物,または低k誘電体を含む第1絶縁膜(622)
    を形成する段階;前記第1絶縁膜(622)上に、少な
    くとも約1,000オングストロームの厚さを有する第
    2絶縁膜(624)を形成する段階;前記第2絶縁膜上
    にパターン・マスキング層を形成する段階であって、前
    記第1および第2導電性領域(284,425)の上に
    位置する第1マスキング層開口(66)を有する前記パ
    ターン・マスキング層を形成する段階;前記第1マスキ
    ング層開口(66)の下に位置する前記第2絶縁膜(6
    24)を貫通するエッチングを行い、前記第1絶縁膜
    (622)を露出させる段階であって、前記第1絶縁膜
    (622)をエッチングによって完全に貫通する前に停
    止する段階;前記第1マスキング層開口(66)の下に
    位置する前記第1絶縁膜(622)を貫通するエッチン
    グを行い、前記第1および第2絶縁膜(622,62
    4)を貫通する絶縁層開口(70)を形成する段階;前
    記第2絶縁膜(624)上および前記絶縁層開口(7
    0)内に導電性膜(74)を形成する段階;および前記
    絶縁層(62)の上に位置する導電膜(74)の部分を
    除去し、前記絶縁層開口(70)内ならびに前記第1お
    よび第2導電性領域(284,425)上にインレイド
    相互接続部(524)を形成し、該インレイド相互接続
    部(514)を前記第1および第2導電性領域(28
    4,425)の少なくとも一方に電気的に接続する段
    階;から成ることを特徴とする方法。
  6. 【請求項6】半導体デバイスの形成方法であって:第1
    導電性領域(244),第2導電性領域(345),お
    よび第3導電性領域(284)ならびに第1絶縁層(4
    4)を形成する段階であって:平面図からは、前記第2
    導電性領域(345)は前記第1および第3導電性領域
    (244,284)の間に位置し;前記第2導電性領域
    (345)は、前記第1および第3導電性領域(24
    4,284)の各々よりも高い位置にあり;前記第1絶
    縁層(44)が前記第2導電性領域(345)上には位
    置するが、前記第1および第3導電性領域(244,2
    84)上には位置しないように、前記第1絶縁層(4
    4)にパターニングを行う段階;前記第1,第2,およ
    び第3導電性領域(244,345,284)上に第2
    絶縁層(62)を形成する段階であって:前記第2絶縁
    層(62)は少なくとも約1,000オングストローム
    の厚さを有し、予めパターニングされた表面(629)
    を有し;前記第1絶縁層(44)のパターニングを終了
    した後に、実行する段階;前記第2絶縁層(62)の前
    記予めパターニングされた表面(629)上に、パター
    ン・マスク層を形成する段階であって:前記パターン・
    マスキング層は、前記第2絶縁層(62)上に形成され
    る第1のパターン・マスキング層であり;前記パターン
    ・マスキング層は、前記第1,第2および第3導電性領
    域(244,345,284)上に位置する第1マスキ
    ング層開口(66)を有し;前記第2絶縁層(62)の
    前記予めパターニングされた表面(629)は、前記第
    1マスキング層開口(66)内の前記第2絶縁層(6
    2)の唯一の露出面である、段階;前記第2絶縁層(6
    2)をエッチングし、前記第1,第2,および第3導電
    性領域(244,345,284)上に第2絶縁層開口
    (70)を形成する段階であって、前記第2絶縁層開口
    (70)は:前記第1および第3導電性領域(244,
    284)の部分を露出させるが、前記第2導電性領域
    (345)を露出させず;前記パターン・マスキング層
    を、前記第2絶縁層開口(70)を形成するために用い
    られる唯一のマスキング層とする段階;前記パターン・
    マスキング層を除去する段階;前記第2絶縁層(62)
    上および前記第2絶縁層開口(70)内に導電膜(7
    4)を形成する段階であって、前記第2絶縁層(62)
    をエッチングする段階の後であるが、前記第2絶縁層
    (62)上に他のいずれかのマスキング層を形成する前
    に実行する段階;および前記第2絶縁層(62)の上に
    位置する前記導電性膜(74)の部分を除去し、前記第
    2絶縁層開口(62)内に第1インレイド相互接続部
    (524)を形成する段階であって、前記第1インレイ
    ド相互接続部(524)を前記第1および第3導電性領
    域(244,284)に電気的に接続するが、前記第2
    導電性領域(345)からは少なくとも前記第1絶縁層
    (44)によって電気的に絶縁する段階;から成ること
    を特徴とする方法。
JP10360972A 1997-12-23 1998-12-18 半導体デバイス,メモリ・セル,およびその形成方法 Pending JPH11251457A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US997714 1997-12-23
US08/997,714 US6184073B1 (en) 1997-12-23 1997-12-23 Process for forming a semiconductor device having an interconnect or conductive film electrically insulated from a conductive member or region

Publications (1)

Publication Number Publication Date
JPH11251457A true JPH11251457A (ja) 1999-09-17

Family

ID=25544308

Family Applications (1)

Application Number Title Priority Date Filing Date
JP10360972A Pending JPH11251457A (ja) 1997-12-23 1998-12-18 半導体デバイス,メモリ・セル,およびその形成方法

Country Status (4)

Country Link
US (2) US6184073B1 (ja)
JP (1) JPH11251457A (ja)
CN (1) CN1142591C (ja)
TW (1) TW399330B (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002329798A (ja) * 2001-04-27 2002-11-15 Nec Corp 半導体装置
US6900513B2 (en) 2001-01-22 2005-05-31 Nec Electronics Corporation Semiconductor memory device and manufacturing method thereof

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6331480B1 (en) * 1999-02-18 2001-12-18 Taiwan Semiconductor Manufacturing Company Method to improve adhesion between an overlying oxide hard mask and an underlying low dielectric constant material
KR100309077B1 (ko) * 1999-07-26 2001-11-01 윤종용 삼중 금속 배선 일 트랜지스터/일 커패시터 및 그 제조 방법
US6730619B2 (en) * 2000-06-15 2004-05-04 Samsung Electronics Co., Ltd. Method of manufacturing insulating layer and semiconductor device including insulating layer
US6483154B1 (en) * 2000-10-05 2002-11-19 Advanced Micro Devices, Inc. Nitrogen oxide plasma treatment for reduced nickel silicide bridging
US6369430B1 (en) 2001-04-02 2002-04-09 Motorola, Inc. Method of preventing two neighboring contacts from a short-circuit caused by a void between them and device having the same
KR100378197B1 (ko) * 2001-04-10 2003-03-29 삼성전자주식회사 열적 산화에 의한 금속층의 표면 모폴로지 특성 열화방지법 및 그러한 금속층을 갖는 반도체 장치의 제조 방법
US6524908B2 (en) * 2001-06-01 2003-02-25 International Business Machines Corporation Method for forming refractory metal-silicon-nitrogen capacitors and structures formed
US6727168B2 (en) * 2001-10-24 2004-04-27 Micron Technology, Inc. Method of forming local interconnects
US20030134499A1 (en) * 2002-01-15 2003-07-17 International Business Machines Corporation Bilayer HDP CVD / PE CVD cap in advanced BEOL interconnect structures and method thereof
US6633392B1 (en) 2002-01-17 2003-10-14 Advanced Micro Devices, Inc. X-ray reflectance system to determine suitability of SiON ARC layer
JP4159306B2 (ja) * 2002-04-23 2008-10-01 富士フイルム株式会社 固体撮像素子およびその製造方法
US6586330B1 (en) * 2002-05-07 2003-07-01 Tokyo Electron Limited Method for depositing conformal nitrified tantalum silicide films by thermal CVD
US6967154B2 (en) 2002-08-26 2005-11-22 Micron Technology, Inc. Enhanced atomic layer deposition
US6762464B2 (en) * 2002-09-17 2004-07-13 Intel Corporation N-p butting connections on SOI substrates
US6992916B2 (en) * 2003-06-13 2006-01-31 Taiwan Semiconductor Manufacturing Co., Ltd. SRAM cell design with high resistor CMOS gate structure for soft error rate improvement
JP4868934B2 (ja) * 2006-05-11 2012-02-01 ルネサスエレクトロニクス株式会社 半導体記憶装置
US7651939B2 (en) * 2007-05-01 2010-01-26 Freescale Semiconductor, Inc Method of blocking a void during contact formation
US7745298B2 (en) 2007-11-30 2010-06-29 Freescale Semiconductor, Inc. Method of forming a via
US8969195B2 (en) * 2008-02-22 2015-03-03 International Business Machines Corporation Methods of manufacturing semiconductor devices and a semiconductor structure
CN103794611B (zh) * 2010-05-24 2017-03-01 杭州海存信息技术有限公司 多位元三维掩膜编程存储器
TWI423492B (zh) * 2010-12-03 2014-01-11 Univ Nat Taiwan Science Tech 有機薄膜電晶體及其製造方法
US10236219B1 (en) 2017-11-22 2019-03-19 International Business Machines Corporation VFET metal gate patterning for vertical transport field effect transistor
KR20190106683A (ko) 2018-03-07 2019-09-18 엘지전자 주식회사 공기조화기의 실내기
TWI749678B (zh) * 2020-08-03 2021-12-11 力晶積成電子製造股份有限公司 記憶元件及其形成方法
KR20220101377A (ko) * 2021-01-11 2022-07-19 삼성전자주식회사 반도체 장치 및 이의 제조 방법

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4908688A (en) 1986-03-14 1990-03-13 Motorola, Inc. Means and method for providing contact separation in silicided devices
US4966870A (en) 1988-04-14 1990-10-30 International Business Machines Corporation Method for making borderless contacts
US5106786A (en) 1989-10-23 1992-04-21 At&T Bell Laboratories Thin coatings for use in semiconductor integrated circuits and processes as antireflection coatings consisting of tungsten silicide
US5037777A (en) 1990-07-02 1991-08-06 Motorola Inc. Method for forming a multi-layer semiconductor device using selective planarization
US5091244A (en) 1990-08-10 1992-02-25 Viratec Thin Films, Inc. Electrically-conductive, light-attenuating antireflection coating
US5219793A (en) 1991-06-03 1993-06-15 Motorola Inc. Method for forming pitch independent contacts and a semiconductor device having the same
US5428244A (en) 1992-06-29 1995-06-27 Matsushita Electric Industrial Co., Ltd. Semiconductor device having a silicon rich dielectric layer
US5364810A (en) * 1992-07-28 1994-11-15 Motorola, Inc. Methods of forming a vertical field-effect transistor and a semiconductor memory cell
JP3342164B2 (ja) 1993-04-16 2002-11-05 三菱電機株式会社 半導体装置およびその製造方法
US5378659A (en) 1993-07-06 1995-01-03 Motorola Inc. Method and structure for forming an integrated circuit pattern on a semiconductor substrate
JP2658907B2 (ja) * 1994-09-29 1997-09-30 日本電気株式会社 不揮発性半導体記憶装置およびその製造方法
US5536962A (en) 1994-11-07 1996-07-16 Motorola, Inc. Semiconductor device having a buried channel transistor
US5525542A (en) 1995-02-24 1996-06-11 Motorola, Inc. Method for making a semiconductor device having anti-reflective coating
JP3348264B2 (ja) 1995-03-20 2002-11-20 富士通株式会社 半導体集積回路装置とその製造方法
US5918147A (en) 1995-03-29 1999-06-29 Motorola, Inc. Process for forming a semiconductor device with an antireflective layer
US5734179A (en) * 1995-12-12 1998-03-31 Advanced Micro Devices, Inc. SRAM cell having single layer polysilicon thin film transistors
US5824579A (en) 1996-04-15 1998-10-20 Motorola, Inc. Method of forming shared contact structure
KR100242722B1 (ko) * 1996-08-05 2000-02-01 윤종용 개선된 씨모오스 스태틱램 셀 구조 및 그 셀의 제조방법
JP2953399B2 (ja) * 1996-09-17 1999-09-27 日本電気株式会社 半導体装置及びその製造方法
US5961791A (en) 1997-02-26 1999-10-05 Motorola, Inc. Process for fabricating a semiconductor device
US5792684A (en) 1997-04-21 1998-08-11 Taiwan Semiconductor Manufacturing Company Ltd Process for fabricating MOS memory devices, with a self-aligned contact structure, and MOS logic devices with salicide, both on a single semiconductor chip
US6100568A (en) * 1997-11-06 2000-08-08 Motorola, Inc. Semiconductor device including a memory cell and peripheral portion and method for forming same

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6900513B2 (en) 2001-01-22 2005-05-31 Nec Electronics Corporation Semiconductor memory device and manufacturing method thereof
US7214572B2 (en) 2001-01-22 2007-05-08 Nec Electronics Corporation Semiconductor memory device and manufacturing method thereof
JP2002329798A (ja) * 2001-04-27 2002-11-15 Nec Corp 半導体装置
JP4570811B2 (ja) * 2001-04-27 2010-10-27 ルネサスエレクトロニクス株式会社 半導体装置

Also Published As

Publication number Publication date
CN1226086A (zh) 1999-08-18
CN1142591C (zh) 2004-03-17
US6686633B1 (en) 2004-02-03
US6184073B1 (en) 2001-02-06
TW399330B (en) 2000-07-21

Similar Documents

Publication Publication Date Title
US6686633B1 (en) Semiconductor device, memory cell, and processes for forming them
US5607879A (en) Method for forming buried plug contacts on semiconductor integrated circuits
US5483104A (en) Self-aligning contact and interconnect structure
US6388296B1 (en) CMOS self-aligned strapped interconnection
EP0562207B1 (en) Method of forming thin film pseudo-planar PFET devices and structures resulting therefrom
US6791138B2 (en) Use of atomic oxygen process for improved barrier layer
US5981369A (en) Semiconductor integrated circuit device and process for manufacturing the same
US4514233A (en) Method of making improved aluminum metallization in self-aligned polysilicon gate technology
US6391750B1 (en) Method of selectively controlling contact resistance by controlling impurity concentration and silicide thickness
TW483111B (en) Method for forming contact of memory device
US20020045309A1 (en) Semiconductor integrated circuit device and process for manufacturing the same
US6287911B1 (en) Semiconductor device with silicide layers and fabrication method thereof
US6087253A (en) Method of forming landing plugs for PMOS and NMOS
US6472265B1 (en) Method for manufacturing embedded dynamic random access memory
KR100576464B1 (ko) 반도체소자의 도전배선 형성방법
KR20040075565A (ko) 반도체 장치의 패턴 형성 방법 및 이를 이용한 반도체장치의 제조방법
US6900118B2 (en) Method for preventing contact defects in interlayer dielectric layer
JP2000269333A (ja) 半導体装置及びその製造方法
KR100568451B1 (ko) 듀얼 게이트를 갖는 시모스 반도체소자의 제조방법
JP4010425B2 (ja) 半導体装置及びその製造方法
KR100641934B1 (ko) 금속 비트 라인 컨택트 제조 방법 및 메모리 셀
KR100855862B1 (ko) 에스렘(sram) 셀 및 그의 제조방법
KR20020002644A (ko) 비트라인 콘택 안정화를 위한 추가이온주입에 따른층간절연막의 손상 발생을 방지할 수 있는 반도체 소자제조 방법
KR100351895B1 (ko) 반도체 소자의 비트라인 형성방법
JPH10242077A (ja) 半導体装置及びその製造方法

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20040927