JPH1093093A - Semiconductor device and its manufacture - Google Patents

Semiconductor device and its manufacture

Info

Publication number
JPH1093093A
JPH1093093A JP24628996A JP24628996A JPH1093093A JP H1093093 A JPH1093093 A JP H1093093A JP 24628996 A JP24628996 A JP 24628996A JP 24628996 A JP24628996 A JP 24628996A JP H1093093 A JPH1093093 A JP H1093093A
Authority
JP
Japan
Prior art keywords
insulating film
semiconductor layer
gate electrode
gate
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP24628996A
Other languages
Japanese (ja)
Other versions
JP3607431B2 (en
Inventor
Junji Yagishita
淳史 八木下
Yukihiro Ushiku
幸広 牛久
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP24628996A priority Critical patent/JP3607431B2/en
Publication of JPH1093093A publication Critical patent/JPH1093093A/en
Application granted granted Critical
Publication of JP3607431B2 publication Critical patent/JP3607431B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Thin Film Transistor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

PROBLEM TO BE SOLVED: To enable solving problems of gate material which remains behind and the deterioration of an element characteristic by forming a pair of source/ drain regions being opposed through a gate electrode respectively, on the surface of each side surface of a semiconductor layer. SOLUTION: It becomes possible to prevent effectively a semiconductor layer 12 from being etched, if a protective insulating film 13 is provided on the whole top surface of the semiconductor layer 12, for example, even if long- time etching with an extent of not leaving a gate material behind to be a possible cause for an interwiring short-circuit in the lower part of the semiconductor layer 12 is performed, in an etching process at the forming of a gate electrode 4. Accordingly, the removal of the gate material in the lower part of the semiconductor layer is made possible, without etching the semiconductor layer 12 in the source/drain region. Further, on this occasion exposure of the upper part corner sections of the semiconductor layer is reduced, so it becomes possible to prevent the deterioration of the gate withstand voltage and the element characteristics, such as a sub-threshold characteristic.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、MOS型トランジ
スタを有する半導体装置およびその製造方法に関する。
The present invention relates to a semiconductor device having a MOS transistor and a method of manufacturing the same.

【0002】[0002]

【従来の技術】近年、コンピューターや通信機器の重要
部分には、多数のトランジスタや抵抗等を電気回路を達
成するようにむすびつけ、1チップ上に集積化して形成
した大規模集積回路(LSI)が多用されている。この
ため、機器全体の性能は、LSI単体の性能と大きく結
び付いている。
2. Description of the Related Art In recent years, large-scale integrated circuits (LSIs) formed by integrating a large number of transistors, resistors, and the like to achieve an electric circuit are integrated in an important part of a computer or a communication device. It is heavily used. For this reason, the performance of the entire device is greatly related to the performance of the LSI alone.

【0003】LSI単体の性能向上は、集積度を高める
こと、つまり、素子の微細化により実現できる。このよ
うな導体装置の高集積化、素子の微細化に伴い、近年、
素子間分離領域の面積の微細化も必要となってきてい
る。
[0003] The performance of an LSI alone can be improved by increasing the degree of integration, that is, by miniaturizing elements. With the increasing integration of such conductor devices and miniaturization of elements, in recent years,
It is also necessary to reduce the area of the element isolation region.

【0004】従来より用いられてきた素子分離方法の一
つとしてLOCOS法(選択酸化法)があるが、この方
法は、バーズビークと呼ばれる寸法変換差が生じてしま
うことと、分離幅の狭いところで分離用酸化膜が薄くな
ってしまうこと(フィールドシンニング効果)のため、
素子分離領域の微細化に向かない。
A LOCOS method (selective oxidation method) has been used as one of the element isolation methods conventionally used. However, this method has a problem that a dimensional conversion difference called a bird's beak occurs and the separation is performed in a narrow isolation width. Because the oxide film used for thinning (field thinning effect)
Not suitable for miniaturization of element isolation regions.

【0005】他の素子分離方法として代表的なものにト
レンチ分離法がある。この方法では、通常、半導体層に
溝を形成して、この溝に絶縁物を埋め込むことによって
素子分離を実現する。
A typical element isolation method is a trench isolation method. In this method, usually, a groove is formed in a semiconductor layer, and an insulator is buried in the groove to realize element isolation.

【0006】また、SOI(Silicon On I
nsulator)基板を用いれば、埋込み酸化膜82
に達するトレンチ溝を形成するだけで、絶縁物を埋め込
まずに素子分離を行なうこともできる(メサ型素子分
離)。これらトレンチ分離法を用いれば、変換差が小さ
く、微細化に適した素子分離を実現できる。
In addition, SOI (Silicon On I)
If an nsulator substrate is used, the buried oxide film 82
, It is possible to perform element isolation without embedding an insulator (mesa element isolation). If these trench isolation methods are used, a conversion difference is small, and element isolation suitable for miniaturization can be realized.

【0007】図22に、従来のSOI基板に形成され、
トレンチ分離されたMOSFETのチャネル幅方向に平
行な断面図を示す。支持基板81上には埋込み酸化膜8
2を介して凸型島状のシリコン層83が形成されてい
る。この凸型島状のシリコン層83にMOSゲート構造
が形成されている。
FIG. 22 shows a conventional SOI substrate.
FIG. 3 shows a cross-sectional view of a MOSFET separated by a trench, which is parallel to a channel width direction. A buried oxide film 8 is formed on the support substrate 81.
2, a convex island silicon layer 83 is formed. A MOS gate structure is formed on the convex island silicon layer 83.

【0008】ここで、シリコン層83は、SOI層上に
酸化膜からなるエッチングマスクを形成した後、上記S
OI層をRIE(リアクティブ・イオン・エッチング)
により異方性エッチングして形成したものである。
Here, after forming an etching mask made of an oxide film on the SOI layer,
RIE (reactive ion etching) on OI layer
Formed by anisotropic etching.

【0009】ゲート絶縁膜86は、上記エッチングマス
クやエッチング副生成物を除去した後に形成される。こ
の除去は、通常、HF(フッ酸)系のウェットエッチン
グにより行なう。このとき、埋込み酸化膜82もエッチ
ングされ、シリコン層83の下部に窪み87が形成さ
れ、シリコン層83の下部にコーナー部分85が露出す
る。また、シリコン層83の上部にもコーナー部分85
が露出する。
The gate insulating film 86 is formed after removing the etching mask and the etching by-product. This removal is usually performed by HF (hydrofluoric acid) wet etching. At this time, the buried oxide film 82 is also etched, so that a depression 87 is formed below the silicon layer 83, and a corner portion 85 is exposed below the silicon layer 83. Further, a corner portion 85 is also provided above the silicon layer 83.
Is exposed.

【0010】この結果、コーナー部分85のゲート絶縁
膜84で電界が集中し、ゲート耐圧が劣化したり、サブ
スレショルド特性の劣化(寄生トランジスタ)等の問題
が生じる。
As a result, an electric field is concentrated on the gate insulating film 84 at the corner portion 85, and problems such as deterioration of the gate breakdown voltage and deterioration of the sub-threshold characteristic (parasitic transistor) occur.

【0011】コーナー部85を酸化して丸めたり、コー
ナー部85を絶縁物で覆い隠したりする提案はいくつも
なされているが、工程が複雑になる等の問題がある。ま
た、ゲート電極84の形成工程で、窪み87にゲート材
料が残留する。このような残留ゲート材料は、素子分離
幅が狭くなると配線間ショートの原因となる。
Various proposals have been made to oxidize and round the corner portion 85 or to cover and cover the corner portion 85 with an insulator, but there are problems such as a complicated process. In the step of forming the gate electrode 84, the gate material remains in the depression 87. Such a residual gate material causes a short circuit between wirings when the element isolation width is reduced.

【0012】一方、凸型島状のシリコン層のコーナー部
や側面部の寄生トランジスタを積極的に使う提案もある
(例えば、K. Hieda, et al "Effects of New Trench-i
solated Transistor Using Side wall Gates", IEEE Tr
ans. Electron Devices, vol.36, p.1615, (1959))。
On the other hand, there is also a proposal to actively use parasitic transistors at corners and side surfaces of a convex island silicon layer (for example, K. Hieda, et al "Effects of New Trench-i").
solated Transistor Using Side wall Gates ", IEEE Tr
ans. Electron Devices, vol. 36, p. 1615, (1959)).

【0013】これは、図23に示すように、凸部島状の
シリコン層93のコーナー部99や側面部98にもMO
Sゲート構造を形成し、シリコン層93を完全空乏化す
ることにより、全体として基板バイアス効果の小さいト
ランジスタを実現するというものである。
As shown in FIG. 23, the corner portions 99 and the side portions 98 of the island-shaped silicon layer 93 are also formed by MO.
By forming an S-gate structure and completely depleting the silicon layer 93, a transistor with a small body effect is realized as a whole.

【0014】なお、ここでのシリコン層93はSOI層
をエッチングして形成したものではなく、シリコン基板
91にトレンチ溝を掘って形成したものである。このト
レンチ溝にはトレンチ分離のために素子分離用絶縁膜9
2が埋め込まれている。
Here, the silicon layer 93 is not formed by etching the SOI layer, but is formed by digging a trench in the silicon substrate 91. In this trench, an insulating film 9 for element isolation is used for trench isolation.
2 is embedded.

【0015】しかしながら、この種のMOSFETには
以下のような問題があった。すなわち、側面部98のト
ランジスタを大きくしようとしてトレンチ溝を深くする
と、シリコン層93の下部側壁にゲート材料95が残留
する。このような残留したゲート材料95は、配線間シ
ョートの原因となる。
However, this type of MOSFET has the following problems. That is, when the trench is deepened to increase the size of the transistor on the side surface portion 98, the gate material 95 remains on the lower side wall of the silicon layer 93. The remaining gate material 95 causes a short circuit between wirings.

【0016】また、ゲート電極94となる導電膜のエッ
チング時間を長くして、シリコン層93の下部にゲート
材料95が残留するのを防止しようとすると、ソース・
ドレイン領域のシリコン層93上のゲート絶縁膜96が
除去され、ソース・ドレイン領域のシリコン層93がエ
ッチングされて消滅する恐れがある。
In order to prevent the gate material 95 from remaining under the silicon layer 93 by extending the etching time of the conductive film serving as the gate electrode 94, the
The gate insulating film 96 on the silicon layer 93 in the drain region may be removed, and the silicon layer 93 in the source / drain region may be etched and disappear.

【0017】また、コーナー部99のゲート耐圧確保に
は、コーナー部99を酸化して丸める等の工夫がどうし
ても必要で、工程が複雑になる等の問題が生じる。ま
た、丸めることにより寸法変換差の増大が避けられない
という問題もある。
Further, in order to ensure the gate breakdown voltage of the corner portion 99, it is absolutely necessary to devise a method such as oxidizing and rounding the corner portion 99, which causes problems such as a complicated process. In addition, there is a problem that an increase in dimensional conversion difference cannot be avoided by rounding.

【0018】[0018]

【発明が解決しようとする課題】上述の如く、凸部島状
のシリコン層の側面にMOSゲート構造が形成されたM
OSFETは、ゲート電極の形成工程で、シリコン層の
下部に配線間ショートの原因となるゲート材料が残留す
るという問題があった。これを解決するために、シリコ
ン層の下部にゲート材料が残留しないように長時間エッ
チングを行なう方法があるが、これにはソース・ドレイ
ン領域のシリコン層がエッチングされ消滅する可能性が
あるという問題があった。
As described above, an M gate having a MOS gate structure formed on a side surface of a silicon layer having a convex island shape.
The OSFET has a problem that a gate material that causes a short circuit between wirings remains under a silicon layer in a process of forming a gate electrode. To solve this, there is a method of performing etching for a long time so that the gate material does not remain under the silicon layer. However, this method has a problem that the silicon layer in the source / drain region may be etched and disappear. was there.

【0019】また露出したコーナー部分にゲート絶縁膜
が形成されるため、ゲート耐圧の劣化やサブスレショル
ド特性の劣化が起こり、素子性能が劣化するという問題
があった。これを解決するために、コーナー部のゲート
絶縁膜を酸化して丸める方法があるが、これには工程が
複雑になる等の問題があった。
Further, since the gate insulating film is formed at the exposed corners, the gate breakdown voltage and the sub-threshold characteristics are deteriorated, and there is a problem that the element performance is deteriorated. In order to solve this, there is a method of oxidizing and rounding the gate insulating film in the corner portion, but this has a problem that the process becomes complicated.

【0020】本発明は、上記事情を考慮してなされたも
ので、その目的とするところは、上記ゲート材料の残留
問題や、上記素子特性の劣化問題の解決が可能となる半
導体層の側面にMOSゲート構造が形成されたMOSF
ETを備えた半導体装置およびその製造方法を提供する
ことにある。
The present invention has been made in consideration of the above circumstances, and has as its object to solve the problem of the remaining gate material and the problem of deterioration of the device characteristics on the side surface of the semiconductor layer, which can solve the above problem. MOSF with MOS gate structure formed
An object of the present invention is to provide a semiconductor device provided with ET and a method of manufacturing the same.

【0021】[0021]

【課題を解決するための手段】[Means for Solving the Problems]

[概要]上記目的を達成するために、本発明に係る半導
体装置(請求項1)は、絶縁層上に選択的に形成された
半導体層と、この半導体層の上面に形成された被覆絶縁
膜と、前記半導体層の一つの側面から、前記被覆絶縁
膜、前記側面に対向する前記半導体層の他の側面に跨が
って形成されたゲート電極と、前記ゲート電極と前記各
側面との間にそれぞれ設けられたゲート絶縁膜と、前記
各側面の表面にそれぞれ形成され、前記ゲート電極を介
して対向する1対のソース・ドレイン領域とを備えたこ
とを特徴とする。
[Summary] To achieve the above object, a semiconductor device according to the present invention (Claim 1) includes a semiconductor layer selectively formed on an insulating layer, and a covering insulating film formed on an upper surface of the semiconductor layer. A gate electrode formed so as to extend from one side surface of the semiconductor layer to the other side surface of the semiconductor layer facing the covering insulating film and the side surface; and between the gate electrode and each of the side surfaces. And a pair of source / drain regions formed on the surface of each of the side surfaces and facing each other with the gate electrode interposed therebetween.

【0022】また、本発明に係る他の半導体装置(請求
項2)は、上記半導体装置(請求項1)において、前記
被覆絶縁膜が、前記ゲート電極の下部にあり、前記ソー
ス・ドレイン領域が形成された側面で挟まれた領域の前
記半導体層の上面に存在しないことを特徴とする。
In another semiconductor device according to the present invention (claim 2), in the semiconductor device (claim 1), the covering insulating film is below the gate electrode, and the source / drain region is It does not exist on the upper surface of the semiconductor layer in a region sandwiched between the formed side surfaces.

【0023】また、本発明に係る他の半導体装置(請求
項3)は、上記半導体装置(請求項1)において、前記
被覆絶縁膜が、前記ゲート電極よりもエッチング速度が
遅いもの、前記ゲート絶縁膜よりも厚いもの、または前
記ゲート電極よりもエッチング速度が遅くかつ前記ゲー
ト絶縁膜よりも厚いものであることを特徴とする。
According to another aspect of the present invention, there is provided a semiconductor device according to the present invention, wherein the coating insulating film has a lower etching rate than the gate electrode. It is characterized by being thicker than a film, or slower in etching rate than the gate electrode and thicker than the gate insulating film.

【0024】また、本発明に係る他の半導体装置(請求
項4)は、上記半導体装置(請求項1)において、前記
ゲート絶縁膜が設けられた部分の前記側面が(100)
面であることを特徴とする。
Further, in another semiconductor device according to the present invention (claim 4), in the semiconductor device (claim 1), the side surface of the portion provided with the gate insulating film is (100).
Surface.

【0025】また、本発明に係る他の半導体装置(請求
項5)は、上記半導体装置(請求項1)において、前記
半導体層の厚さが、前記対向する二つの側面間の距離よ
りも大きいこと特徴とする。
In another semiconductor device according to the present invention (claim 5), in the semiconductor device (claim 1), the thickness of the semiconductor layer is larger than the distance between the two opposing side surfaces. It is characterized.

【0026】本発明に係る半導体装置の製造方法(請求
項6)は、下地が絶縁層である半導体層の全面に被覆絶
縁膜を形成する工程と、前記被覆絶縁膜と前記半導体層
との積層膜を相対する二つの側面を有する凸状にパター
ニングする工程と、前記各側面にそれぞれゲート絶縁膜
を形成する工程と、全面に導電膜を形成した後、前記被
覆絶縁膜を前記半導体層のエッチング保護膜に用いて、
前記導電膜をエッチングして前記ゲート絶縁膜に隣接し
てゲート電極を形成する工程と、前記各側面にそれぞれ
前記ゲート電極を介して対向する1対のソース・ドレイ
ン領域を形成する工程とを有することを特徴とする。
According to a sixth aspect of the present invention, there is provided a method of manufacturing a semiconductor device, comprising the steps of: forming a coating insulating film on the entire surface of a semiconductor layer whose base is an insulating layer; A step of patterning the film into a convex shape having two opposing side surfaces, a step of forming a gate insulating film on each of the side surfaces, and forming a conductive film on the entire surface, and then etching the covering insulating film by etching the semiconductor layer. Used for protective film,
Forming a gate electrode adjacent to the gate insulating film by etching the conductive film; and forming a pair of source / drain regions on each of the side surfaces facing each other via the gate electrode. It is characterized by the following.

【0027】また、本発明に係る他の半導体装置の製造
方法(請求項7)は、上記半導体装置の製造方法(請求
項5)において、前記半導体層として絶縁膜上に形成さ
れた半導体層を用い、この半導体層に前記絶縁膜に達す
るトレンチ溝を形成するか、または前記半導体層として
半導体基板を用い、この半導体層の表面にトレンチ溝を
形成し、このトレンチ溝内に絶縁膜を埋め込むことによ
り素子分離を行なうことを特徴とする。
According to another aspect of the present invention, there is provided a method of manufacturing a semiconductor device according to the present invention, wherein the semiconductor layer formed on an insulating film is used as the semiconductor layer. Forming a trench in the semiconductor layer to reach the insulating film, or using a semiconductor substrate as the semiconductor layer, forming a trench in the surface of the semiconductor layer, and embedding the insulating film in the trench. Is used to perform element isolation.

【0028】本発明においては、被覆絶縁膜はゲート絶
縁膜よりも誘電率が小さいことが好ましい。 [作用]本発明によれば、例えば、半導体層の上面全面
に保護絶縁縁膜を設ければ、ゲート電極を形成する際の
エッチング工程で、上記半導体層の下部に配線間ショー
トの原因となるゲート材料が残らない程度の長時間エッ
チングしても、上記半導体層がエッチングされるのを効
果的に防止できる。したがって、本発明によれば、ソー
ス・ドレイン領域の上記半導体層のエッチングを招かず
に、上記半導体層の下部のゲート材料を除去できるよう
になる。
In the present invention, the coating insulating film preferably has a smaller dielectric constant than the gate insulating film. [Operation] According to the present invention, for example, if a protective insulating film is provided on the entire upper surface of a semiconductor layer, it causes a short circuit between wirings under the semiconductor layer in an etching step when forming a gate electrode. Even if the etching is performed for a long time so that the gate material does not remain, the etching of the semiconductor layer can be effectively prevented. Therefore, according to the present invention, the gate material under the semiconductor layer can be removed without causing the etching of the semiconductor layer in the source / drain regions.

【0029】また、この場合、上記半導体層の上部のコ
ーナー部分の露出が減るので、ゲート耐圧の劣化やサブ
スレショルド特性等の素子特性の劣化を防止できるよう
になる。
Also, in this case, since the exposure of the upper corner portion of the semiconductor layer is reduced, it is possible to prevent the deterioration of the gate breakdown voltage and the deterioration of the device characteristics such as the sub-threshold characteristics.

【0030】また、本発明(請求項4)によれば、側面
が(100)面なので、ゲート絶縁膜と側面との界面の
界面準位密度を十分に小さくできるようになる。また、
本発明では、半導体層の対向する2側面にゲート絶縁膜
を介してゲート電極が設けられているため、二つのMO
Sゲートにより半導体層を挟んだサンドイッチ構造、い
わゆるダブルゲートMOSFETが形成されている。
According to the present invention (claim 4), since the side surface is the (100) surface, the interface state density at the interface between the gate insulating film and the side surface can be sufficiently reduced. Also,
In the present invention, two gate electrodes are provided on two opposing side surfaces of the semiconductor layer with a gate insulating film interposed therebetween.
A sandwich structure in which a semiconductor layer is sandwiched between S gates, that is, a so-called double gate MOSFET is formed.

【0031】したがって、本発明(請求項5)のよう
に、半導体層の厚さを、対向する二つの側面間の距離よ
りも大きくすれば、つまり、半導体層の幅を狭くすれ
ば、半導体層を完全空乏化できるようになるので、トラ
ンジスタの性能を向上させることができる。また、二つ
の側面間の距離を短くすることにより、トランジスタの
占有面積を小さくできるようになる。
Therefore, as in the present invention (claim 5), if the thickness of the semiconductor layer is made larger than the distance between the two opposing side surfaces, that is, if the width of the semiconductor layer is made smaller, the semiconductor layer becomes thinner. Can be completely depleted, so that the performance of the transistor can be improved. Further, by reducing the distance between the two side surfaces, the area occupied by the transistor can be reduced.

【0032】なお、微細化を進めることで素子領域の占
有面積が減少し、ソース・ドレイン領域とこれに接続す
る電極とのコンタクト抵抗が上昇する傾向がしばしば見
受けられるが、本発明の場合、半導体層の高さを大きく
してやることで、コンタクト抵抗の上昇を防止できるよ
うになる。
It is often observed that the occupied area of the element region is reduced and the contact resistance between the source / drain region and the electrode connected thereto is increased by the miniaturization. Increasing the height of the layer can prevent an increase in contact resistance.

【0033】[0033]

【発明の実施の形態】以下、図面を参照しながら本発明
の実施の形態(以下、実施形態という)を説明する。 (第1の実施形態)図1は本発明の第1の実施形態に係
わるMOSFETを示す平面図である。また、図2は図
1のMOSFETのA−A′断面図、図3は図1のMO
SFETのB−B′断面図、図4は図1のMOSFET
のC−D−E−F領域の断面斜視図である。なお、図1
において、2はSOI基板、3は素子分離領域、5は素
子領域を示している。
Embodiments of the present invention (hereinafter, referred to as embodiments) will be described below with reference to the drawings. (First Embodiment) FIG. 1 is a plan view showing a MOSFET according to a first embodiment of the present invention. FIG. 2 is a cross-sectional view of the MOSFET of FIG.
FIG. 4 is a sectional view of the SFET taken along the line BB 'of FIG.
FIG. 3 is a cross-sectional perspective view of the CDEF region of FIG. FIG.
In the figures, 2 indicates an SOI substrate, 3 indicates an element isolation region, and 5 indicates an element region.

【0034】図5〜図11は、本実施形態のMOSFE
Tの製造方法を示す断面図である。これらの各図
(a)、図(b)はそれぞれ図1のMOSFETの製造
途中におけるA−A′断面図、B−B′断面図である。
FIGS. 5 to 11 show the MOSFE of this embodiment.
It is sectional drawing which shows the manufacturing method of T. These figures (a) and (b) are a sectional view taken along the line AA 'and a sectional view taken along the line BB' during the manufacture of the MOSFET of FIG. 1, respectively.

【0035】まず、図5に示すように、支持基板9、埋
込み酸化膜8およびシリコン層10からなるSOI基板
を用意する。このSOI基板はSIMOX法により形成
したものである。なお、SOI基板は貼り合わせ法によ
り形成しても良い。また、SOSのように埋込み酸化膜
がないSOI基板を用いても良い。
First, as shown in FIG. 5, an SOI substrate including a support substrate 9, a buried oxide film 8, and a silicon layer 10 is prepared. This SOI substrate is formed by the SIMOX method. Note that the SOI substrate may be formed by a bonding method. Further, an SOI substrate having no buried oxide film such as SOS may be used.

【0036】次に図6に示すように、熱酸化によって厚
さ10nmのバッファ酸化膜12をシリコン層10の表
面に形成する。このとき、必要であれば、MOSFET
のしきい値電圧を調整するためのイオン注入を行なう。
Next, as shown in FIG. 6, a buffer oxide film 12 having a thickness of 10 nm is formed on the surface of the silicon layer 10 by thermal oxidation. At this time, if necessary, MOSFET
Is performed to adjust the threshold voltage of.

【0037】なお、図には一つのMOSFETしか示し
てないが、CMOSのようにn型チャネルMOSFE
T、p型チャネルMOSFETを同時に形成する場合で
あれば、上記イオン注入は、n型チャネルMOSFE
T、p型チャネルMOSFETそれぞれ別々に行なう。
Although only one MOSFET is shown in the figure, an n-channel MOSFET such as a CMOS is used.
If the T and p-type channel MOSFETs are formed simultaneously, the ion implantation is performed by using the n-type channel MOSFET.
T and p-type channel MOSFETs are performed separately.

【0038】その後、同図に示すように、バッファ酸化
膜12上に保護絶縁膜(エッチングマスク)として例え
ば厚さ150nmのシリコン窒化膜13を形成する。保
護絶縁膜としては後工程で形成するゲート絶縁膜よりも
誘電率の低いものであることが好ましい。
Thereafter, as shown in FIG. 1, a silicon nitride film 13 having a thickness of, for example, 150 nm is formed on the buffer oxide film 12 as a protective insulating film (etching mask). The protective insulating film preferably has a lower dielectric constant than a gate insulating film formed in a later step.

【0039】次に図7に示すように、EB描画やフォト
リソグラフィによるパターンニングによりシリコン窒化
膜13上にフォトレジストパターン15を形成した後、
このフォトレジストパターン15をマスクとして、埋込
み酸化膜8の表面が露出するまで、シリコン窒化膜1
3、バッファ酸化膜12、シリコン層10をRIE法に
より連続的にエッチングし、シリコン窒化膜13、バッ
ファ酸化膜12、シリコン層10を島状に加工する。
Next, as shown in FIG. 7, after forming a photoresist pattern 15 on the silicon nitride film 13 by patterning by EB drawing or photolithography,
Using the photoresist pattern 15 as a mask, the silicon nitride film 1 is exposed until the surface of the buried oxide film 8 is exposed.
3. The buffer oxide film 12 and the silicon layer 10 are continuously etched by RIE, and the silicon nitride film 13, the buffer oxide film 12, and the silicon layer 10 are processed into an island shape.

【0040】基本的に、これだけの工程で、素子分離工
程は終了であり、非常に工程数が少なく容易で、半導体
装置の量産に有利である。しかも、変換差のないトレン
チ分離なので、微細化に適している。
Basically, the element isolation step is completed with only these steps, and the number of steps is very small and easy, which is advantageous for mass production of semiconductor devices. Moreover, since the trench is separated without any conversion difference, it is suitable for miniaturization.

【0041】次に図8に示すように、フォトレジストパ
ターン15を除去した後、熱酸化によってシリコン層1
0の側面に厚さ6nmのゲート絶縁膜11を形成する。
次に同図に示すように、ゲート電極4となる厚さ150
nmのポリシリコン膜、厚さ100nmのタングステン
シリサイド膜(またはタングステン膜)をそれぞれLP
CVD法とスパッタ法で積層形成する。
Next, as shown in FIG. 8, after removing the photoresist pattern 15, the silicon layer 1 is thermally oxidized.
A gate insulating film 11 having a thickness of 6 nm is formed on the side surface of the zero.
Next, as shown in FIG.
a 100 nm thick polysilicon film and a 100 nm thick tungsten silicide film (or tungsten film)
The layers are formed by a CVD method and a sputtering method.

【0042】上記ポリシリコン膜には成膜後にイオン注
入により不純物をドーピングしておく。なお、ポリシリ
コン膜の代わりにTiN膜などの金属膜を用いた場合に
は、前記(同ページで述べた)しきい値電圧調整用のイ
オン注入は不要である。
The polysilicon film is doped with impurities by ion implantation after film formation. In the case where a metal film such as a TiN film is used instead of the polysilicon film, the ion implantation for adjusting the threshold voltage (described on the same page) is unnecessary.

【0043】この図8の工程では、シリコン窒化膜1
3、バッファ酸化膜12を除去せずに残すので、ゲート
絶縁膜11の形成直前に、シリコン窒化膜13、バッフ
ァ酸化膜12を除去するためのCDE(ケミカル・ドラ
イ・エッチング)やHF系溶液によるエッチング処理は
行なわれない。
In the process of FIG. 8, the silicon nitride film 1
3. Since the buffer oxide film 12 is left without being removed, a CDE (chemical dry etching) or HF-based solution for removing the silicon nitride film 13 and the buffer oxide film 12 immediately before the gate insulating film 11 is formed. No etching is performed.

【0044】したがって、図22に示したような窪み8
7は形成され難く、シリコン層10(素子領域)のエッ
ジ部の上下コーナー部分は露出しない。これにより、ゲ
ート絶縁膜11の耐圧が向上し、サブスレショルド特性
のハンプは抑えられる構造になる。
Therefore, the depression 8 as shown in FIG.
7 is difficult to be formed, and the upper and lower corner portions of the edge portion of the silicon layer 10 (element region) are not exposed. Thereby, the withstand voltage of the gate insulating film 11 is improved, and a hump of the sub-threshold characteristic is suppressed.

【0045】また、シリコンの結晶面方位を調節して、
島状のシリコン層10の側面の少なくとも一部に(10
0)面が露出するようにすると、シリコン層10の側面
に界面準位が少ないゲート絶縁膜11を形成できるよう
になる。
Further, by adjusting the crystal plane orientation of silicon,
At least a part of the side surface of the island-shaped silicon layer 10 has (10
When the 0) plane is exposed, the gate insulating film 11 having a small interface state can be formed on the side surface of the silicon layer 10.

【0046】次に図9に示すように、EB描画やフォト
リソグラフィーによるパターンニングによりフォトレジ
ストパターン(不図示)をマスクとしてとRIE法によ
り上記ポリシリコン膜およびタングステンシリサイド膜
(またはタングステン膜)の積層膜をエッチングして、
ゲート電極4を形成する。この後、上記フォトレジスト
パターンを除去し、ゲート電極4の表面を軽く酸化して
薄い(5nm程度)酸化膜(不図示)を形成する。
Next, as shown in FIG. 9, the polysilicon film and the tungsten silicide film (or tungsten film) are laminated by RIE using a photoresist pattern (not shown) as a mask by patterning by EB drawing or photolithography. Etch the film,
The gate electrode 4 is formed. Thereafter, the photoresist pattern is removed, and the surface of the gate electrode 4 is lightly oxidized to form a thin (about 5 nm) oxide film (not shown).

【0047】ここで、ゲート電極4となる上記積層膜の
下には、埋込み酸化膜8または保護絶縁膜(エッチング
マスク)のシリコン窒化膜13が存在する。したがっ
て、シリコン層10の下部側面にゲート材料が残留しな
いように、上記積層膜を長時間エッチングしても、ソー
ス・ドレイン領域のシリコン層10がエッチングされる
ことはない。
Here, a buried oxide film 8 or a silicon nitride film 13 as a protective insulating film (etching mask) exists below the above-mentioned laminated film to be the gate electrode 4. Therefore, even if the laminated film is etched for a long time so that the gate material does not remain on the lower side surface of the silicon layer 10, the silicon layer 10 in the source / drain region is not etched.

【0048】また、ゲート材料の残留をさらに完全に防
ぐには、トレンチ角度にわずかなテーパー(鉛直面に対
して7度以下。深いところでトレンチの幅が狭くなる方
向)を与えることが有効である。
In order to further completely prevent the gate material from remaining, it is effective to give the trench angle a slight taper (7 degrees or less with respect to the vertical plane, a direction in which the width of the trench becomes narrow at a deep position). .

【0049】次に図10に示すように、ソース・ドレイ
ン拡散層を形成するために、不純物イオン14を斜めに
イオン注入した後、アニールを行なう。ここで、n型チ
ャネルMOSFETの場合であればAs、p型チャネル
MOSFETの場合であればBF2 を1×1015/cm
2 程度のドーズ量でイオン注入する。
Next, as shown in FIG. 10, in order to form a source / drain diffusion layer, annealing is performed after ion implantation of the impurity ions 14 at an angle. Here, As is used for an n-type channel MOSFET, and BF 2 is set to 1 × 10 15 / cm for a p-type channel MOSFET.
Ion implantation is performed at a dose of about 2 .

【0050】次に図11に示すように、全面に層間絶縁
膜7を形成する。この後、通常のMOSFETの製造工
程に従う。すなわち、図1〜図4に示すようにゲート、
ソース・ドレインの各領域にコンタクトホール1G ,1
SDを開孔し、ゲート電極4、2つのソース・ドレイン拡
散層にそれぞれ接続する引出し配線16,17,18を
形成する。
Next, as shown in FIG. 11, an interlayer insulating film 7 is formed on the entire surface. Thereafter, the normal MOSFET manufacturing process is followed. That is, as shown in FIGS.
Contact holes 1 G , 1
The SD is opened, and lead wirings 16, 17, and 18 connected to the gate electrode 4 and the two source / drain diffusion layers are formed.

【0051】なお、微細化を進めることで素子領域の占
有面積が減少しても、シリコン層10の厚さを大きくす
ることで(トレンチ溝の深さを大きくすることで)、一
方のソース・ドレイン層と引出し配線17とのコンタク
ト部分の寄生抵抗および他方のソース・ドレイン層と引
出し配線18とのコンタクト部分の寄生抵抗を低減で
き、コンタクト抵抗の上昇を防止できるようになる。
Even if the area occupied by the element region is reduced by miniaturization, by increasing the thickness of the silicon layer 10 (by increasing the depth of the trench groove), The parasitic resistance at the contact portion between the drain layer and the lead-out wiring 17 and the parasitic resistance at the contact portion between the other source / drain layer and the lead-out wiring 18 can be reduced, and an increase in the contact resistance can be prevented.

【0052】なお、図4におけて引出し配線17,18
は省略されている。この引出し配線17,18は、シリ
コン層10の側面でもコンタクトをとることができるの
で、コンタクト面積が増える。
Note that, in FIG.
Has been omitted. Since the lead wirings 17 and 18 can make contact with the side surface of the silicon layer 10, the contact area increases.

【0053】また、本実施形態では、半導体層10の対
向する2側面にゲート絶縁膜11を介してゲート電極4
が設けられているため、二つのMOSゲートにより半導
体層3を挟んだサンドイッチ構造、いわゆるダブルゲー
トMOSFETが形成されている。
In the present embodiment, the gate electrode 4 is formed on two opposing side surfaces of the semiconductor layer 10 via the gate insulating film 11.
Is provided, a sandwich structure in which the semiconductor layer 3 is sandwiched between two MOS gates, that is, a so-called double-gate MOSFET is formed.

【0054】したがって、半導体層10の厚さdを、対
向する二つの側面間の距離よりも大きくすれば、つま
り、半導体層10の幅wを狭くすれば(例えば40nm
程度)、半導体層10を完全空乏化できるようになるの
で、トランジスタの性能を向上させることができる。ま
た、二つの側面間の距離を短くすることにより、トラン
ジスタの占有面積を小さくできるようになる。 (第2の実施形態)本実施形態のMOSFETの特徴
は、第1の実施形態のMOSFETにおいて、ソース・
ドレイン拡散層が形成された側面間のシリコン層10上
の保護絶縁膜としてのシリコン窒化膜13を除去した構
成になっていることになる。
Therefore, if the thickness d of the semiconductor layer 10 is made larger than the distance between the two opposing side surfaces, that is, if the width w of the semiconductor layer 10 is made smaller (for example, 40 nm).
Degree), the semiconductor layer 10 can be completely depleted, so that the performance of the transistor can be improved. Further, by reducing the distance between the two side surfaces, the area occupied by the transistor can be reduced. (Second Embodiment) The feature of the MOSFET of this embodiment is that the MOSFET of the first embodiment has
This means that the silicon nitride film 13 as a protective insulating film on the silicon layer 10 between the side surfaces where the drain diffusion layer is formed is removed.

【0055】このような構成のMOSFETは、例え
ば、図9の工程でゲートを加工した後、ソース・ドレイ
ン拡散層が形成される側面間のシリコン層10上のシリ
コン窒化膜13をRIE(反応性イオンエッチング)に
より除去し、図10に示すように、ソース・ドレイン拡
散層形成のためのイオン注入を行なう。シリコン窒化膜
がないため、半導体層10にイオン注入しやすい。次い
で図11に示すように全面に層間絶縁膜7を形成する。
この後は、第1の実施形態と同様の通常のMOSFET
の製造工程に従う。 (第3の実施形態)図12〜図20は、第3の実施形態
に係わるMOSFETの製造方法を示す断面図である。
平面図は図1のそれに示すものと同じであり、各図
(a)、図(b)はそれぞれ図1の平面図のA−A′断
面図、B−B′断面図に相当する。
In the MOSFET having such a structure, for example, after processing the gate in the step of FIG. 9, the silicon nitride film 13 on the silicon layer 10 between the side surfaces where the source / drain diffusion layers are formed is subjected to RIE (reactive Then, as shown in FIG. 10, ion implantation for forming source / drain diffusion layers is performed. Since there is no silicon nitride film, ions can be easily implanted into the semiconductor layer 10. Next, as shown in FIG. 11, an interlayer insulating film 7 is formed on the entire surface.
Thereafter, a normal MOSFET similar to that of the first embodiment is used.
According to the manufacturing process. (Third Embodiment) FIGS. 12 to 20 are sectional views showing a method for manufacturing a MOSFET according to a third embodiment.
The plan view is the same as that shown in FIG. 1, and each figure (a) and FIG. (B) correspond to the AA 'sectional view and the BB' sectional view of the plan view in FIG. 1, respectively.

【0056】本実施形態は、SOI基板ではなく通常の
シリコン基板を用いた例である。すなわち、本実施形態
は、本発明に係る実施形態ではないが、本発明と同様な
効果が得られる。
This embodiment is an example using a normal silicon substrate instead of an SOI substrate. That is, the present embodiment is not an embodiment according to the present invention, but has the same effects as the present invention.

【0057】まず、図12に示すように、シリコン基板
21を用意し、次いで熱酸化によって厚さ10nmのバ
ッファ酸化膜22をシリコン基板21の表面に形成す
る。このとき、必要であれば、MOSFETのしきい値
電圧を調整するためのイオン注入を行なう。
First, as shown in FIG. 12, a silicon substrate 21 is prepared, and then a buffer oxide film 22 having a thickness of 10 nm is formed on the surface of the silicon substrate 21 by thermal oxidation. At this time, if necessary, ion implantation for adjusting the threshold voltage of the MOSFET is performed.

【0058】なお、図には一つのMOSFETしか示し
てないが、CMOSのようにn型チャネルMOSFE
T、p型チャネルMOSFETを同時に形成する場合で
あれば、上記イオン注入は、n型チャネルMOSFE
T、p型チャネルMOSFETそえぞれ別々に行なう。
Although only one MOSFET is shown in the figure, an n-type channel MOSFET such as a CMOS is used.
If the T and p-type channel MOSFETs are formed simultaneously, the ion implantation is performed by using the n-type channel MOSFET.
T and p-type channel MOSFETs are performed separately.

【0059】その後、同図に示すように、バッファ酸化
膜22上に保護絶縁膜(エッチングマスク)として例え
ば厚さ150nmのシリコン窒化膜23を形成する。次
に図13に示すように、EB描画やフォトリソグラフィ
によるパターンニングによりシリコン窒化膜23上にフ
ォトレジストパターン24を形成した後、このフォトレ
ジストパターン24をマスクとして、シリコン窒化膜2
3、バッファ酸化膜22、シリコン基板21をRIE法
により連続的にエッチングし、例えば深さ250nmの
トレンチ溝を形成し、シリコン窒化膜23、バッファ酸
化膜22、シリコン基板21を島状に加工する。
Thereafter, as shown in FIG. 6, a silicon nitride film 23 having a thickness of, for example, 150 nm is formed on the buffer oxide film 22 as a protective insulating film (etching mask). Next, as shown in FIG. 13, after forming a photoresist pattern 24 on the silicon nitride film 23 by patterning by EB drawing or photolithography, the silicon nitride film 2 is formed using the photoresist pattern 24 as a mask.
3. The buffer oxide film 22 and the silicon substrate 21 are continuously etched by the RIE method to form a trench having a depth of, for example, 250 nm, and the silicon nitride film 23, the buffer oxide film 22, and the silicon substrate 21 are processed into an island shape. .

【0060】次に図14に示すように、フォトレジスト
パターン24を除去した後、全面に厚さ350nmの酸
化膜25を形成した後、図15に示すように、シリコン
窒化膜23をストッパに用いて、酸化膜25の表面をC
MP(chemical mechanicalpolish)法により研磨して
平坦化する。
Next, as shown in FIG. 14, after removing the photoresist pattern 24, an oxide film 25 having a thickness of 350 nm is formed on the entire surface. Then, as shown in FIG. 15, the silicon nitride film 23 is used as a stopper. The surface of the oxide film 25
It is polished and flattened by an MP (chemical mechanical polish) method.

【0061】次に図16に示すように、シリコン窒化膜
23がエッチングされず、酸化膜25が選択的にエッチ
ングされる条件のもとで、全面をRIE法によりエッチ
ングすることにより、酸化膜25をトレンチ溝の底部に
125nmだけ残置させる。
Next, as shown in FIG. 16, the entire surface is etched by the RIE method under the condition that the silicon nitride film 23 is not etched and the oxide film 25 is selectively etched. Is left at the bottom of the trench groove by 125 nm.

【0062】基本的に、以上の工程で、素子分離工程は
終了する。絶縁膜埋め込みトレンチ分離なので、変換差
が小さく、微細化に適している。次に図17に示すよう
に、熱酸化によって島状のシリコン基板21の側面に厚
さ6nmのゲート絶縁膜26を形成した後、ゲート電極
27となる厚さ150nmのポリシリコン膜、厚さ10
0nmのタングステンシリサイド膜(またはタングステ
ン膜)をそれぞれLPCVD法とスパッタ法で積層形成
する。
Basically, the element isolation step ends with the above steps. Since the insulating film is buried in the trench, the conversion difference is small, which is suitable for miniaturization. Next, as shown in FIG. 17, a 6-nm-thick gate insulating film 26 is formed on the side surface of the island-shaped silicon substrate 21 by thermal oxidation, and then a 150-nm-thick polysilicon film serving as the gate electrode 27 is formed.
A 0-nm-thick tungsten silicide film (or tungsten film) is formed by lamination using the LPCVD method and the sputtering method, respectively.

【0063】上記ポリシリコン膜には成膜後にイオン注
入により不純物をドーピングしておく。なお、ポリシリ
コン膜の代わりにTiN膜などの金属膜を用いた場合に
は、前ページで述べたしきい値電圧調整用のイオン注入
は不要である。
After the film formation, the polysilicon film is doped with impurities by ion implantation. When a metal film such as a TiN film is used instead of the polysilicon film, the ion implantation for adjusting the threshold voltage described on the previous page is unnecessary.

【0064】この図17の工程では、シリコン窒化膜2
3、バッファ酸化膜22を除去せずに残すので、ゲート
絶縁膜26の形成直前に、シリコン窒化膜23、バッフ
ァ酸化膜22を除去するためのCDE(ケミカル・ドラ
イ・エッチング)やHF系溶液によるエッチング処理は
行なわれない。
In the step of FIG. 17, the silicon nitride film 2
3. Since the buffer oxide film 22 is left without being removed, a CDE (chemical dry etching) or HF-based solution for removing the silicon nitride film 23 and the buffer oxide film 22 immediately before forming the gate insulating film 26 is used. No etching is performed.

【0065】したがって、図22に示したような窪み8
7は形成され難く、島状のシリコン基板21(素子領
域)のエッジ部の上部コーナー部分は露出しない。これ
により、ゲート絶縁膜26の耐圧が向上し、サブスレシ
ョルド特性のハンプは抑えられる構造になる。
Therefore, the depression 8 as shown in FIG.
7 is difficult to be formed, and the upper corner portion of the edge portion of the island-shaped silicon substrate 21 (element region) is not exposed. As a result, the breakdown voltage of the gate insulating film 26 is improved, and a hump of the sub-threshold characteristic is suppressed.

【0066】また、シリコンの結晶面方位を調節して、
島状のシリコン基板21の側面の少なくとも一部に(1
00)面が露出するようにすると、シリコン基板21の
側面に界面準位が少ないゲート絶縁膜26を形成できる
ようになる。
Further, by adjusting the crystal plane orientation of silicon,
At least a part of the side surface of the island-shaped silicon substrate 21 has (1
When the (00) plane is exposed, the gate insulating film 26 with a small interface state can be formed on the side surface of the silicon substrate 21.

【0067】次に図18に示すように、EB描画やフォ
トリソグラフィーによるパターンニングによりフォトレ
ジストパターン(不図示)をマスクとしてとRIE法に
より上記ポリシリコン膜およびタングステンシリサイド
膜(またはタングステン膜)の積層膜をエッチングし
て、ゲート電極27を形成する。この後、上記フォトレ
ジストパターンを除去し、ゲート電極27の表面を軽く
酸化して薄い(5nm程度)酸化膜(不図示)を形成す
る。
Next, as shown in FIG. 18, the polysilicon film and the tungsten silicide film (or tungsten film) are laminated by RIE using a photoresist pattern (not shown) as a mask by patterning by EB drawing or photolithography. The gate electrode 27 is formed by etching the film. Thereafter, the photoresist pattern is removed, and the surface of the gate electrode 27 is lightly oxidized to form a thin (about 5 nm) oxide film (not shown).

【0068】ここで、ゲート電極27となる上記積層膜
の下には、酸化膜25または保護絶縁膜(エッチングマ
スク)のシリコン窒化膜23が存在する。したがって、
島状のシリコン基板21の下部側面にゲート材料が残留
しないように、上記積層膜を長時間エッチングしても、
ソース・ドレイン領域の島状のシリコン基板21がエッ
チングされることはない。
Here, an oxide film 25 or a silicon nitride film 23 as a protective insulating film (etching mask) exists under the above-mentioned laminated film to be the gate electrode 27. Therefore,
Even if the laminated film is etched for a long time so that the gate material does not remain on the lower side surface of the island-shaped silicon substrate 21,
The island-shaped silicon substrate 21 in the source / drain region is not etched.

【0069】また、ゲート材料の残留をさらに完全に防
ぐには、トレンチ角度にわずかなテーパー(鉛直面に対
して7度以下。深いところでトレンチの幅が狭くなる方
向)を与えることが有効である。
In order to further completely prevent the gate material from remaining, it is effective to give the trench angle a slight taper (7 degrees or less with respect to the vertical plane, a direction in which the width of the trench becomes smaller at a deeper angle). .

【0070】次に図19に示すように、二つのソース・
ドレイン拡散層を形成するために、不純物イオン28を
斜めにイオン注入した後、アニールを行なう。ここで、
n型チャネルMOSFETの場合であればAs、p型チ
ャネルMOSFETの場合であればBF2 を1×1015
/cm2 程度のドーズ量でイオン注入する。
Next, as shown in FIG.
In order to form a drain diffusion layer, annealing is performed after oblique ion implantation of impurity ions 28. here,
As for an n-channel MOSFET, BF 2 is 1 × 10 15 for a p-channel MOSFET.
Ions are implanted at a dose of about / cm 2 .

【0071】次に図20に示すように、全面に層間絶縁
膜29を形成した後、通常のMOSFETの製造工程に
従って、ゲート、ソース、ドレインの各領域にコンタク
トホール30を開孔し、ゲート電極27、二つのソース
・ドレイン拡散層にそれぞれ接続する引出し配線31,
32,33を形成する。
Next, as shown in FIG. 20, after an interlayer insulating film 29 is formed on the entire surface, contact holes 30 are opened in each of the gate, source and drain regions in accordance with a normal MOSFET manufacturing process. 27, extraction wirings 31 respectively connected to the two source / drain diffusion layers,
32 and 33 are formed.

【0072】なお、微細化を進めることで素子領域の占
有面積が減少しても、前述したSOI基板に形成した場
合と同様に島状のシリコン基板21の厚さを大きくする
ことで(トレンチ溝の深さを大きくすることで)、ソー
ス・ドレイン層と引出し配線32,33とのコンタクト
部分の寄生抵抗を低減でき、コンタクト抵抗の上昇を防
止できるようになる。これは島状シリコンの側面でもコ
ンタクトを取れるようになるからである。
Even if the occupied area of the element region is reduced by miniaturization, by increasing the thickness of the island-shaped silicon substrate 21 (trench groove) as in the case of forming the SOI substrate described above. In this case, the parasitic resistance at the contact portions between the source / drain layers and the lead wirings 32 and 33 can be reduced, and the contact resistance can be prevented from increasing. This is because a contact can be made even on the side surface of the island-shaped silicon.

【0073】また、本実施形態では、島状のシリコン基
板21の対向する2側面にゲート絶縁膜26を介してゲ
ート電極27が設けられているため、二つのMOSゲー
トにより島状のシリコン基板21を挟んだサンドイッチ
構造、いわゆるダブルゲートMOSFETが形成されて
いる。
In this embodiment, since the gate electrode 27 is provided on the two opposing side surfaces of the island-shaped silicon substrate 21 via the gate insulating film 26, the island-shaped silicon substrate 21 is formed by two MOS gates. , A so-called double-gate MOSFET is formed.

【0074】したがって、島状のシリコン基板21の厚
さdを、対向する二つの側面間の距離よりも大きくすれ
ば、つまり、島状のシリコン基板21の幅wを例えば4
0nm程度に狭くすれば、島状のシリコン基板21を完
全空乏化できるようになるので、トランジスタの性能を
向上させることができる。また、二つの側面間の距離を
短くすることにより、トランジスタの占有面積を小さく
できるようになる。
Therefore, if the thickness d of the island-shaped silicon substrate 21 is made larger than the distance between the two opposing side surfaces, that is, the width w of the island-shaped silicon
If the thickness is reduced to about 0 nm, the island-shaped silicon substrate 21 can be completely depleted, so that the performance of the transistor can be improved. Further, by reducing the distance between the two side surfaces, the area occupied by the transistor can be reduced.

【0075】なお、本発明は上述した実施形態に限定さ
れるものではない。例えば、微細化を進めて島状のシリ
コン層やシリコン基板(素子領域)の幅が狭くなり、ソ
ース・ドレインのコンタクトホールの位置合わせが困難
になった場合には、図21に示すように、ソース・ドレ
インのコンタクトホール1SDの直径D1を素子領域の幅
D2よりも大きくすれば、コンタクトホール1SDの位置
合わせずれによるコンタクト抵抗の上昇を防止できるよ
うになる。
The present invention is not limited to the embodiment described above. For example, in the case where the width of an island-shaped silicon layer or a silicon substrate (element region) is narrowed due to the progress of miniaturization, and it becomes difficult to align the source / drain contact holes, as shown in FIG. if greater than the width D2 of the source-drain element region a contact hole 1 SD diameter D1 of, it becomes possible to prevent an increase in contact resistance due to misalignment of the contact hole 1 SD.

【0076】また、上記実施形態では、保護絶縁膜とし
てシリコン窒化膜を用いたが他の絶縁膜を用いても良
い。要は、保護絶縁膜として、ゲート電極よりもエッチ
ング速度が遅いもの、ゲート絶縁膜よりも厚いもの、ま
たはゲート電極よりもエッチング速度が遅くかつゲート
絶縁膜よりも厚いものを用いることにより、ゲート電極
となる導電膜を長時間エッチングする際にソース・ドレ
イン領域上のシリコン層がエッチングされ消滅されない
ようにすれば良い。その他、本発明の要旨を逸脱しない
範囲で、種々変形して実施できる。
In the above embodiment, the silicon nitride film is used as the protective insulating film, but another insulating film may be used. The point is that as the protective insulating film, a material having a lower etching rate than the gate electrode, a material having a thickness larger than the gate insulating film, or a material having a lower etching rate than the gate electrode and having a thickness larger than the gate insulating film is used. It is sufficient to prevent the silicon layer on the source / drain region from being etched and lost when the conductive film to be etched is etched for a long time. In addition, various modifications can be made without departing from the scope of the present invention.

【0077】[0077]

【発明の効果】以上詳述したように本発明によれば、半
導体層の側面にMOSゲート構造が形成されたMOSF
ETを備えた半導体装置において、ゲート材料の残留問
題や、素子特性の劣化問題を解決できるようになる。
As described above in detail, according to the present invention, a MOSF in which a MOS gate structure is formed on a side surface of a semiconductor layer.
In a semiconductor device provided with ET, the problem of remaining gate material and the problem of deterioration of element characteristics can be solved.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明の第1の実施形態に係わるMOSFET
を示す平面図
FIG. 1 is a MOSFET according to a first embodiment of the present invention.
A plan view showing

【図2】図1のMOSFETのA−A′断面図FIG. 2 is a cross-sectional view of the MOSFET of FIG.

【図3】図1のMOSFETのB−B′断面図FIG. 3 is a cross-sectional view of the MOSFET of FIG.

【図4】図1のMOSFETのC−D−E−F領域の断
面斜視図
4 is a sectional perspective view of a CDEF region of the MOSFET of FIG. 1;

【図5】本発明の第1の実施形態に係わるMOSFET
を示す断面図
FIG. 5 is a MOSFET according to the first embodiment of the present invention.
Cross section showing

【図6】本発明の第1の実施形態に係わるMOSFET
を示す断面図
FIG. 6 is a MOSFET according to the first embodiment of the present invention.
Cross section showing

【図7】本発明の第1の実施形態に係わるMOSFET
を示す断面図
FIG. 7 is a MOSFET according to the first embodiment of the present invention.
Cross section showing

【図8】本発明の第1の実施形態に係わるMOSFET
を示す断面図
FIG. 8 is a MOSFET according to the first embodiment of the present invention.
Cross section showing

【図9】本発明の第1の実施形態に係わるMOSFET
を示す断面図
FIG. 9 is a MOSFET according to the first embodiment of the present invention.
Cross section showing

【図10】本発明の第1の実施形態に係わるMOSFE
Tを示す断面図
FIG. 10 is a diagram showing a MOSFE according to the first embodiment of the present invention;
Sectional view showing T

【図11】本発明の第1の実施形態に係わるMOSFE
Tを示す断面図
FIG. 11 is a diagram showing a MOSFE according to the first embodiment of the present invention;
Sectional view showing T

【図12】本発明の第3の実施形態に係わるMOSFE
Tの製造方法を示す断面図
FIG. 12 shows a MOSFE according to a third embodiment of the present invention;
Sectional drawing which shows the manufacturing method of T

【図13】本発明の第3の実施形態に係わるMOSFE
Tの製造方法を示す断面図
FIG. 13 shows a MOSFE according to a third embodiment of the present invention;
Sectional drawing which shows the manufacturing method of T

【図14】本発明の第3の実施形態に係わるMOSFE
Tの製造方法を示す断面図
FIG. 14 is a diagram showing a MOSFE according to a third embodiment of the present invention;
Sectional drawing which shows the manufacturing method of T

【図15】本発明の第3の実施形態に係わるMOSFE
Tの製造方法を示す断面図
FIG. 15 shows a MOSFE according to a third embodiment of the present invention;
Sectional drawing which shows the manufacturing method of T

【図16】本発明の第3の実施形態に係わるMOSFE
Tの製造方法を示す断面図
FIG. 16 shows a MOSFE according to a third embodiment of the present invention.
Sectional drawing which shows the manufacturing method of T

【図17】本発明の第3の実施形態に係わるMOSFE
Tの製造方法を示す断面図
FIG. 17 shows a MOSFE according to a third embodiment of the present invention.
Sectional drawing which shows the manufacturing method of T

【図18】本発明の第3の実施形態に係わるMOSFE
Tの製造方法を示す断面図
FIG. 18 shows a MOSFE according to a third embodiment of the present invention.
Sectional drawing which shows the manufacturing method of T

【図19】本発明の第3の実施形態に係わるMOSFE
Tの製造方法を示す断面図
FIG. 19 is a diagram showing a MOSFE according to a third embodiment of the present invention;
Sectional drawing which shows the manufacturing method of T

【図20】本発明の第3の実施形態に係わるMOSFE
Tの製造方法を示す断面図
FIG. 20 is a diagram showing a MOSFE according to a third embodiment of the present invention;
Sectional drawing which shows the manufacturing method of T

【図21】コンタクトホールの位置合わせずれを防止す
る方法を説明するための平面図
FIG. 21 is a plan view for explaining a method for preventing misalignment of a contact hole.

【図22】従来のMOSFETを示す断面図FIG. 22 is a sectional view showing a conventional MOSFET.

【図23】従来のMOSFETを示す断面斜視図FIG. 23 is a sectional perspective view showing a conventional MOSFET.

【符号の説明】[Explanation of symbols]

G ,1SD…コンタクトホール 2…SOI基板 3…素子分離領域 4…ゲート電極 5…素子領域 7…層間絶縁膜 8…埋込み酸化膜 9…支持基板 10…シリコン層 11…ゲート絶縁膜 12…バッファ酸化膜 13…シリコン窒化膜(保護絶縁膜) 14…不純物イオン 15…フォトレジストパターン 16…引出し配線 17…引出し配線 18…引出し配線 21…シリコン基板 22…バッファ酸化膜 23…シリコン窒化膜(保護絶縁膜) 24…フォトレジストパターン 25…酸化膜 26…ゲート絶縁膜 27…ゲート電極 28…不純物イオン 29…層間絶縁膜 30G ,30SD…コンタクトホール 31…引出し配線 32…引出し配線 33…引出し配線DESCRIPTION OF SYMBOLS 1 G , 1 SD ... Contact hole 2 ... SOI substrate 3 ... Element isolation region 4 ... Gate electrode 5 ... Element region 7 ... Interlayer insulating film 8 ... Buried oxide film 9 ... Support substrate 10 ... Silicon layer 11 ... Gate insulating film 12 ... Buffer oxide film 13 Silicon nitride film (protective insulating film) 14 Impurity ions 15 Photoresist pattern 16 Lead wiring 17 Lead wiring 18 Lead wiring 21 Silicon substrate 22 Buffer oxide film 23 Silicon nitride film (protection) 24 ... photoresist pattern 25 ... oxide film 26 ... gate insulating film 27 ... gate electrode 28 ... impurity ions 29 ... interlayer insulating film 30G , 30SD ... contact hole 31 ... lead-out wiring 32 ... lead-out wiring 33 ... lead-out wiring

Claims (7)

【特許請求の範囲】[Claims] 【請求項1】絶縁層上に形成された凸状の半導体層と、 この半導体層の上面に形成された被覆絶縁膜と、 前記半導体層の一つの側面から、前記被覆絶縁膜、前記
側面に対向する前記半導体層の他の側面に跨がって形成
されたゲート電極と、 前記ゲート電極と前記各側面との間にそれぞれ設けられ
たゲート絶縁膜と、 前記各側面の表面にそれぞれ形成され、前記ゲート電極
を介して対向する1対のソース・ドレイン領域とを具備
してなることを特徴とする半導体装置。
A semiconductor layer formed on an insulating layer; a coating insulating film formed on an upper surface of the semiconductor layer; and a coating insulating film formed on one side of the semiconductor layer. A gate electrode formed over the other side surface of the semiconductor layer facing the gate electrode; a gate insulating film provided between the gate electrode and each of the side surfaces; and a gate electrode formed on the surface of each of the side surfaces, respectively. And a pair of source / drain regions facing each other with the gate electrode interposed therebetween.
【請求項2】前記被覆絶縁膜は、前記ゲート電極の下部
にあり、前記ソース・ドレイン領域が形成された側面で
挟まれた領域の前記半導体層の上面に存在しないことを
特徴とする請求項1に記載の半導体装置。
2. The semiconductor device according to claim 1, wherein said coating insulating film is located below said gate electrode and does not exist on an upper surface of said semiconductor layer in a region sandwiched between side surfaces on which said source / drain regions are formed. 2. The semiconductor device according to 1.
【請求項3】前記被覆絶縁膜は、前記ゲート電極よりも
エッチング速度が遅いもの、前記ゲート絶縁膜よりも厚
いもの、または前記ゲート電極よりもエッチング速度が
遅くかつ前記ゲート絶縁膜よりも厚いものであることを
特徴とする請求項1に記載の半導体装置。
3. The coating insulating film has a lower etching rate than the gate electrode, is thicker than the gate insulating film, or has a lower etching rate than the gate electrode and is thicker than the gate insulating film. The semiconductor device according to claim 1, wherein
【請求項4】前記ゲート絶縁膜が設けられた部分の前記
側面は(100)面であることを特徴とする請求項1に
記載の半導体装置。
4. The semiconductor device according to claim 1, wherein said side surface of a portion where said gate insulating film is provided is a (100) plane.
【請求項5】前記半導体層の厚さは、前記対向する二つ
の側面間の距離よりも大きいことを特徴とする請求項1
に記載の半導体装置。
5. The semiconductor device according to claim 1, wherein a thickness of the semiconductor layer is larger than a distance between the two opposing side surfaces.
3. The semiconductor device according to claim 1.
【請求項6】下地が絶縁層である半導体層の全面に被覆
絶縁膜を形成する工程と、 前記被覆絶縁膜と前記半導体層との積層膜を相対する二
つの側面を有するように凸状にパターニングする工程
と、 前記各側面にそれぞれゲート絶縁膜を形成する工程と、 全面に導電膜を形成した後、前記被覆絶縁膜を前記半導
体層のエッチング保護膜に用いて、前記導電膜をエッチ
ングして前記ゲート絶縁膜に隣接してゲート電極を形成
する工程と、 前記各側面にそれぞれ前記ゲート電極を介して対向する
1対のソース・ドレイン領域を形成する工程とを有する
ことを特徴とする半導体装置の製造方法。
6. A step of forming a coating insulating film over the entire surface of a semiconductor layer whose underlying layer is an insulating layer; Patterning; forming a gate insulating film on each of the side surfaces; forming a conductive film on the entire surface; and etching the conductive film using the coating insulating film as an etching protection film for the semiconductor layer. Forming a pair of source / drain regions on the respective side surfaces via the gate electrode by forming a gate electrode adjacent to the gate insulating film. Device manufacturing method.
【請求項7】前記ソース・ドレイン領域が形成された側
面間の前記半導体層上の前記被覆絶縁膜を除去して、前
記被覆絶縁膜を前記ゲート電極の下部に選択的に残置さ
せることを特徴とする請求項6に記載の半導体装置の製
造方法。
7. The semiconductor device according to claim 7, wherein the insulating film on the semiconductor layer between the side surfaces on which the source / drain regions are formed is removed, and the insulating film is selectively left under the gate electrode. The method for manufacturing a semiconductor device according to claim 6.
JP24628996A 1996-09-18 1996-09-18 Semiconductor device and manufacturing method thereof Expired - Fee Related JP3607431B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP24628996A JP3607431B2 (en) 1996-09-18 1996-09-18 Semiconductor device and manufacturing method thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP24628996A JP3607431B2 (en) 1996-09-18 1996-09-18 Semiconductor device and manufacturing method thereof

Publications (2)

Publication Number Publication Date
JPH1093093A true JPH1093093A (en) 1998-04-10
JP3607431B2 JP3607431B2 (en) 2005-01-05

Family

ID=17146340

Family Applications (1)

Application Number Title Priority Date Filing Date
JP24628996A Expired - Fee Related JP3607431B2 (en) 1996-09-18 1996-09-18 Semiconductor device and manufacturing method thereof

Country Status (1)

Country Link
JP (1) JP3607431B2 (en)

Cited By (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003017710A (en) * 2001-05-24 2003-01-17 Internatl Business Mach Corp <Ibm> Double gate/double channel mosfet
US6525403B2 (en) 2000-09-28 2003-02-25 Kabushiki Kaisha Toshiba Semiconductor device having MIS field effect transistors or three-dimensional structure
JP2003298051A (en) * 2002-01-30 2003-10-17 Soko Lee Double-gate fet device and manufacturing method of the same
JP2005045263A (en) * 2003-07-23 2005-02-17 Samsung Electronics Co Ltd Pin fet and its forming method
JP2005136376A (en) * 2003-10-31 2005-05-26 Hynix Semiconductor Inc Transistor of semiconductor device and method of manufacturing the same
JP2005150742A (en) * 2003-11-17 2005-06-09 Samsung Electronics Co Ltd Semiconductor device and its manufacturing method
JP2005521258A (en) * 2002-03-19 2005-07-14 インターナショナル・ビジネス・マシーンズ・コーポレーション Strained FinFET Structure and Method
WO2005074035A1 (en) * 2004-01-30 2005-08-11 Nec Corporation Field effect transistor and method for manufacturing same
JP2005260240A (en) * 2004-03-12 2005-09-22 Internatl Business Mach Corp <Ibm> Integrated circuit structure on hybrid crystal orientation substrate and formation method therefor (high-performance cmos soi device)
JP2005317978A (en) * 2004-04-28 2005-11-10 Internatl Business Mach Corp <Ibm> Finfet semiconductor structure and manufacturing method therefor
JP2006005344A (en) * 2004-06-17 2006-01-05 Samsung Electronics Co Ltd Semiconductor pattern forming method
US7061055B2 (en) 2001-03-13 2006-06-13 National Institute Of Advanced Industrial Science And Technology Double-gate field-effect transistor, integrated circuit using the transistor and method of manufacturing the same
US7061054B2 (en) * 2003-06-23 2006-06-13 Kabushiki Kaisha Toshiba Semiconductor device and semiconductor device manufacturing method
EP1690294A2 (en) * 2003-11-05 2006-08-16 International Business Machines Corporation Method of fabricating a finfet
JP2006310458A (en) * 2005-04-27 2006-11-09 Toshiba Corp Method of manufacturing semiconductor device
JP2007500952A (en) * 2003-06-12 2007-01-18 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド FINFET with dual silicon gate layer for chemical mechanical polishing planarization
CN1309033C (en) * 2003-04-29 2007-04-04 台湾积体电路制造股份有限公司 Semiconductor with fin structure and method for manufacturing same
JP2007142417A (en) * 2005-11-15 2007-06-07 Internatl Business Mach Corp <Ibm> Corner dominated trigate field effect transistor
US7382020B2 (en) 2004-03-02 2008-06-03 National Institute Of Advanced Industrial Science And Technology Semiconductor integrated circuit
JP2008205185A (en) * 2007-02-20 2008-09-04 Oki Electric Ind Co Ltd Manufacturing method of semiconductor memory device, and the semiconductor memory device
US7465998B2 (en) 2004-09-21 2008-12-16 Kabushiki Kaisha Toshiba Semiconductor device
JP2009520367A (en) * 2005-12-16 2009-05-21 フリースケール セミコンダクター インコーポレイテッド Transistor having buried contact and method for forming the same
US7612416B2 (en) 2003-10-09 2009-11-03 Nec Corporation Semiconductor device having a conductive portion below an interlayer insulating film and method for producing the same
JP2010192926A (en) * 2010-04-23 2010-09-02 Toshiba Corp Method of manufacturing semiconductor device
JP2011522392A (en) * 2008-05-29 2011-07-28 パナソニック株式会社 Semiconductor device
US10192968B2 (en) 2015-01-14 2019-01-29 Samsung Electronics Co., Ltd. Semiconductor devices having gate structures with skirt regions

Cited By (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6525403B2 (en) 2000-09-28 2003-02-25 Kabushiki Kaisha Toshiba Semiconductor device having MIS field effect transistors or three-dimensional structure
US7061055B2 (en) 2001-03-13 2006-06-13 National Institute Of Advanced Industrial Science And Technology Double-gate field-effect transistor, integrated circuit using the transistor and method of manufacturing the same
JP2003017710A (en) * 2001-05-24 2003-01-17 Internatl Business Mach Corp <Ibm> Double gate/double channel mosfet
JP2003298051A (en) * 2002-01-30 2003-10-17 Soko Lee Double-gate fet device and manufacturing method of the same
JP2005521258A (en) * 2002-03-19 2005-07-14 インターナショナル・ビジネス・マシーンズ・コーポレーション Strained FinFET Structure and Method
CN1309033C (en) * 2003-04-29 2007-04-04 台湾积体电路制造股份有限公司 Semiconductor with fin structure and method for manufacturing same
JP2007500952A (en) * 2003-06-12 2007-01-18 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド FINFET with dual silicon gate layer for chemical mechanical polishing planarization
US7061054B2 (en) * 2003-06-23 2006-06-13 Kabushiki Kaisha Toshiba Semiconductor device and semiconductor device manufacturing method
JP2005045263A (en) * 2003-07-23 2005-02-17 Samsung Electronics Co Ltd Pin fet and its forming method
US7612416B2 (en) 2003-10-09 2009-11-03 Nec Corporation Semiconductor device having a conductive portion below an interlayer insulating film and method for producing the same
JP2005136376A (en) * 2003-10-31 2005-05-26 Hynix Semiconductor Inc Transistor of semiconductor device and method of manufacturing the same
EP1690294A2 (en) * 2003-11-05 2006-08-16 International Business Machines Corporation Method of fabricating a finfet
EP1690294A4 (en) * 2003-11-05 2010-09-22 Ibm Method of fabricating a finfet
JP2005150742A (en) * 2003-11-17 2005-06-09 Samsung Electronics Co Ltd Semiconductor device and its manufacturing method
JP5170958B2 (en) * 2004-01-30 2013-03-27 ルネサスエレクトロニクス株式会社 Field effect transistor and manufacturing method thereof
WO2005074035A1 (en) * 2004-01-30 2005-08-11 Nec Corporation Field effect transistor and method for manufacturing same
US7382020B2 (en) 2004-03-02 2008-06-03 National Institute Of Advanced Industrial Science And Technology Semiconductor integrated circuit
JP2005260240A (en) * 2004-03-12 2005-09-22 Internatl Business Mach Corp <Ibm> Integrated circuit structure on hybrid crystal orientation substrate and formation method therefor (high-performance cmos soi device)
US7498216B2 (en) 2004-03-12 2009-03-03 International Business Machines Corporation Method of forming high-performance CMOS SOI devices on hybrid crystal-oriented substrates
JP2005317978A (en) * 2004-04-28 2005-11-10 Internatl Business Mach Corp <Ibm> Finfet semiconductor structure and manufacturing method therefor
JP2006005344A (en) * 2004-06-17 2006-01-05 Samsung Electronics Co Ltd Semiconductor pattern forming method
US7465998B2 (en) 2004-09-21 2008-12-16 Kabushiki Kaisha Toshiba Semiconductor device
US7816242B2 (en) 2004-09-21 2010-10-19 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US8043904B2 (en) 2005-04-27 2011-10-25 Kabushiki Kaisha Toshiba Semiconductor manufacturing method and semiconductor device
US7662679B2 (en) 2005-04-27 2010-02-16 Kabushiki Kaisha Toshiba Semiconductor manufacturing method and semiconductor device
US8148217B2 (en) 2005-04-27 2012-04-03 Kabushiki Kaisha Toshiba Semiconductor manufacturing method and semiconductor device
JP2006310458A (en) * 2005-04-27 2006-11-09 Toshiba Corp Method of manufacturing semiconductor device
JP2007142417A (en) * 2005-11-15 2007-06-07 Internatl Business Mach Corp <Ibm> Corner dominated trigate field effect transistor
US8633515B2 (en) 2005-12-16 2014-01-21 Freescale Semiconductor, Inc. Transistors with immersed contacts
JP2009520367A (en) * 2005-12-16 2009-05-21 フリースケール セミコンダクター インコーポレイテッド Transistor having buried contact and method for forming the same
JP2008205185A (en) * 2007-02-20 2008-09-04 Oki Electric Ind Co Ltd Manufacturing method of semiconductor memory device, and the semiconductor memory device
JP2011522392A (en) * 2008-05-29 2011-07-28 パナソニック株式会社 Semiconductor device
JP2010192926A (en) * 2010-04-23 2010-09-02 Toshiba Corp Method of manufacturing semiconductor device
US10192968B2 (en) 2015-01-14 2019-01-29 Samsung Electronics Co., Ltd. Semiconductor devices having gate structures with skirt regions
US11043568B2 (en) 2015-01-14 2021-06-22 Samsung Electronics Co., Ltd. Semiconductor devices having gate structures with skirt regions
US11600711B2 (en) 2015-01-14 2023-03-07 Samsung Electronics Co., Ltd. Semiconductor devices having gate structures with skirt regions

Also Published As

Publication number Publication date
JP3607431B2 (en) 2005-01-05

Similar Documents

Publication Publication Date Title
JP3607431B2 (en) Semiconductor device and manufacturing method thereof
US6087698A (en) Semiconductor device and method of manufacturing the same
JP3078720B2 (en) Semiconductor device and manufacturing method thereof
JP4614522B2 (en) Semiconductor device and manufacturing method thereof
KR100189966B1 (en) Mos transistor of soi structure and method for manufacturing the same
US6252280B1 (en) Semiconductor device and manufacturing method thereof
JP2002237575A (en) Semiconductor device and its manufacturing method
JP2002134627A (en) Semiconductor device and its manufacturing method
JPH09298195A (en) Semiconductor device and its manufacture
JP2001111056A (en) Semiconductor device and its manufacturing method
US7135742B1 (en) Insulated gate type semiconductor device and method for fabricating same
JP3491805B2 (en) Method for manufacturing semiconductor device
JP3529220B2 (en) Semiconductor device and manufacturing method thereof
JPH1012885A (en) Semiconductor device and manufacture thereof
US6268268B1 (en) Method of manufacturing semiconductor device
JPH07273330A (en) Semiconductor device and its manufacture
JP2008244229A (en) Semiconductor device manufacturing method and semiconductor device
JP4360413B2 (en) Manufacturing method of semiconductor device
JP2001313396A (en) Semiconductor device and its manufacturing method
JPH07283302A (en) Manufacture of semiconductor integrated circuit device
JPH0778977A (en) Semiconductor device
JP3125929B2 (en) Method for manufacturing semiconductor device
JPH10163338A (en) Semiconductor device and its manufacturing method
KR100263475B1 (en) Semiconductor device and method for fabricating the same
JP2002289698A (en) Semiconductor device and its manufacturing method and portable electronic appliance

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20040513

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20040518

A521 Written amendment

Effective date: 20040720

Free format text: JAPANESE INTERMEDIATE CODE: A523

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20041005

A61 First payment of annual fees (during grant procedure)

Effective date: 20041007

Free format text: JAPANESE INTERMEDIATE CODE: A61

FPAY Renewal fee payment (prs date is renewal date of database)

Free format text: PAYMENT UNTIL: 20081015

Year of fee payment: 4

FPAY Renewal fee payment (prs date is renewal date of database)

Free format text: PAYMENT UNTIL: 20081015

Year of fee payment: 4

FPAY Renewal fee payment (prs date is renewal date of database)

Year of fee payment: 5

Free format text: PAYMENT UNTIL: 20091015

FPAY Renewal fee payment (prs date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101015

Year of fee payment: 6

FPAY Renewal fee payment (prs date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111015

Year of fee payment: 7

FPAY Renewal fee payment (prs date is renewal date of database)

Year of fee payment: 7

Free format text: PAYMENT UNTIL: 20111015

FPAY Renewal fee payment (prs date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121015

Year of fee payment: 8

LAPS Cancellation because of no payment of annual fees