JPH1032269A - 半導体装置 - Google Patents

半導体装置

Info

Publication number
JPH1032269A
JPH1032269A JP8187599A JP18759996A JPH1032269A JP H1032269 A JPH1032269 A JP H1032269A JP 8187599 A JP8187599 A JP 8187599A JP 18759996 A JP18759996 A JP 18759996A JP H1032269 A JPH1032269 A JP H1032269A
Authority
JP
Japan
Prior art keywords
silicon pillar
memory cell
insulating film
semiconductor memory
source
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP8187599A
Other languages
English (en)
Inventor
Yuichi Tatsumi
雄一 辰巳
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Toshiba Electronic Device Solutions Corp
Original Assignee
Toshiba Corp
Toshiba Microelectronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp, Toshiba Microelectronics Corp filed Critical Toshiba Corp
Priority to JP8187599A priority Critical patent/JPH1032269A/ja
Publication of JPH1032269A publication Critical patent/JPH1032269A/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/10Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the top-view layout
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B69/00Erasable-and-programmable ROM [EPROM] devices not provided for in groups H10B41/00 - H10B63/00, e.g. ultraviolet erasable-and-programmable ROM [UVEPROM] devices

Landscapes

  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)

Abstract

(57)【要約】 【課題】 従来のEEPROMでは、さらなる高集積化
のためにメモリセルを微細化すると、書き込み時にドレ
インに加わる高電圧が隣接するセルにリークし、また、
チャネル長のばらつきが生じて読み出し電流量がばらつ
き、さらに、フローティングゲートとソースとのカップ
リング容量がコントロールゲート電圧のフローティング
ゲートに対する制御性が下がるという問題が生じる。 【解決手段】 基板1上に円錐形、円柱形、もしくは多
角錐形のシリコン柱2を形成し、このシリコン柱2を囲
む形でフローティングゲート3とコントロールゲート4
を形成してセルトランジスタを作成し、そのセルトラン
ジスタを基板に対して垂直方向に複数配置することで、
単位面積当たりの集積度を上げる。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、電気的消去型不揮
発性半導体記憶装置(以下「EEPROM」と称する)
に係わり、特に3次元的に形成された不揮発性半導体記
憶装置に関する。
【0002】
【従来の技術】現在使用されているEEPROMには、
NAND型とNOR型の2種類がある。NAND型、N
OR型のいずれのメモリセルも、1つのセルはフローテ
ィングゲート、コントロールゲート、ソース部、ドレイ
ン部から成り立っている。フローティングゲートは、コ
ントロールゲートに対して自己整合的に形成されてい
る。このフローティングゲートに対して電子もしくは正
孔を注入することで、メモリセルトランジスタの閾値電
圧(以下、Vthと呼ぶ)を制御し、メモリセルトラン
ジスタのドレインとコントロールゲートに読み出しの際
に電圧を与え、ドレインから流れ込む電流量を検知し
て、データの1/0を判別する。すなわち、フローティ
ングゲートに電子が注入され閾値電圧Vthが上昇して
いる状態のメモリセルを「0」セル、フローティングゲ
ートに電子が注入されていない状態のメモリセルを
「1」セルと判別する。
【0003】一般的なNOR型のEEPROMのセルを
図12に示す。図12の等価回路を図13に示す。図1
2及び図13に示す破線MCで囲まれた部分が1ビット
のデータを保持するのに必要なメモリパターンである。
【0004】NOR型EEPROMにおいて、セルトラ
ンジスタTrはビット線6に並列に接続されている。す
なわち、セルトランジスタTrのドレインはビット線6
に接続され、ゲートは隣接するセルトランジスタTrの
ゲートとともに接続されワード線9を形成する。各セル
トランジスタTrのソースはソース線7に共通接続され
る。データの読み出しは、選択されたワード線9および
ビット線6に読み出しのための電位を与え、選択された
ワード線9とビット線6の交点にあたるセルにおいてビ
ット線6からソース線7に電流が流れるか否かを検知
し、データの1/0を決める。
【0005】上記のNOR型のセルでは、ドレインとビ
ット線6とを接続するためのコンタクトやソース線7と
の接続が必要であり、コンタクトがセル面積の大きな部
分を占めている。面積の削減のためにドレインコンタク
トやソース線7との接続を2つのセルトランジスタで共
通としているが、それでもメモリセルの面積が大きく、
高集積化に適していなかった。
【0006】これに対して、より集積度を上げることが
できるものがNAND型のEEPROMである。図14
はNAND型のパターンを示し、図15は図14の等価
回路を示す。図14、図15に示す破線MCで囲んだ部
分が1ビットのデータを保持するのに必要なメモリセル
のパターンである。
【0007】NAND型のメモリでは、複数のメモリセ
ルトランジスタTrが直列に複数接続された群と、この
セル群の両端にセル群を選択するセレクトゲートSG
1,SG2が存在する。一方のセレクトゲートSG1の
ドレインはビット線6に接続される。このセレクトゲー
トSG1のゲートは隣接する別のセル群のセレクトゲー
トのゲートと接続されセレクト線8aを形成する。他方
のセレクトゲートSG2のソースは他のセル群のセレク
トゲートのソースとともにソース線7に接続され、同様
にセレクト線8bを形成する。
【0008】NAND型メモリにおけるデータの読み出
しは、データを読み出すべきセルを含むセル群に接続さ
れているビット線6に読み出しの電位を与え、セレクト
ゲートに電圧を加えてセレクトトランジスタを導通させ
る。非選択セルのゲートにワード線9を介して電圧(例
えば、VDD)を加えてセルトランジスタを導通させ、
選択すべきセルのゲートに読み出し電圧(例えば、0
V)を与える。メモリセルがディプレション型か、エン
ハンスメント型かによって選択セルおよび非選択セルの
ゲートに加える電圧は異なる。読み出し電圧をかけた際
にビット線6を介して電流が流れるか否かを検知してデ
ータの読み出しを行う。NAND型のセルは、セル群に
接続されているセレクトゲートの分だけ面積を余計に必
要とするデメリットがある。しかし、ソース/ドレイン
コンタクトの数が少なく、セルの数が増大するに従っ
て、1セル当たりのセレクトゲートの面積は減少するの
で、総合的に見るとNAND型の集積度の方がNOR型
よりも高くなる。
【0009】
【発明が解決しようとする課題】しかし、集積度の高い
NAND型のセルでも、集積度を向上させるために微細
化を進めると、平面上にセルトランジスタを配置する限
り、以下のような問題が生じる。
【0010】まず、データの書き込みの際にメモリセル
のドレイン部に高電圧が加えられるが、現在はイオン注
入やフィールド酸化膜を利用した素子分離によって、そ
のドレインに加えられた高電圧がリークするのを防止し
ている。しかし、メモリセルの集積度を上げるためドレ
イン間の素子分離幅を狭くすると、隣接するメモリセル
のドレインに電位がリークしてしまい、微細化の阻害要
因となる。
【0011】また、集積度を上げるためメモリセルのチ
ャネル長の微細化を進めると、ゲート電極の加工ばらつ
きの影響が現れてくる。例えば、チャネル長が0.7μ
mで、ゲート電極の加工ばらつきが片側で0.05μm
あると、チャネル長が0.1μmの範囲で、すなわち1
0%以上ばらつく。このため、データの書き込み時の書
き込み量やデータの読み出し時の電流にばらつきが生じ
る。
【0012】また、集積度を高めるために、メモリセル
のコントロールゲートとセルのドレイン部あるいはソー
ス部との間隔を狭めることがある。しかし、ドレイン部
にはビット線と接続するためのコンタクトが設けられて
いるため、マスクの合わせずれによってコントロールゲ
ートとビット線とがショートする可能性がある。また、
コントロールゲートがソース部にオーバーラップした場
合は、自己整合的に作成されるフローティングゲートと
拡散層であるソースとのカップリングによる容量が増大
し、コントロールゲートに読み出し時や書き込み時に加
えた電圧のうちフローティングゲートに加わる割合が下
がるため、コントロールゲートのフローティングゲート
に対する制御性が下がってしまう。
【0013】このように、平面的にメモリセルの微細化
を進めると、いろいろな問題が生じる。本発明は、上記
課題に鑑み、メモリセルの集積度を上げることが可能な
セルアレイを作成することを目的とする。
【0014】
【課題を解決するための手段】本発明は、上記課題を解
決するため、半導体基板上に形成された第1導電型のシ
リコン柱と、前記シリコン柱の表面近傍に、前記シリコ
ン柱の伸びる方向に所定間隔離間して順次形成された第
2導電型のソース領域及びドレイン領域と、前記シリコ
ン柱のソース及びドレイン領域の相互間に位置する外周
側面を囲む第1の絶縁膜と、前記第1の絶縁膜の外周側
面に設けられたフローティングゲートと、前記フローテ
ィングゲートの外周側面に設けられた第2の絶縁膜と、
前記第2の絶縁膜の外周側面に設けられたコントロール
ゲートと、前記シリコン柱のソース領域及びドレイン領
域の側面に形成された層間絶縁膜よりなる電気的消去可
能な半導体メモリセルが、前記シリコン柱の伸びる方向
に2つ以上重ねて設けられている半導体メモリセル群と
を具備する半導体装置を提供する。
【0015】
【発明の実施の形態】以下、図面を参照して、本発明の
実施例を詳細に説明する。図1は、本発明の実施例の縦
方向の断面図を示す。図2は、図1の実施例の回路図で
ある。図3は、図1の3−3線に沿った水平方向断面図
である。
【0016】図1、3に示すように、基板1上には例え
ば断面が円形の複数のシリコンの柱2が形成されてい
る。これらシリコン柱2にはその周囲を囲むように薄い
絶縁膜11を介してフローティングゲート3が形成さ
れ、フローティングゲート3のさらに外周に絶縁膜12
を介してコントロールゲート4が形成される。これらの
フローティングゲート3とコントロールゲート4は、基
板と垂直な方向に層間絶縁膜13を介して積層して形成
されている。シリコン柱2には、例えばp型の不純物が
ドープされている。フローティングゲートで囲まれたシ
リコン柱2の領域10には、不純物がドープされること
によって、デプレション型のトランジスタのチャネル領
域が形成されている。また、これら各チャネル領域の上
下に位置し、層間絶縁膜13で囲まれているシリコン柱
2の側面領域5には例えばn型の不純物がドープされ、
ソース・ドレイン領域が形成されている。
【0017】上記構成において、図1に示す破線MCで
囲まれた部分が1つのメモリセルとして機能する。シリ
コン柱2に沿って複数の不揮発性メモリセルが形成され
る。また、図1、図3に示したように、縦方向に積み重
ねられたコントロールゲート4は、他のシリコン柱の同
様のコントロールゲートと接続され、ワード線9を構成
する。ワード線9は、セルアレイ外に設けられた図示せ
ぬデコーダにより駆動される。
【0018】さらに、図1、図2に示したように、シリ
コン柱2において記憶素子を構成するセル群の上下には
セレクトゲートSG1、SG2がそれぞれ設置され、セ
レクト線8a,8bで囲まれたシリコン柱の表面には、
例えば不純物がドープされてエンハンスメント型のトラ
ンジスタのチャネル領域が形成されている。前記各シリ
コン柱2の先端にはビット線6が設けられ、このビット
線6はセレクトゲートSG1のドレイン領域に接続され
ている。また、セレクトゲートSG2のソース領域はソ
ース線7に接続されている。セレクト線8a,8bに電
圧を加えることにより、シリコン柱に形成されたセル群
とあるいはソース線7とが電気的に接続されたり、切り
離されたりする。
【0019】次に、本メモリセルにおけるデータの書き
込み、読み出し、消去の一例を示す。本セルの動作は、
従来のNAND型のメモリセルと同様であり、書き込み
・消去ともにFN(Fowler- Nordheim)トンネリング現
象を利用する。
【0020】まず、メモリセルのデータを消去する場合
について説明する。図4は、メモリセルの消去時の電子
の様子を示す。図5は、データ消去時の電圧印加状態を
表す。データの消去の際には、メモリセルのコントロー
ルゲート4に低電圧(例えば、0V)を印加し、シリコ
ン柱2にフローティングゲート3からシリコン柱2方向
にトンネル電流が流れる程度の高電圧、例えば18Vを
印加する。こうすることで、FNトンネリングによりフ
ローティングゲート3から電子が引き抜かれ、フローテ
ィングゲート3に正孔が注入され、正に帯電するため、
メモリセルの閾値電圧Vthがマイナスの値になる。
【0021】次に、メモリセルへデータを書き込む場合
について説明する。図6は、メモリセルに電圧を書き込
むときの電圧印加状態を示す。図6中のメモリセルMC
にデータを書き込む場合、選択されたコントロールゲー
トに高電圧、例えば18Vを印加し、非選択のコントロ
ールゲートには9Vを印加する。また、セレクト線8
a,8bには例えば11Vを印加する。”0”データを
書き込む場合は、選択ビット線6を低電圧、例えば0V
とし、非選択ビット線に9Vを印加する。この電圧印加
状態において、FNトンネリングにより浮遊ゲートに電
子が注入されメモリセルの閾値電圧が上がる。また、”
1”データを書く際には、選択ビット線6を高電圧、例
えば9Vにする。この条件では、フローティングゲート
に電子が注入されないためメモリセルの閾値電圧は変化
しない。
【0022】次に、メモリセルからデータを読み出す場
合について説明する。図7は、読み出し時のメモリセル
アレイの電圧印加状態を示す。図7中に示すメモりセル
MCからデータを読み出す場合、選択されたコントロー
ルゲートに低電圧、例えば0Vを印加する。非選択のメ
モリセルのコントロールゲートには、書き込まれている
データが”1”のときの閾値電圧と”0”のときの閾値
電圧のいずれをも上回る電圧、例えば5Vを印加する。
セルの塊を選択するセレクト線8a,8bには選択電
位、例えば5Vを加える。選択されたビット線6には読
み出しのための電圧、例えば2Vを加える。こうするこ
とにより、非選択のメモリセルにおいて、記憶されたデ
ータに関わらず閾値電圧を上回る電圧がコントロールゲ
ートに印加されているため、ドレイン側からソース側に
電流が流れる。選択されたメモリセルのコントロールゲ
ートに低電圧が加えられるので、選択されたメモリセル
のデータが”0”であり閾値電圧が高いと電流は流れ
ず、データが”1”で閾値電圧が低いと電流が流れる。
この電流が流れるか否かを検知してデータを判別する。
【0023】上記実施例においては、基板1に複数のシ
リコン柱2を形成し、このシリコン柱2の側面に複数の
メモリセルのチャネル部を縦方向に配置し、この周囲に
浮遊ゲート、コントロールゲートを配置しているため、
チャネル長を微細化することなく集積度を向上でき、メ
モリセル面積の増加を防止できる。
【0024】また、シリコン柱が円錐形状である場合
は、円錐の底辺部を選択的に加工することにより素子の
分離を行うことができる。さらに、理論的には、抵抗に
よって流せる電流の限界点までフローティングゲートの
段数を増やすことが可能である。
【0025】図8は、本発明の第2の実施例を示す。本
実施例では、隣接するワード線9との間のカップリング
容量を低減するため、同一シリコン柱2の上下方向に隣
接するワード線9の配設方向を90度異ならせている。
平面的にワード線を配置した場合、必ずワード線は同一
方向に走ることになる。立体的な構成をとった場合に全
ワード線を同一方向に配置した構成を採ると、上下のワ
ード線の容量が平行平板の形で容量結合がなされる。デ
ータの読み出し時には選択されるコントロールゲートの
ワード線は低電圧が印加され、非選択のワード線には非
選択の高電圧が印加される。このため、同一方向にワー
ド線を走らせると、上下のワード線との間の容量により
データ読み出し時のアクセスタイムが低下する。しか
し、この実施例のように、ワード線9の方向を上下で異
ならせることによりカップリング容量を減らすことがで
き、アクセスタイムの低下を防ぐことが可能である。
【0026】本発明において、ワード線やセレクト線
は、デコーダの出力により駆動される。このデコーダと
ワード線やセレクト線との接続に関して、ワード線やセ
レクト線はその終端部で高密度になるため、デコーダも
縦構造として作成してワード線やセレクト線と接続する
ことが考えられる。あるいは、ワード線やセレクト線の
終端を縦構造にし、それらの終端に接続部としてのフラ
グを設け、このフラグをデコーダの出力端子と接続する
方法も考えられる。図9(a)は、ワード線9やセレク
ト線8の終端部を縦構造にして階段状の部分を設け、こ
の部分にワード線9やセレクト線8を順次露出させてフ
ラグ15を設ける場合の断面図を示す。このフラグ15
と例えば平面上に設けられたデコーダの出力端子とは、
ボンディングワイヤにより接続される。図9(b)は、
図9(a)に示した構造の上面図を示す。図9(b)中
の9a−9a線における断面図が図9(a)である。
【0027】本発明におけるセルアレイではデータの読
み出しを行うビット線については高集積化がなされてい
ないので、センシングは同一チップ内で行うのが望まし
い。図10は、本発明の第3の実施例を示す。図11
は、図10の実施例の回路図である。第1の実施例にお
いてビット線はシリコン柱2の先端に設けたが、本実施
例では、ビット線6やソース線7をシリコン柱の側壁と
接続する。本実施例は、図1に示したシリコン柱に沿っ
て配置されたメモリセル群とメモリセル群の上下に配置
されたセレクトゲートを介して接続されるビット線とソ
ース線よりなる構造を繰り返して積み重ねた構造になっ
ている。
【0028】このような構成にすると、1つのシリコン
柱に対して複数のビット線6やソース線7を接続するこ
とができ、図1の構成の限界である読み出し電流量の調
節を、セルの分割で行うことが可能となるため、単位面
積当たりの記憶容量が増大する。
【0029】
【発明の効果】以上説明したように、本発明において
は、従来は平面的に配置していたメモリセルの各部分の
構成要素を立体的に配置することにより、単位面積当た
りの集積度を高めることができる。
【0030】また、立体的にメモリセルを集積すること
ができるので、シリコン柱の間隔をある程度広くして隣
接するメモリセル間の素子分離幅を広くしても集積度を
維持できるため、データ書き込みの際にメモリセルのド
レイン部に加えた高電圧が隣接するメモリセルのドレイ
ン部に漏れることを防ぐことができる。
【0031】さらに、本発明では、縦方向に層間絶縁膜
とコントロールゲートやフローティングゲートを交互に
形成していくため、ソース部またはドレイン部とコント
ロールゲートまたはフローティングゲートとがオーバー
ラップすることはなく、コントロールゲートのフローテ
ィングゲートに対する制御性が下がることはない。ま
た、ビット線とコントロールゲートとの間には必ず層間
絶縁膜が形成されているため、ビット線とコントロール
ゲートとが短絡することはない。
【図面の簡単な説明】
【図1】本発明の第1の実施例を表す図。
【図2】図1の実施例の回路図。
【図3】図1の実施例の水平方向断面図。
【図4】セルのデータ消去時の挙動を表す図。
【図5】本発明のセルアレイにおけるセルのデータ消去
時の電圧印加の状態を示す図。
【図6】本発明のセルアレイにおけるセルのデータ書き
込み時の電圧印加状態を示す図。
【図7】本発明のセルアレイにおけるセルのデータ読み
出し時の電圧印加状態を示す図。
【図8】本発明の第2の実施例を表す図。
【図9】図9(a)は、ワード線、セレクト線をフラグ
配置した場合の断面図、図9(b)は、図9(a)に示
したフラグ配置の上面図。
【図10】本発明の第3の実施例を表す図。
【図11】図10の実施例の回路図。
【図12】NOR型EEPROMのセルアレイを示す回
路パターン図。
【図13】図12のセルアレイの回路図。
【図14】NAND型EEPROMのセルアレイを示す
回路パターン図。
【図15】図14のセルアレイの回路図。
【符号の説明】
1…基板、 2…シリコン柱、 3…フローティングゲート、 4…コントロールゲート、 5…ソース/ドレイン、 6…ビット線、 7…ソース線、 8…セレクト線、 9…ワード線。

Claims (7)

    【特許請求の範囲】
  1. 【請求項1】 半導体基板上に形成された第1導電型の
    シリコン柱と、 前記シリコン柱の表面近傍に、前記シリコン柱の伸びる
    方向に所定間隔離間して順次形成された第2導電型のソ
    ース領域及びドレイン領域と、 前記シリコン柱のソース及びドレイン領域の相互間に位
    置する外周側面を囲む第1の絶縁膜と、 前記第1の絶縁膜の外周側面に設けられたフローティン
    グゲートと、 前記フローティングゲートの外周側面に設けられた第2
    の絶縁膜と、 前記第2の絶縁膜の外周側面に設けられたコントロール
    ゲートと、 前記シリコン柱のソース領域及びドレイン領域の側面に
    形成された層間絶縁膜とよりなる電気的消去可能な半導
    体メモリセルが、前記シリコン柱の伸びる方向に2つ以
    上重ねて設けられている半導体メモリセル群と、を具備
    することを特徴とする半導体装置。
  2. 【請求項2】前記シリコン柱に設けられ、ソース領域が
    前記半導体メモリセル群の最上端の半導体メモリセルの
    ドレイン領域に接続され、ドレイン領域がビット線に接
    続された第1のセレクタトランジスタと、 ドレイン領域が前記半導体メモリセル群の最下端の半導
    体メモリセルのソース領域に接続され、ソース領域がソ
    ース線に接続された第2のセレクタトランジスタとをさ
    らに具備することを特徴とする請求項1記載の半導体装
    置。
  3. 【請求項3】 半導体基板上に形成された第1導電型の
    シリコン柱と、 前記シリコン柱の側面に設けられた少なくとも1つのビ
    ット線と、 前記シリコン柱の側面に設けられた少なくとも1つのソ
    ース線と、 前記ソース線とビット線の相互間に位置する前記シリコ
    ン柱に設けられ、 前記シリコン柱の表面近傍に、前記シリコン柱の伸びる
    方向に所定間隔離間して順次形成された第2導電型のソ
    ース領域及びドレイン領域と、 前記シリコン柱のソース及びドレイン領域の相互間に位
    置する外周側面を囲む第1の絶縁膜と、 前記第1の絶縁膜の外周側面に設けられたフローティン
    グゲートと、 前記フローティングゲートの外周側面に設けられた第2
    の絶縁膜と、 前記第2の絶縁膜の外周側面に設けられたコントロール
    ゲートと、 前記シリコン柱のソース領域及びドレイン領域の側面に
    形成された層間絶縁膜とよりなる電気的消去可能な半導
    体メモリセルが、前記シリコン柱の伸びる方向に2つ以
    上重ねて設けられている複数の半導体メモリセル群と、 前記シリコン柱に設けられ、ソース領域が前記各々の半
    導体メモリセル群の一端の半導体メモリセルのドレイン
    領域に接続され、ドレイン領域が前記ビット線に接続さ
    れた第1のセレクタトランジスタと、 ドレイン領域が前記各々の半導体メモリセル群の他端の
    半導体メモリセルのソース領域に接続され、ソース領域
    が前記ソース線に接続された第2のセレクタトランジス
    タとをさらに具備することを特徴とする半導体装置。
  4. 【請求項4】 前記シリコン柱は、円錐形、円柱形、多
    角錘形、多角柱形のいずれかの形状であることを特徴と
    する請求項1、3記載の半導体装置。
  5. 【請求項5】 前記半導体メモリセル群が設けられた前
    記シリコン柱が複数設けられ、異なるシリコン柱の前記
    コントロールゲートを接続して形成されたワード線は、
    上下のワード線の少なくともいずれかに対して平行以外
    の方向に伸びていること特徴とする請求項1、3記載の
    半導体装置。
  6. 【請求項6】 前記ワード線は、上下のワード線の少な
    くともいずれかに対して直角の方向に伸びていることを
    特徴とする請求項5記載の半導体装置。
  7. 【請求項7】 前記コントロールゲートに接続されたワ
    ード線と前記セレクタトランジスタのゲートに接続され
    たセレクト線の終端部には、階段状の部分が設けられ、
    前記階段毎に前記ワード線と前記セレクト線が順次露出
    され、フラグが設けられていることを特徴とする請求項
    1、2、3記載の半導体装置。
JP8187599A 1996-07-17 1996-07-17 半導体装置 Withdrawn JPH1032269A (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP8187599A JPH1032269A (ja) 1996-07-17 1996-07-17 半導体装置

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP8187599A JPH1032269A (ja) 1996-07-17 1996-07-17 半導体装置

Publications (1)

Publication Number Publication Date
JPH1032269A true JPH1032269A (ja) 1998-02-03

Family

ID=16208937

Family Applications (1)

Application Number Title Priority Date Filing Date
JP8187599A Withdrawn JPH1032269A (ja) 1996-07-17 1996-07-17 半導体装置

Country Status (1)

Country Link
JP (1) JPH1032269A (ja)

Cited By (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009224565A (ja) * 2008-03-17 2009-10-01 Toshiba Corp 不揮発性半導体記憶装置
JP2009224633A (ja) * 2008-03-18 2009-10-01 Toshiba Corp 半導体記憶装置
JP2009224466A (ja) * 2008-03-14 2009-10-01 Toshiba Corp 不揮発性半導体記憶装置
US7910973B2 (en) 2008-03-17 2011-03-22 Kabushiki Kaisha Toshiba Semiconductor storage device
US7956408B2 (en) 2007-01-26 2011-06-07 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device
KR101070317B1 (ko) 2010-04-29 2011-10-06 주식회사 하이닉스반도체 비휘발성 메모리 장치 및 그의 제조 방법
KR101091454B1 (ko) 2007-12-27 2011-12-07 가부시끼가이샤 도시바 반도체 기억 장치 및 그 제조 방법
US8115259B2 (en) 2009-02-02 2012-02-14 Samsung Electronics Co., Ltd. Three-dimensional memory device
US8120089B2 (en) 2009-01-19 2012-02-21 Samsung Electronics Co., Ltd. Non-volatile memory device and method for fabricating non-volatile memory device
US8178861B2 (en) 2008-10-15 2012-05-15 Kabushiki Kaisha Toshiba Semiconductor device
US8319275B2 (en) 2009-02-25 2012-11-27 Samsung Electronics Co., Ltd. Integrated circuit memory devices having selection transistors with nonuniform threshold voltage characteristics
US8324677B2 (en) 2009-02-16 2012-12-04 Samsung Electronic Co., Ltd. Nonvolatile memory device and method of manufacturing the same
US8324675B2 (en) 2009-07-31 2012-12-04 Samsung Electronics Co., Ltd. Flash memory device having vertical channel structure
US8427878B2 (en) 2010-02-17 2013-04-23 Samsung Electronics Co., Ltd. Non-volatile memory devices, operating methods thereof and memory systems including the same
US8514625B2 (en) 2009-06-19 2013-08-20 Samsung Electronics Co., Ltd. Programming methods for three-dimensional memory devices having multi-bit programming, and three-dimensional memory devices programmed thereby
US8553466B2 (en) 2010-03-04 2013-10-08 Samsung Electronics Co., Ltd. Non-volatile memory device, erasing method thereof, and memory system including the same
US8559224B2 (en) 2010-02-17 2013-10-15 Samsung Electronics Co., Ltd. Nonvolatile memory device, operating method thereof, and memory system including the same
US8570805B2 (en) 2010-02-18 2013-10-29 Samsung Electronics Co., Ltd. Nonvolatile memory device, programming method thereof and memory system including the same
US8730738B2 (en) 2011-04-05 2014-05-20 Samsung Electronics Co., Ltd. Nonvolatile memory devices and methods of operating nonvolatile memory devices
US8908431B2 (en) 2010-02-17 2014-12-09 Samsung Electronics Co., Ltd. Control method of nonvolatile memory device
US8917558B2 (en) 2010-02-09 2014-12-23 Samsung Electronics Co., Ltd. Nonvolatile memory devices, operating methods thereof and memory systems including the same
US8923060B2 (en) 2010-02-17 2014-12-30 Samsung Electronics Co., Ltd. Nonvolatile memory devices and operating methods thereof
US9070434B2 (en) 2012-03-29 2015-06-30 Kabushiki Kaisha Toshiba Semiconductor device
US9324440B2 (en) 2010-02-09 2016-04-26 Samsung Electronics Co., Ltd. Nonvolatile memory devices, operating methods thereof and memory systems including the same
US9378831B2 (en) 2010-02-09 2016-06-28 Samsung Electronics Co., Ltd. Nonvolatile memory devices, operating methods thereof and memory systems including the same
US9406688B2 (en) 2010-08-03 2016-08-02 Samsung Electronics Co., Ltd. Vertical structure non-volatile memory device having insulating regions that are formed as air gaps
US9741438B2 (en) 2013-09-16 2017-08-22 Samsung Electronics Co., Ltd. Nonvolatile memory device and program method thereof
US9881685B2 (en) 2010-08-26 2018-01-30 Samsung Electronics Co., Ltd. Nonvolatile memory device, operating method thereof and memory system including the same
USD956567S1 (en) 2019-09-19 2022-07-05 Blue Buffalo Enterprises, Inc. Jar

Cited By (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7956408B2 (en) 2007-01-26 2011-06-07 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device
KR101091454B1 (ko) 2007-12-27 2011-12-07 가부시끼가이샤 도시바 반도체 기억 장치 및 그 제조 방법
US8426976B2 (en) 2008-03-14 2013-04-23 Kabushiki Kaisha Toshiba Non-volatile semiconductor storage device and method of manufacturing the same
JP2009224466A (ja) * 2008-03-14 2009-10-01 Toshiba Corp 不揮発性半導体記憶装置
JP2009224565A (ja) * 2008-03-17 2009-10-01 Toshiba Corp 不揮発性半導体記憶装置
KR101065140B1 (ko) * 2008-03-17 2011-09-16 가부시끼가이샤 도시바 반도체 기억 장치
US7910973B2 (en) 2008-03-17 2011-03-22 Kabushiki Kaisha Toshiba Semiconductor storage device
JP4660567B2 (ja) * 2008-03-18 2011-03-30 株式会社東芝 半導体記憶装置
JP2009224633A (ja) * 2008-03-18 2009-10-01 Toshiba Corp 半導体記憶装置
US8178861B2 (en) 2008-10-15 2012-05-15 Kabushiki Kaisha Toshiba Semiconductor device
US8120089B2 (en) 2009-01-19 2012-02-21 Samsung Electronics Co., Ltd. Non-volatile memory device and method for fabricating non-volatile memory device
KR101481104B1 (ko) * 2009-01-19 2015-01-13 삼성전자주식회사 비휘발성 메모리 장치 및 그 제조 방법
US8115259B2 (en) 2009-02-02 2012-02-14 Samsung Electronics Co., Ltd. Three-dimensional memory device
US8324677B2 (en) 2009-02-16 2012-12-04 Samsung Electronic Co., Ltd. Nonvolatile memory device and method of manufacturing the same
KR101495799B1 (ko) * 2009-02-16 2015-03-03 삼성전자주식회사 비휘발성 메모리 장치 및 그 제조 방법
US9721967B2 (en) 2009-02-16 2017-08-01 Samsung Electronics Co., Ltd. Nonvolatile memory device and method of manufacturing the same
US8865579B2 (en) 2009-02-16 2014-10-21 Samsung Electronics Co., Ltd. Nonvolatile memory device and method of manufacturing the same
US9343478B2 (en) 2009-02-16 2016-05-17 Samsung Electronics Co., Ltd. Nonvolatile memory device and method of manufacturing the same
US8637920B2 (en) 2009-02-25 2014-01-28 Samsung Electronics Co., Ltd. Semiconductor memory devices having selection transistors with nonuniform threshold voltage characteristics
US8319275B2 (en) 2009-02-25 2012-11-27 Samsung Electronics Co., Ltd. Integrated circuit memory devices having selection transistors with nonuniform threshold voltage characteristics
US9012977B2 (en) 2009-02-25 2015-04-21 Samsung Electronics Co., Ltd. Semiconductor memory devices having selection transistors with nonuniform threshold voltage characteristics
US8514625B2 (en) 2009-06-19 2013-08-20 Samsung Electronics Co., Ltd. Programming methods for three-dimensional memory devices having multi-bit programming, and three-dimensional memory devices programmed thereby
USRE46623E1 (en) 2009-06-19 2017-12-05 Samsung Electronics Co., Ltd. Programming methods for three-dimensional memory devices having multi-bit programming, and three-dimensional memory devices programmed thereby
US8767473B2 (en) 2009-06-19 2014-07-01 Samsung Electronics Co., Ltd. Programming methods for three-dimensional memory devices having multi-bit programming, and three-dimensional memory devices programmed thereby
US8324675B2 (en) 2009-07-31 2012-12-04 Samsung Electronics Co., Ltd. Flash memory device having vertical channel structure
US9378833B2 (en) 2010-02-09 2016-06-28 Samsung Electronics Co., Ltd. Nonvolatile memory devices, operating methods thereof and memory systems including the same
US9324440B2 (en) 2010-02-09 2016-04-26 Samsung Electronics Co., Ltd. Nonvolatile memory devices, operating methods thereof and memory systems including the same
US8917558B2 (en) 2010-02-09 2014-12-23 Samsung Electronics Co., Ltd. Nonvolatile memory devices, operating methods thereof and memory systems including the same
US10217516B2 (en) 2010-02-09 2019-02-26 Samsung Electronics Co., Ltd. Nonvolatile memory devices, operating methods thereof and memory systems including the same
US9378831B2 (en) 2010-02-09 2016-06-28 Samsung Electronics Co., Ltd. Nonvolatile memory devices, operating methods thereof and memory systems including the same
US9330769B2 (en) 2010-02-09 2016-05-03 Samsung Electronics Co., Ltd. Nonvolatile memory devices, operating methods thereof and memory systems including the same
US9747995B2 (en) 2010-02-17 2017-08-29 Samsung Electronics Co., Ltd. Nonvolatile memory devices, operating methods thereof and memory systems including the same
US8559224B2 (en) 2010-02-17 2013-10-15 Samsung Electronics Co., Ltd. Nonvolatile memory device, operating method thereof, and memory system including the same
US11715537B2 (en) 2010-02-17 2023-08-01 Samsung Electronics Co., Ltd. Non-volatile memory devices, operating methods thereof and memory systems including the same
US8923053B2 (en) 2010-02-17 2014-12-30 Samsung Electronics Co., Ltd. Nonvolatile memory device, operating method thereof, and memory system including the same
US11062784B2 (en) 2010-02-17 2021-07-13 Samsung Electronics Co., Ltd. Non-volatile memory devices, operating methods thereof and memory systems including the same
US9147492B2 (en) 2010-02-17 2015-09-29 Samsung Electronics Co., Ltd. Control method of nonvolatile memory device
US8908431B2 (en) 2010-02-17 2014-12-09 Samsung Electronics Co., Ltd. Control method of nonvolatile memory device
US10650903B2 (en) 2010-02-17 2020-05-12 Samsung Electronics Co., Ltd. Non-volatile memory devices, operating methods thereof and memory systems including the same
US9330770B2 (en) 2010-02-17 2016-05-03 Samsung Electronics Co., Ltd. Non-volatile memory devices, operating methods thereof and memory systems including the same
US10199116B2 (en) 2010-02-17 2019-02-05 Samsung Electronics Co., Ltd. Non-volatile memory devices, operating methods thereof and memory systems including the same
US8923060B2 (en) 2010-02-17 2014-12-30 Samsung Electronics Co., Ltd. Nonvolatile memory devices and operating methods thereof
US8427878B2 (en) 2010-02-17 2013-04-23 Samsung Electronics Co., Ltd. Non-volatile memory devices, operating methods thereof and memory systems including the same
US9390803B2 (en) 2010-02-17 2016-07-12 Samsung Electronics Co., Ltd. Non-volatile memory devices, operating methods thereof and memory systems including the same
US8964476B2 (en) 2010-02-17 2015-02-24 Samsung Electronics Co., Ltd. Non-volatile memory devices, operating methods thereof and memory systems including the same
US8929145B2 (en) 2010-02-18 2015-01-06 Samsung Electronics Co., Ltd. Nonvolatile memory device, programming method thereof and memory system including the same
US8570805B2 (en) 2010-02-18 2013-10-29 Samsung Electronics Co., Ltd. Nonvolatile memory device, programming method thereof and memory system including the same
US8553466B2 (en) 2010-03-04 2013-10-08 Samsung Electronics Co., Ltd. Non-volatile memory device, erasing method thereof, and memory system including the same
US8848456B2 (en) 2010-03-04 2014-09-30 Samsung Electronics Co., Ltd. Nonvolatile memory device, erasing method thereof, and memory system including the same
KR101070317B1 (ko) 2010-04-29 2011-10-06 주식회사 하이닉스반도체 비휘발성 메모리 장치 및 그의 제조 방법
US9406688B2 (en) 2010-08-03 2016-08-02 Samsung Electronics Co., Ltd. Vertical structure non-volatile memory device having insulating regions that are formed as air gaps
US9947416B2 (en) 2010-08-26 2018-04-17 Samsung Electronics Co., Ltd. Nonvolatile memory device, operating method thereof and memory system including the same
US9881685B2 (en) 2010-08-26 2018-01-30 Samsung Electronics Co., Ltd. Nonvolatile memory device, operating method thereof and memory system including the same
US8730738B2 (en) 2011-04-05 2014-05-20 Samsung Electronics Co., Ltd. Nonvolatile memory devices and methods of operating nonvolatile memory devices
US9070434B2 (en) 2012-03-29 2015-06-30 Kabushiki Kaisha Toshiba Semiconductor device
US9741438B2 (en) 2013-09-16 2017-08-22 Samsung Electronics Co., Ltd. Nonvolatile memory device and program method thereof
USD956567S1 (en) 2019-09-19 2022-07-05 Blue Buffalo Enterprises, Inc. Jar

Similar Documents

Publication Publication Date Title
JPH1032269A (ja) 半導体装置
US5399891A (en) Floating gate or flash EPROM transistor array having contactless source and drain diffusions
KR960008739B1 (ko) 1개의 트랜지스터 메모리 셀의 어레이를 갖고 있는 전기적으로 소거가능하고 프로그램가능한 판독 전용 메모리
KR102185079B1 (ko) 불휘발성 메모리소자 및 그 동작방법
JP2005093808A (ja) メモリセルユニット、それを備えてなる不揮発性半導体記憶装置及びメモリセルアレイの駆動方法
JPH05211338A (ja) 不揮発性半導体装置
US10026742B2 (en) Nonvolatile memory devices having single-layered gates
EP1016085A1 (en) Flash memory array
JPH10340964A (ja) 不揮発性半導体記憶装置およびその製造方法
US6674119B2 (en) Non-volatile semiconductor memory device and semiconductor integrated circuit
US20050253183A1 (en) Non-volatile semiconductor memory device and method of fabricating the same
US9935117B2 (en) Single poly nonvolatile memory cells, arrays thereof, and methods of operating the same
US7061805B2 (en) P-channel NAND flash memory and operating method thereof
US7671399B2 (en) Semiconductor storage device
JP2000174241A (ja) 不揮発性半導体記憶装置
JPH07226490A (ja) 半導体装置
KR19980081614A (ko) 트랜지스터, 트랜지스터 어레이 및 불휘발성 반도체 메모리
JPH0878643A (ja) 不揮発性半導体記憶装置
KR100241523B1 (ko) 플래쉬 메모리 소자 및 이를 이용한 프로그램, 소거 및 독출방법
JP2004265508A (ja) 不揮発性半導体記憶装置
JP5483826B2 (ja) 不揮発性半導体記憶装置及びその書き込み方法
US10395742B2 (en) Semiconductor device
JPH11195718A (ja) 不揮発性半導体記憶装置と、その製造方法及びその駆動方法
US7064377B2 (en) Flash memory cell with buried floating gate and method for operating such a flash memory cell
US20080079055A1 (en) Non-volatile memory device

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20031007