JPH09223737A - 半導体装置の製造方法 - Google Patents

半導体装置の製造方法

Info

Publication number
JPH09223737A
JPH09223737A JP8028893A JP2889396A JPH09223737A JP H09223737 A JPH09223737 A JP H09223737A JP 8028893 A JP8028893 A JP 8028893A JP 2889396 A JP2889396 A JP 2889396A JP H09223737 A JPH09223737 A JP H09223737A
Authority
JP
Japan
Prior art keywords
silicon oxide
oxide film
film
polishing
polishing rate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP8028893A
Other languages
English (en)
Inventor
Mieko Suzuki
三惠子 鈴木
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Corp
Original Assignee
NEC Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Corp filed Critical NEC Corp
Priority to JP8028893A priority Critical patent/JPH09223737A/ja
Priority to US08/799,829 priority patent/US5904558A/en
Priority to KR1019970004618A priority patent/KR970063569A/ko
Publication of JPH09223737A publication Critical patent/JPH09223737A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
  • Weting (AREA)
  • Local Oxidation Of Silicon (AREA)

Abstract

(57)【要約】 【目的】 絶対段差の解消された極めて平坦な層間絶縁
膜を形成する。 【構成】 半導体基板1上にシリコン酸化膜2を介して
アルミニウム配線3を形成した〔図1(a)〕後、例え
ばECR(Electron Cyclotron Resonance)型CVD法
により、研磨レートの低い第1のシリコン酸化膜4を形
成し、その上に研磨レートの高い第2のシリコン酸化膜
5を形成し、その上に研磨レートの低い第3のシリコン
酸化膜6を形成する〔図1(b)〕。研磨レートの差
は、反応ガスO2 /SiH4 の流量比を変えることによ
って生じさせる。CMP(化学機械研磨)法により研磨
を行って〔図1(c)〕表面が平坦な層間絶縁膜を得る
〔図1(d)〕。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は半導体装置の製造方
法に関し、特に半導体集積回路装置における平坦化技術
に関するものである。
【0002】
【従来の技術】半導体基板上には、素子分離絶縁膜、ス
タックトキャパシタ、金属配線等の様々なエレメントが
形成されるため、大きな段差が形成される。この段差を
含んだ状態でフォトリソグラフィ法を適用する場合、フ
ォーカスマージンが小さくなりパターン精度が落ちると
いう問題が生じる。また、堆積金属膜のステップカバレ
ッジの低下により断線が生じやすくなるという問題が起
こる。そこで、従来より ガラスフロー法、SOG(Spin on Glass )法、
エッチバック法、CMP(Chemical Mechanical Poli
shing )法、あるいはそれらの組み合わせなどの各種の
表面平坦化技術が提案され、実施化されている。中でも
CMP法はグローバルな平坦性が得られる手法として注
目されている。
【0003】図3は、D.Webb,et al.,“Complete Inter
metal Planarization Using ECR Oxide and Chemical M
echanical Polish”1992 VMIC Conference Proceedi
ngpp.141-148 に記載された平坦化技術(以下、第1の
従来例という)を示す工程順断面図である。まず、図3
(a)に示すように、半導体基板1上にシリコン酸化膜
2を介してアルミニウム配線3を形成する。次いで、図
3(b)に示すように、ECR方式CVD(Chemical V
apor Deposition )法により層間絶縁膜となるシリコン
酸化膜31を堆積する。その後、図3(c)に示すよう
に、CMP法により研磨して表面を平坦化する。
【0004】また、特開平3−295239号公報に
は、図4に示されるSOG技術と研磨法を併用する手法
(以下、第2の従来例という)が提案されている。ま
ず、図4(a)に示すように、半導体基板1上にシリコ
ン酸化膜2を介して膜厚1μmのアルミニウム配線3を
形成し、その上に層間絶縁膜となるPSG膜41を0.
8μmの厚さに堆積する。
【0005】次に、図4(b)に示すように、埋め込み
層となるSOG膜42をスピンコートし、400〜45
0℃で30分の熱処理を行う。その後、図4(c)に示
すように、0.5〜1.0%のフッ酸を用いて表面を研
磨し平坦化する。このとき、SOG膜の水の含有量で調
整してSOG膜の研磨速度がPSG膜の研磨速度より速
くなるように設定しておく。
【0006】
【発明が解決しようとする課題】上述した第1の従来例
では、1種類の材料により層間絶縁膜を形成しているた
め、突起部となる広い配線の形成されている領域(以
下、広域配線領域)を研磨しているとき平坦部も同時に
研磨されるため、研磨を続けても、図3(c)に示され
るように、絶対段差32が残ってしまい、グローバルな
平坦性を得ることは困難である。
【0007】また、第2の従来例では、図4(b)の状
態から研磨を始めると、突起部である広域配線領域にお
いて研磨が先行し、広域配線上でのSOGが先になくな
る。その後は、平坦部(配線密集部)ではSOG膜が、
広域配線領域ではPSGが研磨されるが、SOGの方が
研磨速度が高いため、やがて凹部以外のSOGがなくな
る。この状態は第1の従来例の研磨状態と同様であり、
上述したようにこの状態で研磨を続けても絶対段差をな
くすことは困難である。
【0008】従って、本発明の解決すべき課題は、段差
のある表面上に形成する層間絶縁膜を研磨(CMP)に
より平坦化する際に、絶対段差をなくして極めて平坦な
表面を得ることができるようにすることである。
【0009】
【課題を解決するための手段】上記の課題は、層間絶縁
膜を形成するに際して、中間層のシリコン酸化膜の研磨
速度が最も大きくなるようにして3層のシリコン酸化膜
を堆積し、この3層のシリコン酸化膜に対してCMP法
により研磨を行うことにより、解決することができる。
【0010】
【発明の実施の形態】本発明による半導体装置の製造方
法は、(1)能動素子を有する半導体基板上に下層層間
絶縁膜を介して金属配線を形成する工程と、(2)第1
のシリコン酸化膜を堆積する工程と、(3)前記第1の
シリコン酸化膜上に第1のシリコン酸化膜より研磨速度
の大きい第2のシリコン酸化膜を堆積する工程と、
(4)前記第2のシリコン酸化膜上に第2のシリコン酸
化膜より研磨速度の小さい第3のシリコン酸化膜を堆積
する工程と、(5)第3、第2および第1のシリコン酸
化膜を化学的機械研磨(CMP)法により研磨して表面
が平坦化された第2の層間絶縁膜を形成する工程と、を
有することを特徴としている。そして、好ましくは、第
1、第2および第3のシリコン酸化膜の堆積は、同一の
成膜装置内において、成膜条件を変えて連続して行われ
る。
【0011】
【実施例】次に、本発明の実施例について図面を参照し
て説明する。 [第1の実施例]図1(a)〜(d)は、本発明の第1
の実施例を説明するための工程順断面図である。まず、
図1(a)に示すように、拡散層形成済みの半導体基板
1上に、CVD法により厚さ0.5μmのシリコン酸化
膜2を形成した後、アルミニウムを0.8μmの膜厚に
堆積し、これをパターニングして、アルミニウム配線3
を形成する。
【0012】次に、図1(b)に示すように、ECR方
式CVD法により、酸素とシランを、流量比:O2 /S
iH4 =1.5、SiH4 流量:30sccmの条件で
供給し、SiO2 を1.1μmの膜厚に堆積することで
第1のシリコン酸化膜4を形成し、続いて、ECR方式
CVD法により、流量比:O2 /SiH4 =0.8、S
iH4 流量:50sccmの条件で、膜厚0.6μmの
第2のシリコン酸化膜5を成膜する。さらに、ECR方
式CVD法により、流量比:O2 /SiH4 =1.5、
SiH4 流量:50sccmの条件で、第3のシリコン
酸化膜6を0.3μmの厚さに成膜する。
【0013】次に、図1(c)に示すように、CMP法
を用いて化学機械研磨を行い、平坦化を行う。このとき
のスラリーはアルカリ性のものでpH値が10以上のも
のを用いた。ECR方式CVD法を用い、O2 /SiH
4 を反応ガスとしてSiO2 を成膜するとき、流量比:
2 /SiH4 =0.8の条件で成膜したシリコン酸化
膜は、流量比:1.5の条件で成膜したシリコン酸化膜
に比較して、シリコンリッチな膜になっているため、ア
ルカリ性スラリーを用いた研磨では研磨速度が高くな
る。これに対し、流量比:O2 /SiH4 =1.5の条
件で成膜した酸化膜はSi含有率が低く緻密な膜となる
ため、研磨速度は低く、流量比:0.8でのシリコン酸
化膜の約1/2となる。
【0014】上記の条件でシリコン酸化膜4〜6を図1
(b)に示すように成膜した後CMPを行うとき、突起
部での研磨速度の方が大きいため、広域配線領域での第
3のシリコン酸化膜6がまず無くなる〔図1(c)〕。
この状態からさらに研磨を続けると、広域配線領域で
は、表面高さが高くかつ表面に研磨速度の大きいシリコ
ン酸化膜5が露出しているため、広域配線領域でのシリ
コン酸化膜の方が高速度で研磨され、段差は急速に縮小
する。したがって、シリコン酸化膜の成膜条件と各シリ
コン酸化膜の膜厚を適切に設定することにより、図1
(d)に示されるように、絶対段差をほぼ完全に解消す
ることができる。
【0015】第1のシリコン酸化膜と第3のシリコン酸
化膜とでは、流量比は変わりはないが第1のシリコン酸
化膜の方が反応ガスの流量を低くして成膜が行われてい
る。このようにした場合には、第1のシリコン酸化膜の
方が膜質が緻密になり研磨速度が低くなる。このよう
に、第1のシリコン酸化膜と第3のシリコン酸化膜とで
研磨速度に差をつけることの利点は、図1(c)に示す
状態から研磨を行い広域配線領域上で第2のシリコン酸
化膜の研磨が終了した時点で広域配線領域でのシリコン
酸化膜の表面高さの方が低くなったときに、それ以降の
研磨では密集配線部の第3のシリコン酸化膜の研磨速度
の方が高くなり、段差を軽減する研磨が行われるように
なることである。
【0016】本実施例において、アルミニウム配線3の
膜厚が0.8μmであるとき、第1のシリコン酸化膜の
膜厚は0.8μm以上1.5μm以下、第2のシリコン
酸化膜の膜厚は0.3μm以上0.8μm以下、第3の
シリコン酸化膜の膜厚は0.2μm以上0.5μm以下
に設定することが好ましい。
【0017】[第2の実施例]本発明の第2の実施例に
ついて、図2(a)〜(d)を参照して説明する。はじ
めに、図2(a)に示すように、拡散層形成済みのシリ
コン基板1上に、CVD法により、厚さ0.5μmのシ
リコン酸化膜2を形成した後、下層配線として厚さ0.
8μmのアルミニウム配線3を形成する。
【0018】次に、図2(b)に示すように、平行平板
型プラズマCVD装置を用い、SiH4 −N2 Oを反応
ガスとして供給して高周波/低周波パワー:600/4
00(W)の条件で、膜厚1.1μmの第1のシリコン
酸化膜7を形成し、次いで、同一CVD装置において、
高周波/低周波パワー:1000/0(W)の条件で、
第2のシリコン酸化膜8を0.6μmの厚さに成膜す
る。さらに、同一CVD装置において、高周波/低周波
パワー:600/400(W)の条件で、第3のシリコ
ン酸化膜9を0.3μmの膜厚に成膜する。
【0019】次に、図2(c)に示すように、CMP法
を用いて化学機械研磨を行い平坦化を行う。このときの
スラリーはアルカリ性のもので、10以上のpH値のも
のを用いる。高周波/低周波パワー:1000/0
(W)の条件で形成したプラズマCVDシリコン酸化膜
は密度が低いため研磨速度が大きい。これに対し、高周
波/低周波パワー:600/400(W)の条件で形成
した膜は密度の高い酸化膜になっているため研磨速度は
低く高周波/低周波パワー:1000/0(W)で形成
した酸化膜の研磨速度と比較して約1/2となる。
【0020】上記の条件でシリコン酸化膜7〜9を図2
(b)に示すように成膜した後CMPを行うとき、突起
部となる配線領域での研磨速度の方が大きいため、配線
領域での第3のシリコン酸化膜9がまず無くなる〔図2
(c)〕。この状態からさらに研磨を続けると、配線領
域では、表面高さが高くかつ表面に研磨速度の大きいシ
リコン酸化膜8が露出しているため、配線領域でのシリ
コン酸化膜の方が高速度で研磨され、段差は急速に縮小
する。したがって、シリコン酸化膜の成膜条件と各シリ
コン酸化膜の膜厚を適切に設定することにより、絶対段
差をほぼ完全に解消することができる〔図2(d)〕。
【0021】本実施例においても、アルミニウム配線3
の膜厚が0.8μmであるため、第1のシリコン酸化膜
の膜厚は0.8μm以上1.5μm以下、第2のシリコ
ン酸化膜の膜厚は0.3μm以上0.8μm以下、第3
のシリコン酸化膜の膜厚は0.2μm以上0.5μm以
下に設定することが好ましい。
【0022】上記第1、第2の実施例により平坦化され
た層間絶縁膜を形成した後、スルーホールを開孔し上層
のアルミニウム配線を形成するのであるが、これらを形
成するためのフォトリソグラフィ技術において、フォト
レジスト膜を均一な膜厚に形成することができるため、
高解像力化(高NA化)により焦点深度が浅くなっても
これに対応することが可能になり、パターン精度:±
0.1μm程度の高精度の上層配線を容易に形成するこ
とが可能になる。
【0023】上記の実施例では、ECR方式CVD法、
プラズマCVD法によりシリコン酸化膜を成膜する方法
について説明したが、本発明においては、熱CVD法、
常圧CVD法やスパッタ法によって成膜することもで
き、また異なる成膜法によるシリコン酸化膜を組み合わ
せて層間絶縁膜を形成することも可能であり、さらに酸
化シリコンにリン(P)やボロン(B)などの不純物を
含有させることもできる。而して、本発明においては効
率よく成膜を行うために同一の成膜装置を用いて成膜条
件を変えながら連続して成膜を行うことが望ましい。ま
た、実施例では、配線材料にアルミニウムを用いていた
が、これに代え、アルミニウム合金(アルミニウム−シ
リコン−銅など)、チタン合金(窒化チタン、チタン−
タングステンなど)を用いても同様の効果を得ることが
できる。
【0024】
【発明の効果】以上説明したように、本発明による半導
体装置の製造方法は、中間に研磨速度の大きい層を含む
3層のシリコン酸化膜を形成しておいてCMP法により
研磨を行うものであるので、絶対段差を解消して極めて
平坦な表面を有する層間絶縁膜を形成することができ
る。CMP法においては、突起部での研磨速度は平坦部
より大きいため、研磨速度の高い膜の上層と下層に研磨
速度の低い膜を形成して研磨を行うとき、研磨速度の大
きい突起部上で研磨速度の低い膜が研磨された後に研磨
速度の高い膜が研磨される間は、平坦部では上層に研磨
速度の低い膜があるため殆ど研磨されることがないから
である。
【図面の簡単な説明】
【図1】本発明の第1の実施例を工程順に示す断面図で
ある。
【図2】本発明の第2の実施例を工程順に示す断面図で
ある。
【図3】第1の従来例を工程順に示す断面図である。
【図4】第2の従来例を工程順に示す断面図である。
【符号の説明】
1 半導体基板 2 シリコン酸化膜 3 アルミニウム配線 4、7 第1のシリコン酸化膜 5、8 第2のシリコン酸化膜 6、9 第3のシリコン酸化膜 31 シリコン酸化膜 32 絶対段差 41 PSG膜 42 SOG膜

Claims (5)

    【特許請求の範囲】
  1. 【請求項1】 (1)能動素子を有する半導体基板上に
    絶縁膜を介して金属配線を形成する工程と、 (2)金属配線を有する前記絶縁膜上に第1のシリコン
    酸化膜を堆積する工程と、 (3)前記第1のシリコン酸化膜上に第1のシリコン酸
    化膜より研磨速度の高い第2のシリコン酸化膜を堆積す
    る工程と、 (4)前記第2のシリコン酸化膜上に第2のシリコン酸
    化膜より研磨速度の低い第3のシリコン酸化膜を堆積す
    る工程と、 (5)第3、第2および第1のシリコン酸化膜を化学的
    機械研磨(CMP)法により研磨して表面が平坦化され
    た層間絶縁膜を形成する工程と、を有することを特徴と
    する半導体装置の製造方法。
  2. 【請求項2】 前記第(2)乃至第(4)の工程におけ
    るシリコン酸化膜の堆積は、ECR(electron cyclotr
    on resonance)型化学気相成長法、他のプラズマ化学気
    相成長法あるいはスパッタ法の中の何れかを用いて行わ
    れることを特徴とする請求項1記載の半導体装置の製造
    方法。
  3. 【請求項3】 前記第(2)から第(4)までの工程
    を、同一の成膜装置内において、成膜条件を変えて連続
    して行うことを特徴とする請求項1記載の半導体装置の
    製造方法。
  4. 【請求項4】 前記第(3)および第(4)の工程にお
    いて成膜されるシリコン酸化膜の膜厚が、前記第(5)
    の工程の研磨において、表面が高い領域で第1のシリコ
    ン酸化膜が露出した時点で表面が低い領域では第3のシ
    リコン酸化膜の一部が残るように設定されていることを
    特徴とする請求項1記載の半導体装置の製造方法。
  5. 【請求項5】 前記第1のシリコン酸化膜の研磨速度
    は、前記第3のシリコン酸化膜のそれより小さいことを
    特徴とする請求項1記載の半導体装置の製造方法。
JP8028893A 1996-02-16 1996-02-16 半導体装置の製造方法 Pending JPH09223737A (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP8028893A JPH09223737A (ja) 1996-02-16 1996-02-16 半導体装置の製造方法
US08/799,829 US5904558A (en) 1996-02-16 1997-02-13 Fabrication process of semiconductor device
KR1019970004618A KR970063569A (ko) 1996-02-16 1997-02-15 반도체 장치의 제조 방법

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP8028893A JPH09223737A (ja) 1996-02-16 1996-02-16 半導体装置の製造方法

Publications (1)

Publication Number Publication Date
JPH09223737A true JPH09223737A (ja) 1997-08-26

Family

ID=12261084

Family Applications (1)

Application Number Title Priority Date Filing Date
JP8028893A Pending JPH09223737A (ja) 1996-02-16 1996-02-16 半導体装置の製造方法

Country Status (3)

Country Link
US (1) US5904558A (ja)
JP (1) JPH09223737A (ja)
KR (1) KR970063569A (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5920792A (en) * 1998-03-19 1999-07-06 Winbond Electronics Corp High density plasma enhanced chemical vapor deposition process in combination with chemical mechanical polishing process for preparation and planarization of intemetal dielectric layers
US5946592A (en) * 1998-03-19 1999-08-31 Winbond Electronics, Corp. Combined in-situ high density plasma enhanced chemical vapor deposition (HDPCVD) and chemical mechanical polishing (CMP) process to form an intermetal dielectric layer with a stopper layer embedded therein
JP2007150093A (ja) * 2005-11-29 2007-06-14 Renesas Technology Corp 半導体装置およびその製造方法
KR20090069362A (ko) * 2007-12-26 2009-07-01 주식회사 동부하이텍 반도체 소자의 층간 절연막 형성 방법
JP2011155077A (ja) * 2010-01-26 2011-08-11 Renesas Electronics Corp 半導体装置の製造方法
CN102651345A (zh) * 2011-02-24 2012-08-29 中芯国际集成电路制造(上海)有限公司 晶体管的制造方法

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10321624A (ja) * 1997-05-20 1998-12-04 Toshiba Corp 半導体装置の製造方法
US6284560B1 (en) * 1998-12-18 2001-09-04 Eastman Kodak Company Method for producing co-planar surface structures
US6660618B1 (en) * 1999-08-18 2003-12-09 Advanced Micro Devices, Inc. Reverse mask and oxide layer deposition for reduction of vertical capacitance variation in multi-layer metallization systems
US8580690B2 (en) 2011-04-06 2013-11-12 Nanya Technology Corp. Process of planarizing a wafer with a large step height and/or surface area features

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6441244A (en) * 1987-08-07 1989-02-13 Nec Corp Manufacture of semiconductor device
JPH06310504A (ja) * 1993-04-27 1994-11-04 Nec Corp 絶縁膜の構造とその製造方法
JPH07297193A (ja) * 1994-04-28 1995-11-10 Nippon Telegr & Teleph Corp <Ntt> 集積回路平坦化方法

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3077990B2 (ja) * 1990-04-12 2000-08-21 富士通株式会社 半導体装置の製造方法
JPH07245306A (ja) * 1994-01-17 1995-09-19 Sony Corp 半導体装置における膜平坦化方法
US5494854A (en) * 1994-08-17 1996-02-27 Texas Instruments Incorporated Enhancement in throughput and planarity during CMP using a dielectric stack containing HDP-SiO2 films
JP2822910B2 (ja) * 1995-03-17 1998-11-11 日本電気株式会社 半導体装置の層間絶縁膜の形成方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6441244A (en) * 1987-08-07 1989-02-13 Nec Corp Manufacture of semiconductor device
JPH06310504A (ja) * 1993-04-27 1994-11-04 Nec Corp 絶縁膜の構造とその製造方法
JPH07297193A (ja) * 1994-04-28 1995-11-10 Nippon Telegr & Teleph Corp <Ntt> 集積回路平坦化方法

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5920792A (en) * 1998-03-19 1999-07-06 Winbond Electronics Corp High density plasma enhanced chemical vapor deposition process in combination with chemical mechanical polishing process for preparation and planarization of intemetal dielectric layers
US5946592A (en) * 1998-03-19 1999-08-31 Winbond Electronics, Corp. Combined in-situ high density plasma enhanced chemical vapor deposition (HDPCVD) and chemical mechanical polishing (CMP) process to form an intermetal dielectric layer with a stopper layer embedded therein
JP2007150093A (ja) * 2005-11-29 2007-06-14 Renesas Technology Corp 半導体装置およびその製造方法
KR20090069362A (ko) * 2007-12-26 2009-07-01 주식회사 동부하이텍 반도체 소자의 층간 절연막 형성 방법
JP2011155077A (ja) * 2010-01-26 2011-08-11 Renesas Electronics Corp 半導体装置の製造方法
CN102651345A (zh) * 2011-02-24 2012-08-29 中芯国际集成电路制造(上海)有限公司 晶体管的制造方法

Also Published As

Publication number Publication date
US5904558A (en) 1999-05-18
KR970063569A (ko) 1997-09-12

Similar Documents

Publication Publication Date Title
US5578523A (en) Method for forming inlaid interconnects in a semiconductor device
US6180490B1 (en) Method of filling shallow trenches
US8389410B2 (en) Chemical mechanical polishing method
US6277764B1 (en) Interlayered dielectric layer of semiconductor device and method of manufacturing the same
JPH11251271A (ja) 絶縁誘電体平面化のための化学的機械的研磨時の停止層としての炭化珪素
JPH09223737A (ja) 半導体装置の製造方法
US5575886A (en) Method for fabricating semiconductor device with chemical-mechanical polishing process for planarization of interlayer insulation films
US6165869A (en) Method to avoid dishing in forming trenches for shallow trench isolation
US6184120B1 (en) Method of forming a buried plug and an interconnection
JP3127983B2 (ja) 半導体装置の製造方法
US6214735B1 (en) Method for planarizing a semiconductor substrate
JPH0530052B2 (ja)
JPH11512877A (ja) 化学機械研磨のためのキャップされた中間層誘電体
US4606936A (en) Stress free dielectric isolation technology
JPH0645313A (ja) 半導体装置の製造方法
US5920791A (en) Method of manufacturing intermetal dielectrics for sub-half-micron semiconductor devices
EP0664559B1 (en) Process for planarizing surface of a semiconductor device
JP2783263B2 (ja) 半導体装置の製造方法
EP0812007A2 (en) Planarization of insulating film in formation of semiconductor device
US6054397A (en) BPSG planarization method having improved planarity and reduced chatter mark defects
JP3271203B2 (ja) 半導体装置の製造方法
JPH10189578A (ja) 半導体装置の製造方法
JP2783262B2 (ja) 半導体装置の製造方法
KR19980060856A (ko) 반도체용 에스.오.아이(soi) 기판의 제조 방법
JPH06151572A (ja) 誘電体分離基板及びその製造方法