JPH08255834A - 0.5および0.5以下のulsi回路用の中間レベル誘電体内要素としての水素シルシクイオクサンをベースとした流動性酸化物 - Google Patents

0.5および0.5以下のulsi回路用の中間レベル誘電体内要素としての水素シルシクイオクサンをベースとした流動性酸化物

Info

Publication number
JPH08255834A
JPH08255834A JP7330694A JP33069495A JPH08255834A JP H08255834 A JPH08255834 A JP H08255834A JP 7330694 A JP7330694 A JP 7330694A JP 33069495 A JP33069495 A JP 33069495A JP H08255834 A JPH08255834 A JP H08255834A
Authority
JP
Japan
Prior art keywords
layer
dielectric
intermediate connection
dielectric layer
oxide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP7330694A
Other languages
English (en)
Inventor
Byron T Ahlburn
ティー.アールバーン バイロン
Thomas R Seha
アール.シーハ トーマス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Texas Instruments Inc
Original Assignee
Texas Instruments Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Texas Instruments Inc filed Critical Texas Instruments Inc
Publication of JPH08255834A publication Critical patent/JPH08255834A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02134Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material comprising hydrogen silsesquioxane, e.g. HSQ
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • H01L21/3124Layers comprising organo-silicon compounds layers comprising polysiloxane compounds layers comprising hydrogen silsesquioxane
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S428/00Stock material or miscellaneous articles
    • Y10S428/901Printed circuit

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

(57)【要約】 【課題】 より少ない処理工程で、先行技術より低い誘
電率を有するプレーナ形誘電体層を中間接続パターン上
に形成する。 【解決手段】 (イ)電気的中間接続パターンをその上
に有する基板を提供し、(ロ)前記中間接続パターン上
に誘電体の第1の層を形成し、(ハ)前記第1の誘電体
層上に前記第1の層とは異なる第2のケイ素含有誘電体
層を、無機ケイ素含有組成から形成し、そして(ニ)前
記第2の誘電体層上に、前記第2の層とは異なる第3の
誘電体層を形成する段階を含む、中間接続パターン上に
プレーナ形誘電体層を形成する方法。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は中間接続パターン上
のプレーナ形誘電体層の形成方法および完成構造体に関
する。
【0002】
【従来の技術】半導体回路の幾何学的配列は0.5ミク
ロン以下に縮小し、半導体回路中の中間接続パターン用
中間レベル誘電体(ILD)膜に対する要求が厳しくな
ってきている。ILD膜はより高い比率で隙間を充填
し、現在使用されているILD膜に要求される以下の誘
電率を提供しなければならない。誘電率を減少させる
と、レベル間およびレベル内双方の容量を減少させ、現
在電気的アイソレーションに使用されている酸化物で処
理された回路の動作を減速させる。この減速化効果が、
回路の複雑化の促進と共に一層問題となっていることは
よく知られている。
【0003】リード線を狭くせずに、または公知の他の
問題を起こさずに、多重レベル中間接続システムにおい
て第2の及びその後の金属レベルが露光され、現像され
そしてエッチングされるために、先行技術においては、
隙間を充填しそしてチップまたはウヱーハの1部または
全表面をプレーナ化するために、スピンオングラス(S
OG)を利用している。先行技術で使用されるSOGは
事実上有機物、一般にメチルシロキサン等である。幾何
学的配列が小さい場合、有機SOGが露光される時に、
一方ビアホールにおいては、電気的に導電性の金属がビ
アホール中にスパッタされる時にビアホール中に高抵抗
が発生するのは、気体を除いた湿気または他の物質によ
ってである。この問題はビアホールの有害化(via
poisoning)として知られ、そしてメチルシロ
キサンをベースとしたスピンオングラスが隙間の充填の
ために、そして多重レベルの金属中間接続を備えた集積
回路のプレーナ化のために使用される時に、この問題は
起こる。ビアホール側壁で露光するような有機SOGを
有する、ビアホール中の化学蒸着によるタングステンの
品質はかなり悪化し、しばしば不完全充填のビアホー
ル、高抵抗のビアホールおよびビアホールの上端から金
属成長(ヒルロック(塚部))を発生させ、この金属成
長は他の金属ラインとの間に短絡回路を発生させてしま
う。有機SOGの有機物部分がタングステン源の材料と
逆方向の反応を起こすと考えられている。
【0004】ビアホールの有害化の問題の一般的な解決
法はSOGの部分的なプラズマエッチバックを実行し、
金属リード線の側部間にまたは側部に沿ってのみSOG
を残すことである。この解決法においては、プラズマエ
ッチング装置中でのエッチバックと共に、半有機ガラス
がウヱーハ全体上に付着される必要がある。この方法
は、非常に遅く、非常に汚く、ウヱーハ上に粒子を残
し、かつ均一ではない。他の方法は、SOG層が薄い位
置にビアホールを移動させることによって、または成功
確立が変動するが注意深く硬化、エッチング、焼き付け
および金属付着の処理工程を実行することによって達成
される薄いSOG被膜を使用することである。
【0005】
【発明が解決しようとする課題】現在、金属リード線の
間隔が減少したことに関連する高い寄生容量の問題につ
いて、製造に結び付ける価値のある解決法は知られてい
ない。検討中の解決法は、フッ化酸素の高密度プラズマ
付着、CVDパリレーン(parylene)および特
別の処理を必要とする他のスピンオン材料の選択を包含
している。
【0006】
【課題を解決するための手段】本発明に従えば、SOG
のプラズマエッチバックに関する工程および装置が除外
され、それによりサイクルタイムとコスト低減が達成さ
れる。SOG厚みの増加とSOGの下の酸化物の厚みの
減少によるプレーナ化が改善される。さらに、SOGと
共に使用される付着酸化物層に要求される工程の複雑さ
がはるかに減少する。
【0007】要約すると、ここに述べる方法は、無機S
OGの単一の厚い層を使用し、全ての無機SOGをウヱ
ーハ上に残す。硬化、エッチングまたは金属付着処理工
程において、特別の処理は必要とされない。ケイ素酸化
物の無機源、好ましくは水素シルシクイオクサン(HS
Q)は化学式(HSiO3/2 n を有し、ダウコーニン
グ社の9−5115誘電体材料として知られ、スピンオ
ンガラス中の有機メチルシロキサンの代わりに使用され
る。この材料は、簡単な製造プロセスおよび低い誘電率
の両方を実現するための注意深い設計と簡単な工程の組
み合わせと共に使用される。HSQ膜の誘電率は、この
膜中のSiHおよび−OH結合に関連すると考えられて
おり、現在使用されている中間レベルの誘電体材料であ
るプラズマTEOS酸化物またはオゾンTEOS酸化物
よりも低い誘電率を有する。また、この誘電体膜の密度
および多孔率は、水分の吸収または排出を通して誘電率
に悪影響を及ぼす。本発明に従えば、4.0以下の誘電
率が無機ケイ素含有組成にとって望ましい。SOGの誘
電率は約3.0まで下げられるが、一方現在使用されて
いる材料は約4.1の誘電率を有している。ケイ酸塩ベ
ースのSOGはビアホールの有害化を発生させることな
く使用できるが、それは硬化処理において実質的に収縮
し、そして制御不可能なまた許容できない割れを起こ
す。また、ビアホールの抵抗および割れの双方を避ける
ために、全体的な酸素プラズマ処理と、次工程の熱処理
を組み合わせることによって、ある種の有機SOGが硬
化されるという最近の証拠があるが、これには付加的な
プロセスが必要となる。
【0008】本発明に従う処理工程は、DRAMおよび
論理回路の形成の双方に使用でき、最初に先行技術にお
けるような基板に、例えばタングステン、アルミニウ
ム、銅その他の適当な金属から形成された中間接続パタ
ーンを形成することを包含する。約3000から約50
00オングストロームそして好ましくは4000オング
ストローム厚のプラズマテトラエチルオルソシリケート
(TEOS)酸化物が次に露光面上に付着され、中間接
続パターンの部分の間の領域に、刻み目またはくぼみを
残す。約3500から約7300オングストローム、好
ましくは5400オングストローム厚のHSQの被覆
が、次にTEOS酸化物上に形成される。次に、約37
5℃から約425℃好ましくは400℃の温度で、約3
0分乃至約90分好ましくは45分間、約600mTorr
から約760mTorr の圧力で、酸素または水分を本質的
に含まない本質的に純粋な窒素雰囲気中で加熱されるこ
とによって、この構造が硬化され、HSQを本質的に−
OH結合をその中に含まないケイ素酸化物に転換する。
水分が少ないほど、−OH結合は存在しなくなり、その
−OH結合の存在数に依存して誘電率は4.1と約3.
0の間にある。結果としての酸化物は、SiOx の構造
で、1≦X≦2である。この酸化物は、代表的なSiO
2 に比べていくらか密度だ低いけれども、水分の吸い上
げに対して相対的に浸透性がない。ケイ素酸化物の誘電
率は約3.0±約0.1である。次ぎに、窒素中の焼き
付けに続いて、約2000から約4000オングストロ
ーム好ましくは3000オングストローム厚のTEOS
酸化物層が、真空室中で約3乃至約15Torr好ましくは
9Torrの圧力で約370℃から約410℃好ましくは3
90℃の温度で、HSQ上に付着され、誘電体をその上
に備えた中間接続層を完成させる。ここで、ビアホール
が通常の方法で中間接続パターンまでエッチングされ、
そして次に中間接続の別の層が誘電体層上に形成でき、
その上にさらに別の誘電体を上記の処理工程の繰り返し
により形成できる。
【0009】次に、水素シルシクイオクサン(sils
esquioxane)をベースとしたSOGの硬化の
間、適切に時間、温度およびガス雰囲気を選択すること
により、現在使用されている中間レベルの誘電体膜に比
べ、付加的な処理時間や複雑性を必要とせずに、より低
い容量が得られる。
【0010】
【発明の実施の形態】図1Aから図1Gを参照すると、
DRAM用のプレーナ化された誘電体層を中間接続パタ
ーン上に提供するための先行技術の処理フローが示され
ている。まづ、図1Aに示すように、中間接続パターン
3が、例えばタングステンのような中間接続金属を基板
1上に付着させ、そして次工程のパターン化とエッチン
グによって形成される。7000オングストロームのプ
ラズマTEOS酸化物5の層が次に露出面上に付着さ
れ、図1Bに示すように中間接続パターンの部分の間の
領域に、刻み目またはくぼみ7を残す。6200乃至6
400オングストロームの有機SOG層8が、次に図1
Bの構造上に付着され、そして図1Cに示すように硬化
され、またはエッチバック後に硬化される。図1Cの構
造は、もし以前にエッチバックされていない場合には、
つぎに図1Dに示すようにTEOS酸化物5が露出する
までエッチバックされ、次いでこの構造上に生成したポ
リマー9が図1Eに示すように酸化物プラズマ処理によ
って取り除かれる。残りのポリマーとほこりが、次に図
1Fに示すように水洗いによって、この表面から取り除
かれる。次に、この構造は410℃で約2 1/2分焼
かれ、そして図1Gに示すように、プレーナ化された表
面を提供するように、5000オングストロームのTE
OS酸化物11の層を付着させる。
【0011】図2Aから図2Iを参照すると、論理回路
用のプレーナ化された誘電体層を中間接続パターン上に
提供するための先行技術の処理フローが示されている。
まづ、図2Aに示すように、中間接続パターン23が、
例えばアルミニウムのような中間接続金属を基板21上
に付着させ、そして次工程のパターン化とエッチングに
よって形成される。アルミニウムは中間接続層との間で
短絡を引き起こす可能性のある塚部(ヒルロック)を形
成することがあり、DRAMの実施例に比べて厚い誘電
体層を与える必要がある。それ故、3000オングスト
ロームのプラズマTEOS酸化物25の層が露出面上に
付着され、図2Bに示すように中間接続パターンの部分
の間の領域に、刻み目またはくぼみ27を残す。次に窒
素プラズマ処理が成され、さらに図2Cに示す3000
オングストロームのオゾンTEOS酸化物29層、そし
て図2Dに示す4000オングストロームのプラズマT
EOS酸化物31層が形成される。それ以降の工程は、
DRAMについての図1C−1Gに関する説明と同一で
あり、それは図2E−図2Iに対応する。
【0012】図3Aから図3Dを参照すると、DRAM
および論理回路の製作に使用できる本発明に従う処理工
程が示されている。まづ、図3Aに示すように、例えば
タングステン、アルミニウム、銅のような適当な金属か
ら作られる中間接続パターンによって、中間接続パター
ン33を先行技術の基板35上に付着させる。4000
オングストロームのプラズマTEOS酸化物37の層が
次に露出面上に付着され、図3Bに示すように中間接続
パターンの部分の間の領域に、刻み目またはくぼみ39
を残す。5400オングストロームのHSQの被覆41
が、次に図3Cに示すようにTEOS酸化物37上に形
成される。次に、図3Cの構造が硬化され、3000オ
ングストロームのTEOS酸化物43の層が次いで図3
Dに示すようにHSQ上に付着され、誘電体をその上に
備えた中間接続層が完成する。ビアホールが通常の方法
で中間接続パターン33までエッチングされ、そして別
の中間接続層が層43上に、その上のさらに別の誘電体
と共に形成されてもよい。これは、図3A−図3Dに関
してすでに述べた処理工程の繰り返しによる。
【0013】誘電体を備えたプレーナ形金属中間接続層
が提供され、上述の先行技術に固有の問題を克服し、ま
た先行技術において要求される処理工程よりもはるかに
少ない工程ですむことが解る。
【0014】さらに他の実施例として、層37および4
3は、その誘電率を低くするために、付着工程でフッ素
をドープしてもよい。これは、層37および/または4
3を形成する間に、フッ素系の標準的なドープ剤を添加
することによって達成される。フッ素を含む使用可能な
典型的な材料は、フッ素含有酸化ケイ素を形成するため
の、例えばTEOSおよび酸素と共に4フッ化炭素(C
4 )である。
【0015】本発明が特定の好適実施例について説明さ
れたが、当業者にとって多くの変更および修正が容易に
可能であることは自明である。それ故、付随の特許請求
の範囲の発明は、先行技術に鑑み、そのような変更およ
び修正を含むように可能な限り広く解釈される。
【0016】以上の説明に関して以下の項を開示する。 (1)中間接続パターン上にプレーナ形誘電体層を形成
する方法であって、(イ)電気的中間接続パターンをそ
の上に有する基板を提供し、(ロ)前記中間接続パター
ン上に誘電体の第1の層を形成し、(ハ)前記第1の誘
電体層上に前記第1の層とは異なる第2のケイ素含有誘
電体層を、無機ケイ素含有組成から形成し、そして
(ニ)前記第2の誘電体層上に、前記第2の層とは異な
る第3の誘電体層を形成する段階を含む前記の方法。 (2)前記第1の層がプラズマ生成TEOS酸化物であ
る、第(1)項記載の方法。
【0017】(3)前記ケイ素含有組成がHSQであ
る、第(1)項記載の方法。 (4)前記ケイ素含有組成がHSQである、第(2)項
記載の方法。 (5)前記第3の層がプラズマ生成TEOS酸化物であ
る、第(1)項記載の方法。 (6)前記第3の層がプラズマ生成TEOS酸化物であ
る、第(2)項記載の方法。 (7)前記第3の層がプラズマ生成TEOS酸化物であ
る、第(3)項記載の方法。 (8)前記第3の層がプラズマ生成TEOS酸化物であ
る、第(4)項記載の方法。 (9)前記第2の層を形成する段階が、段階(ロ)の構
造上に熱分解的にケイ素酸化物に転換可能な無機ケイ素
含有組成を付着させる段階と、その結果生ずる構造を本
質的に純粋な窒素で本質的に水分の無い環境において大
気圧又はそれ以下の圧力下に置く段階と、前記ケイ素含
有組成を約375℃から約425℃で約30分から約9
0分間加熱し前記ケイ素含有組成をケイ素酸化物に転換
する段階を有している、第(1)項記載の方法。
【0018】(10)前記ケイ素含有組成がHSQであ
る、第(9)項記載の方法。 (11)前記温度が約400℃で約45分である、第
(9)項記載の方法。 (12)前記温度が約400℃で約45分である、第
(10)項記載の方法。 (13)前記第3の層を形成する段階が、段階(ハ)の
構造を真空室に置く段階と、窒素雰囲気中で約3乃至約
15Torrr の圧力で約370℃から約410℃の温度で
約30秒から90秒間加熱する段階、および前記構造上
に約2000から約4000オングストローム厚のプラ
ズマ生成TEOS酸化物の層を付着させる段階を有す
る、第(1)項記載の方法。 (14)前記温度が約390℃で約60秒間である、第
(13)項記載の方法。 (15)前記圧力が約9Torrr である、第(13)項記
載の方法。 (16)前記厚みが約3000オングストロームであ
る、第(13)項記載の方法。
【0019】(17)(イ)電気的中間接続パターンを
有する基板(ロ)前記中間接続パターン上の誘電体の第
1の層、(ハ)ケイ素酸化物を形成することができる無
機ケイ素含有組成から形成される前記第1の誘電体層上
の前記第1の層とは異なる第2のケイ素含有誘電体層、
(ニ)前記第2の誘電体層上の、前記第2の層とは異な
る第3の誘電体層、および(ホ)前記第3の層上に置か
れる電気的中間接続パターンを有する多重レベル中間接
続パターン。 (18)前記ケイ素含有組成がHSQである、第(1
7)項記載の方法。 (19)前記第2の層が4.0以下の誘電率を有する、
第(17)項記載の方法。 (20)前記第2の層が4.0以下の誘電率を有する、
第(18)項記載の方法。
【0020】(21)より少ない処理工程で、先行技術
より低い誘電率を有するプレーナ形誘電体層を中間接続
パターン上に形成する方法。この方法は、電気的中間接
続パターン33をその上に有する基板35を提供し、好
ましくはプラズマ生成TEOS酸化物によって、中間接
続パターン上に誘電体37の第1の層を形成し、第1の
誘電体層上に第1の層とは異なる低い誘電率層43を備
えたケイ素含有誘電体の第2の多孔性の層41を、無機
ケイ素含有組成、好ましくは水素シルシクイオクサンか
ら形成し、そして好ましくはプラズマ生成TEOS酸化
物によって、第2の誘電体層上に第2の層とは異なる第
3の誘電体層を形成する。第2の層を形成する段階は、
第1の層上に熱分解的にケイ素酸化物に転換可能な無機
ケイ素含有組成を付着させる段階と、その結果生ずる構
造を本質的に純粋な窒素で本質的に水分の無い環境にお
いて大気圧又はそれ以下の圧力下に置く段階と、このケ
イ素含有組成を約375℃から約425℃で約30分か
ら約90分間加熱しこのケイ素含有組成をケイ素酸化物
に転換する段階を有している。
【図面の簡単な説明】
【図1】DRAM用のプレーナ化された誘電体層を中間
接続パターン上に提供するための先行技術の処理フロー
図。
【図2】論理回路用のプレーナ化された誘電体層を中間
接続パターン上に提供するための先行技術の処理フロー
図。
【図3】DRAMおよび論理回路の製作に使用できる本
発明に従う処理工程図。
【符号の説明】
33 電気的中間接続パターン 35 基板 37 第1の誘電体層 39 くぼみ 41 ケイ素含有誘電体の第2の多孔性の層

Claims (2)

    【特許請求の範囲】
  1. 【請求項1】 中間接続パターン上にプレーナ形誘電体
    層を形成する方法であって、 (イ)電気的中間接続パターンをその上に有する基板を
    提供し、 (ロ)前記中間接続パターン上に誘電体の第1の層を形
    成し、 (ハ)前記第1の誘電体層上に前記第1の層とは異なる
    第2のケイ素含有誘電体層を、無機ケイ素含有組成から
    形成し、そして (ニ)前記第2の誘電体層上に、前記第2の層とは異な
    る第3の誘電体層を形成する段階を含む前記の方法。
  2. 【請求項2】 (イ)電気的中間接続パターンを有する
    基板 (ロ)前記中間接続パターン上の誘電体の第1の層、 (ハ)ケイ素酸化物を形成することができる無機ケイ素
    含有組成から形成され、前記第1の誘電体層上の前記第
    1の層とは異なるケイ素含有誘電体の第2の層、 (ニ)前記第2の誘電体層上の、前記第2の層とは異な
    る第3の誘電体層、および (ホ)前記第3の層上に置かれる電気的中間接続パター
    ンを有する多重レベル中間接続パターン。
JP7330694A 1994-12-20 1995-12-19 0.5および0.5以下のulsi回路用の中間レベル誘電体内要素としての水素シルシクイオクサンをベースとした流動性酸化物 Pending JPH08255834A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US359784 1994-12-20
US08/359,784 US5607773A (en) 1994-12-20 1994-12-20 Method of forming a multilevel dielectric

Publications (1)

Publication Number Publication Date
JPH08255834A true JPH08255834A (ja) 1996-10-01

Family

ID=23415255

Family Applications (1)

Application Number Title Priority Date Filing Date
JP7330694A Pending JPH08255834A (ja) 1994-12-20 1995-12-19 0.5および0.5以下のulsi回路用の中間レベル誘電体内要素としての水素シルシクイオクサンをベースとした流動性酸化物

Country Status (4)

Country Link
US (1) US5607773A (ja)
JP (1) JPH08255834A (ja)
KR (1) KR100372216B1 (ja)
TW (1) TW295696B (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100448245B1 (ko) * 1997-12-30 2004-11-16 주식회사 하이닉스반도체 반도체 소자의 금속배선간 절연막 형성방법
KR100476371B1 (ko) * 1997-12-30 2005-07-05 주식회사 하이닉스반도체 금속층간의평탄화절연막형성방법

Families Citing this family (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR910003742B1 (ko) * 1986-09-09 1991-06-10 세미콘덕터 에너지 라보라터리 캄파니 리미티드 Cvd장치
JPH10163192A (ja) * 1996-10-03 1998-06-19 Fujitsu Ltd 半導体装置およびその製造方法
JP3123449B2 (ja) * 1996-11-01 2001-01-09 ヤマハ株式会社 多層配線形成法
US6030706A (en) * 1996-11-08 2000-02-29 Texas Instruments Incorporated Integrated circuit insulator and method
US5854503A (en) * 1996-11-19 1998-12-29 Integrated Device Technology, Inc. Maximization of low dielectric constant material between interconnect traces of a semiconductor circuit
JP3109449B2 (ja) * 1997-04-25 2000-11-13 日本電気株式会社 多層配線構造の形成方法
TW392288B (en) 1997-06-06 2000-06-01 Dow Corning Thermally stable dielectric coatings
US5866197A (en) * 1997-06-06 1999-02-02 Dow Corning Corporation Method for producing thick crack-free coating from hydrogen silsequioxane resin
GB2330001B (en) * 1997-10-06 1999-09-01 United Microelectronics Corp Method of forming an integrated circuit device
TW354417B (en) * 1997-10-18 1999-03-11 United Microelectronics Corp A method for forming a planarized dielectric layer
US5888898A (en) * 1997-10-23 1999-03-30 Advanced Micro Devices, Inc. HSQ baking for reduced dielectric constant
US6087724A (en) * 1997-12-18 2000-07-11 Advanced Micro Devices, Inc. HSQ with high plasma etching resistance surface for borderless vias
US5958798A (en) * 1997-12-18 1999-09-28 Advanced Micro Devices, Inc. Borderless vias without degradation of HSQ gap fill layers
US6083850A (en) * 1997-12-18 2000-07-04 Advanced Micro Devices, Inc. HSQ dielectric interlayer
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6833280B1 (en) * 1998-03-13 2004-12-21 Micron Technology, Inc. Process for fabricating films of uniform properties on semiconductor devices
KR100643105B1 (ko) * 1998-05-06 2006-11-13 텍사스 인스트루먼츠 인코포레이티드 플립-칩 전자 디바이스를 언더필링하는 저응력 방법 및 장치
TW441006B (en) * 1998-05-18 2001-06-16 United Microelectronics Corp Method of forming inter-metal dielectric layer
US6350673B1 (en) * 1998-08-13 2002-02-26 Texas Instruments Incorporated Method for decreasing CHC degradation
US6384466B1 (en) 1998-08-27 2002-05-07 Micron Technology, Inc. Multi-layer dielectric and method of forming same
KR20000024717A (ko) * 1998-10-01 2000-05-06 김영환 다공성 절연막 형성 방법
US6159842A (en) * 1999-01-11 2000-12-12 Taiwan Semiconductor Manufacturing Company Method for fabricating a hybrid low-dielectric-constant intermetal dielectric (IMD) layer with improved reliability for multilevel interconnections
US6211063B1 (en) 1999-05-25 2001-04-03 Taiwan Semiconductor Manufacturing Company Method to fabricate self-aligned dual damascene structures
US6358841B1 (en) 1999-08-23 2002-03-19 Taiwan Semiconductor Manufacturing Company Method of copper CMP on low dielectric constant HSQ material
US20050158666A1 (en) * 1999-10-15 2005-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Lateral etch inhibited multiple etch method for etching material etchable with oxygen containing plasma
US6372664B1 (en) 1999-10-15 2002-04-16 Taiwan Semiconductor Manufacturing Company Crack resistant multi-layer dielectric layer and method for formation thereof
US6403464B1 (en) 1999-11-03 2002-06-11 Taiwan Semiconductor Manufacturing Company Method to reduce the moisture content in an organic low dielectric constant material
US6531389B1 (en) 1999-12-20 2003-03-11 Taiwan Semiconductor Manufacturing Company Method for forming incompletely landed via with attenuated contact resistance
US6548901B1 (en) 2000-06-15 2003-04-15 International Business Machines Corporation Cu/low-k BEOL with nonconcurrent hybrid dielectric interface
US6642552B2 (en) * 2001-02-02 2003-11-04 Grail Semiconductor Inductive storage capacitor
US6759327B2 (en) * 2001-10-09 2004-07-06 Applied Materials Inc. Method of depositing low k barrier layers
US6890850B2 (en) * 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US6838393B2 (en) * 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
JP2003332423A (ja) * 2002-05-14 2003-11-21 Mitsubishi Electric Corp 半導体装置およびその製造方法
US6925357B2 (en) * 2002-07-25 2005-08-02 Intouch Health, Inc. Medical tele-robotic system
US6727184B1 (en) * 2002-10-29 2004-04-27 Taiwan Semiconductor Manufacturing Co., Ltd Method for coating a thick spin-on-glass layer on a semiconductor structure
US6902440B2 (en) * 2003-10-21 2005-06-07 Freescale Semiconductor, Inc. Method of forming a low K dielectric in a semiconductor manufacturing process
US7030041B2 (en) * 2004-03-15 2006-04-18 Applied Materials Inc. Adhesion improvement for low k dielectrics
US20050233555A1 (en) * 2004-04-19 2005-10-20 Nagarajan Rajagopalan Adhesion improvement for low k dielectrics to conductive materials
US7229911B2 (en) * 2004-04-19 2007-06-12 Applied Materials, Inc. Adhesion improvement for low k dielectrics to conductive materials
US20050277302A1 (en) * 2004-05-28 2005-12-15 Nguyen Son V Advanced low dielectric constant barrier layers
US7288205B2 (en) * 2004-07-09 2007-10-30 Applied Materials, Inc. Hermetic low dielectric constant layer for barrier applications
KR100675895B1 (ko) * 2005-06-29 2007-02-02 주식회사 하이닉스반도체 반도체소자의 금속배선구조 및 그 제조방법
JP6726142B2 (ja) * 2017-08-28 2020-07-22 信越化学工業株式会社 有機膜形成用組成物、半導体装置製造用基板、有機膜の形成方法、パターン形成方法、及び重合体
JP6940335B2 (ja) 2017-08-30 2021-09-29 信越化学工業株式会社 有機膜形成用組成物、半導体装置製造用基板、有機膜の形成方法、パターン形成方法、及び重合体

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4756977A (en) * 1986-12-03 1988-07-12 Dow Corning Corporation Multilayer ceramics from hydrogen silsesquioxane

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100448245B1 (ko) * 1997-12-30 2004-11-16 주식회사 하이닉스반도체 반도체 소자의 금속배선간 절연막 형성방법
KR100476371B1 (ko) * 1997-12-30 2005-07-05 주식회사 하이닉스반도체 금속층간의평탄화절연막형성방법

Also Published As

Publication number Publication date
KR100372216B1 (ko) 2003-03-28
TW295696B (ja) 1997-01-11
US5607773A (en) 1997-03-04

Similar Documents

Publication Publication Date Title
US5607773A (en) Method of forming a multilevel dielectric
EP1050599B1 (en) Method of forming interlayer insulating film
US7842518B2 (en) Method for fabricating semiconductor device
JP3568537B2 (ja) マイクロエレクトロニクス構造体用電子ビーム加工膜
US7948083B2 (en) Reliable BEOL integration process with direct CMP of porous SiCOH dielectric
US5435888A (en) Enhanced planarization technique for an integrated circuit
US6207555B1 (en) Electron beam process during dual damascene processing
US6271127B1 (en) Method for dual damascene process using electron beam and ion implantation cure methods for low dielectric constant materials
US6566283B1 (en) Silane treatment of low dielectric constant materials in semiconductor device manufacturing
JP2001077196A (ja) 半導体装置の製造方法
KR20000017275A (ko) 반도체 장치 및 그 제조 공정
JPH1074755A (ja) マイクロエレクトロニク構造および形成方法
US6518646B1 (en) Semiconductor device with variable composition low-k inter-layer dielectric and method of making
KR100383498B1 (ko) 반도체 장치 제조방법
US6436850B1 (en) Method of degassing low k dielectric for metal deposition
US5930677A (en) Method for reducing microloading in an etchback of spin-on-glass or polymer
EP0790645A2 (en) Intermetal dielectric planarization
US5723380A (en) Method of approach to improve metal lithography and via-plug integration
US6037251A (en) Process for intermetal SOG/SOP dielectric planarization
JP2001118928A (ja) 集積回路の製造方法
US6784095B1 (en) Phosphine treatment of low dielectric constant materials in semiconductor device manufacturing
JP2000106357A (ja) 半導体装置の製造方法及び絶縁膜の形成方法
EP0810648A2 (en) Improvements in or relating to semiconductor devices
US5920791A (en) Method of manufacturing intermetal dielectrics for sub-half-micron semiconductor devices
US20010051228A1 (en) Method of forming interlayer insulating film

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20050527

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060613

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060913

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20070126