JPH07165410A - シリコン表面からの自然酸化物のインシチュウ清浄化法 - Google Patents

シリコン表面からの自然酸化物のインシチュウ清浄化法

Info

Publication number
JPH07165410A
JPH07165410A JP6174145A JP17414594A JPH07165410A JP H07165410 A JPH07165410 A JP H07165410A JP 6174145 A JP6174145 A JP 6174145A JP 17414594 A JP17414594 A JP 17414594A JP H07165410 A JPH07165410 A JP H07165410A
Authority
JP
Japan
Prior art keywords
cleaning
wafer
reducing gas
silicon
native oxide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP6174145A
Other languages
English (en)
Other versions
JP4104676B2 (ja
Inventor
H Peter W Hey
ピーター ダブリュー. ヘイ エイチ.
David Carlson
カールソン ディヴィッド
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=22284982&utm_source=***_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=JPH07165410(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH07165410A publication Critical patent/JPH07165410A/ja
Application granted granted Critical
Publication of JP4104676B2 publication Critical patent/JP4104676B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/3003Hydrogenation or deuterisation, e.g. using atomic hydrogen from a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/906Cleaning of wafer as interim step

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Silicon Compounds (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

(57)【要約】 【目的】 窒化珪素のLPCVD等比較的低い温度の堆
積プロセスとの組み合わせで使用可能な、ウエハ表面か
ら自然酸化物を除去する方法を提供することを目的とす
る。 【構成】 本発明によれば、約650℃〜約1025℃
のウエハ清浄化温度で充分な時間ウエハの上に少なくと
も1つの非酸化ガスを通過させることによって、実質的
に酸化剤種の存在しないチャンバ内に配備されたシリコ
ンウエハ上から自然酸化物層をインシチュウ清浄化する
方法が提供される。

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は一般に、シリコンウエハ
表面からの自然酸化物のインシチュウ清浄化の新規な方
法に関し、特に、少なくとも1つの還元ガスの存在下で
の加熱によりシリコンウエハの表面から自然酸化物層(n
ative oxide layer)をインシチュウ清浄化する新規な方
法に関する。
【0002】
【従来の技術】シリコン上の半導体ディバイスの作製に
おいて、金属蒸着層、不動態層、絶縁層等、幾つかの層
がシリコン基板の上に形成される。作製された半導体デ
ィバイスの品質は、それらの構造が形成されたプロセス
に強く左右される。また、この品質は、シリコンウエハ
が処理された製造環境の清浄度にも左右される。
【0003】近年半導体回路が微小化してきた進歩した
技術は、半導体ディバイスのプロセスチャンバ中の不純
物及び汚染物を更に厳しく制限する事を要求する。ディ
バイスの微小化がサブミクロンのレベルにまで進歩した
場合、汚染物はほんのわずかな量でもウエハの収率を著
しく低下させる。
【0004】シリコンウエハ上の堆積によく用いられる
電子材料の中でも、窒化珪素は近年大きな重要性を得て
きた。窒化珪素は、水分及びアルカリイオンに対して拡
散障壁の優秀な特性をもつことから、半導体の最終の保
護不動態や被覆層として広く用いられている。また、窒
化珪素は、密度が高く誘電性が高いことからも半導体材
料として望ましい。
【0005】窒化珪素の堆積には通常、加熱されたチャ
ンバの中で四塩化珪素若しくはジクロロシランとアンモ
ニアとが反応する低圧化学気相蒸着法(以後LPCVD
と称す)プロセスが用いられる。通常、約650℃の窒
素キャリアガス若しくは約1,000℃の水素キャリア
ガスを用いて、四塩化珪素若しくはジクロロシランとア
ンモニアとの反応が起こる。
【0006】窒化珪素の堆積のためのLPCVDプロセ
スにおいて、LPCVDチャンバは先ず脱気され、窒化
珪素が蒸着される表面を有する1つ以上のシリコンウエ
ハを包含するチャンバ内に、四塩化珪素若しくはジクロ
ロシランとアンモニアが導入される。ガスの混合物がチ
ャンバ内に供給された時には、通例シリコンウエハは加
熱されており、ガスは分解されてウエハ表面上に窒化珪
素層が堆積される。例えば、チャンバ内に垂直に位置し
た複数のシリコンウエハを受容する水平ボートを備えた
従来技術のLPCVD系では、反応ガスは沢山ある開口
を通りチャンバ内に注入され、ウエハに接触して流れ
る。このような従来技術のチャンバを用いれば、ウエハ
表面上の自然酸化物の成長が促進される。
【0007】化学的自然酸化物は化学量論的に構成され
ていないため、本当の二酸化珪素ではない。自然酸化層
は、典型的には清浄化の手続きの後に形成されるが、こ
の形成の原因の1つは空気中に水分が存在していること
である。自然酸化物は、意図的に堆積若しくは形成され
た成長した酸化珪素とは化学的に異なっている。自然酸
化物と二酸化珪素とはその物理的性質も異なっており、
例えば、二酸化珪素の屈折率は典型的には1.45であ
るのに対し、自然酸化物の屈折率は約2.2である。
【0008】
【発明が解決しようとする課題】ウエハを大気条件下に
露出する前処理のステップにおいても、自然酸化物はウ
エハ表面上に形成される。半導体処理にはよっては、電
子材料の堆積に先立ち幾つかの清浄化のステップを含む
ものもある。しかし、この清浄化の後は、清浄化された
ウエハは大抵、大気雰囲気に露出されたままであり、窒
化珪素が堆積される以前にウエハ表面上に自然酸化物が
成長する機会が生じる。
【0009】例えば、半導体作製プロセス中に複数処理
の装置において、ウエハボートで複数のウエハを取り扱
う工程は、自然酸化物の形成に関して特別の問題を有し
ている。ウエハはチャンバ内に搬入されるためにある程
度の時間、即ち30分のオーダーの時間がかかる。この
搬入のステップにおいてウエハの周囲には空気が存在し
ており、清浄化されたばかりのウエハ表面には自然酸化
物がすぐに形成される。この問題は、このような自然酸
化物の形成が不均一である事と結びつき、即ちチャンバ
内の一番最初のウエハには自然酸化物の層がより厚く成
長するだろう。これは、一つのバッチの(複数の)集積
回路構造の電気的性質が、そのウエハから集積回路構造
が形成された特定のウエハによって、異なる事に至らせ
る。
【0010】従って、シリコンウエハ上にいかなる半導
体材料の層が形成される場合においても、それに先立っ
て、ウエハの表面は清浄であるべきであり自然酸化物や
その他の不純物等の汚染物が存在しないべきである。ウ
エハのシリコン表面とその上に形成された層との間の境
界に存在する汚染物は、集積回路構造の電気的性質を損
ね、品質を落としたりその構造全体が欠陥になったりす
る。
【0011】窒化珪素膜の成長がシリコン表面上の自然
酸化物が存在することによって影響される事もある。こ
れはそれ自身が、自然酸化物表面上で窒化物層の成長が
遅れる「ふ化時間」として現れる。このふ化時間のため
の典型的な試験は、同一条件において堆積時間を変え
て、窒化珪素の層を堆積することにより実施できる。堆
積時間が長くなるにつれ、窒化珪素膜の厚さが厚くな
る。つまり、窒化珪素膜対堆積時間のグラフは、直線を
示す。これは、図1に実線で示される。この直線の傾き
は、窒化珪素膜の成長速度を表す。
【0012】理論的には、時間がゼロの時の切片は窒化
珪素の厚さがゼロであることを表すべきであろう。しか
し、実際には、窒化珪素の薄膜では、1から30秒若し
くはそれ以上の時間における水平軸との不定量の交点を
見せる。この時間の長さは、「ふ化時間」と解され、図
1にAで示されるが、それはこの時間の中で窒化珪素の
成長はほとんど見られないからである。我々は、シリコ
ン表面上の自然酸化物の厚さ以外にも幾つかのプロセス
のパラメータがふ化時間の長さに影響する事を発見し
た。
【0013】このふ化時間は、半導体ディバイス上の窒
化珪素膜の形成に対して、幾つかの悪影響を及ぼす。例
えば、窒化珪素の層はしばしばコンデンサ構造の形成に
用いられる。つまり、薄い窒化珪素誘電膜の厚さを制御
することは、表面とプロセスとに大きく依存しており、
これはコンデンサの制御を困難にしている。更に、不定
量の厚さを有する自然酸化物、即ち自然酸化物プラス窒
化物が、誘電層の静電容量を減じ、更にはコンデンサの
性能を落としめている。
【0014】1200℃の温度でのベーキング処理によ
り、シリコンウエハ表面上から自然酸化物の層を清浄化
する試みが、第3者によってなされている。しかし、こ
のように高い温度でのベーキングは、エピタキシャルシ
リコン成長のプロセスのみに適当であり、通常これより
も大変低い温度で堆積される窒化珪素膜プロセスには適
当ではない。
【0015】従って、本発明は、従来技術の清浄化法の
欠点を有しない、シリコンウエハの表面から自然酸化物
をインシチュウ清浄化する新規な方法を提供することを
目的とする。
【0016】また本発明は、単結晶シリコンウエハとそ
れ続いて堆積される半導体材料との間に清浄な界面を提
供する、シリコンウエハの表面から自然酸化物をインシ
チュウ清浄化する新規な方法を提供することを目的とす
る。
【0017】更に、本発明は、窒化珪素膜の堆積におい
て通常遭遇するふ化時間が除去される、シリコンウエハ
の表面から自然酸化物をインシチュウ清浄化する新規な
方法を提供することを目的とする。
【0018】また更に、本発明は、酸素、水等の酸化剤
種(oxdizing species)が実質的に存在しない環境でウエ
ハを穏やかな(modest)温度に加熱することにより、シリ
コンウエハの表面から自然酸化物をインシチュウ清浄化
する新規な方法を提供することを目的とする。
【0019】また更に、本発明は、少なくとも1つの還
元ガス中に酸化剤種が実質的に存在しない環境でウエハ
を穏やかな(modest)温度に加熱することにより、シリコ
ンウエハの表面から自然酸化物をインシチュウ清浄化す
る新規な方法を提供することを目的とする。
【0020】
【課題を解決するための手段及び作用】本発明に従っ
て、物理蒸着(physical evaporation)と化学反応とが組
み合わされたプロセスにより、酸素及び水が実質的に存
在しない環境においてシリコンウエハの表面から自然酸
化物層をインシチュウ清浄化する新規な方法が提供され
る。
【0021】好適な具体例では、この新規な清浄化法
は、シリコンウエハを800〜1025℃の範囲の温度
に加熱し、同時に、酸素や水等の酸化剤種が実質的に存
在しない環境で、水素その他の還元ガスを充分に高い流
量で流すことにより、与えられる。この好適な具体例で
自然酸化物層の除去に必要な条件は、耐漏洩チャンバ内
に酸化剤種が実質的に存在しない環境及び穏やかな温度
である。水素ガスを用いることで、還元剤種若しくは再
酸化剤種(reducing or reoxidizing species) の必要な
バックグラウンドのレベルが提供される。自然酸化物層
はこの条件下において、典型的には1分若しくはそれ以
内に除去される。
【0022】別の実施例では、プロセスチャンバ内に反
応性ガスが添加されることにより自然酸化物の除去が促
進される、新規なインシチュウ清浄化法が提供される。
非常に低い分圧を有するシラン、ジクロロシラン等シリ
コンCVDの前駆体は、自然酸化物層の上に非連続なシ
リコン層を成長することに利用でき、約700〜900
℃において自然酸化物の除去を促進する。
【0023】また別の実施例では、約700〜800℃
において揮発性のゲルマニウム−酸素組成物を形成する
ことで自然酸化物を除去するゲルマンの反応性ガスを、
プロセスチャンバ内に添加することにより、新規なイン
シチュウ清浄化法が提供される。また、弗素含有種(flu
orine-containing species) 等他に使用できる反応性ガ
スも、自然酸化物層を化学的に攻撃しそれを除去するた
めに用いられる。
【0024】
【実施例】その他本発明の目的、特徴及び利点は、詳細
な説明及び添付の図面を考慮することにより明らかにな
るであろう。
【0025】本発明は、酸素や水等の酸化剤種が実質的
に存在しない環境において、ウエハを穏やかな温度に加
熱することにより、シリコンウエハ表面から自然酸化物
層をインシチュウ清浄化する新規な方法を開示する。
【0026】先ず、典型的な枚葉式CVDチャンバの断
面図が示される図2を参照する。この枚葉式処理装置
は、ウエハを枚葉式プロセスチャンバから真空を解消す
ることなく中央ロードロックシステムを通って他のチャ
ンバへ移送できるロードロックシステムの使用を含ん
だ、マルチチャンバクラスタ統合処理システムとして設
計されている。CVD堆積のためのこのようなシステム
の一つが、「センチュラHTポリ」の商品名で、カリフ
ォルニア州サンタクララのアプライドマテリアルズコー
ポレーションより供給されている。
【0027】シリコンウエハ40の処理のための熱チャ
ンバ10は図2に示されるように、ハウジング12、反
応器チャンバ16を画成するダブルドーム反応器ベッセ
ル14、ガス流入マニホールド18、ガス排気マニホー
ルド26、加熱系20、駆動組み立て体22、サセプタ
24及び予熱リング28を有する。ダブルドームベッセ
ル14は、上面ドーム30及び底面ドーム32を包含
し、これらは冷却空気の循環により冷却されるため、コ
ールドウォール、即ち100〜200℃に維持される。
駆動組み立て体22はモータ(図示されず)に接続さ
れ、堆積処理の間サセプタ24を回転し、被覆均一性を
向上する。
【0028】本発明は、窒化珪素膜の堆積において見ら
れるふ化時間が除去される、シリコンウエハの表面から
自然酸化物をインシチュウ清浄化する新規な方法を提供
する。この新規な方法は、本方法のフロー図を表す図3
を検討することにより、最もよく理解されるであろう。
【0029】図3に示されるように、本方法は先ず、典
型的なコールドウォールCVDチャンバ堆積システム内
に、ウエハを搬入することにより実施される。ウエハは
シリコンウエハであっても二酸化珪素を被覆したシリコ
ンウエハであってもよい。これらのウエハは、弗化水素
に浸漬されることにより前もって清浄化されていてもよ
い。ロードロックチャンバは、先ず10トール未満の圧
力に脱気される。そして堆積の圧力下で、ウエハがロー
ドロックチャンバよりプロセスチャンバへと搬入され
る。その後、チャンバの温度は800〜950℃に上昇
され、一方では水素ガスが流される。シリコン表面より
自然酸化物を清浄化するために水素ガス単独で用いられ
る場合、水素ガスは、チャンバが900℃に加熱されて
いる条件では、20SLMまでの速度で流される。上述
の脱気プロセスにより、実質的に酸化剤種が存在しない
事が確保され、即ち分圧で10-5トール以下の酸素及び
水しかチャンバ内に残らない。自然酸化物層は、約1分
で除去が可能である。
【0030】酸素及び水の分圧は、真空システムに用い
られる典型的な残留ガス分析技術により測定される。本
実験で利用されるこのような分析器の一つに、ニューヨ
ーク州East Syracuse のLeybold Inficon Co. で製造さ
れるInficon Quadrex200,Model #901-002-G1がある。
【0031】更に図3に示されるように、清浄化のステ
ップは、水素と共に反応性ガスをチャンバに流すステッ
プを含んでいてもよい。この反応性ガスは、低い流量で
流されるシラン若しくはジクロロシランであってもよ
い。チャンバにシラン若しくはジクロロシランを流す目
的は、約800℃で自然酸化物が除去できるように、自
然酸化物層の上に非連続なシリコン層を成長させること
である。シラン若しくはジクロロシランの添加によっ
て、水素単独で用いられる場合の900℃ではなくそれ
より低い800℃の清浄化温度が利用できる事は、注目
される。他の珪素を有するガスも、シリコン表面から自
然酸化物を除去するための反応性清浄化ガスとして作用
するだろう。珪素を有するガスに用いられる流量は、通
常1sccmであり、これは水素に用いられる流量より
も実質的に低い流量である。
【0032】清浄化のステップの後、図3に示されるよ
うに、チャンバ温度は750プラスマイナス50℃に下
げられ、一方では水素を用いてパージが続けられてい
る。図3は最初に清浄化しそして窒化珪素膜を同じシリ
コンウエハ上に堆積する連続したプロセスを示している
が、図3に示される清浄化のステップは、他の堆積処理
にも用いることが可能である。例えば、エピタキシャル
シリコンが窒化珪素若しくはポリシリコンの窓(window)
の内部で成長する選択的エピタキシャル成長(SEG)
プロセスに先立ち用いる事も可能である。最低でも11
00℃の温度を要する従来からのエピタキシャルシリコ
ン成長プロセスと比較すれば、SEGプロセスで用いら
れる温度は相対的に低く、即ち750〜850℃であ
る。このSEGプロセスは、平坦な表面が望ましくその
窓がエピタキシャルシリコンで完全に充填されることを
要する、進歩したディバイスを作るために重要である。
【0033】図3に示されるように、窒化珪素膜の堆積
物が清浄化されたシリコンウエハ表面上に形成されるこ
とが望ましい場合、先ずアンモニアガスが窒素化のため
にチャンバに添加される。このような窒素化プロセス
は、約10オングストロームまでの予備堆積された窒化
珪素層を形成することが見出だされた。これは、図1に
点線の切片として示される。図1はまた、ウエハ表面を
清浄化しない従来の方法により実施された窒化珪素膜の
堆積プロセスが、約20秒のふ化時間を示す実線で示さ
れる。
【0034】窒化プロセスの後も、反応器チャンバ中へ
ジクロロシランが流れることにより窒化珪素の堆積が継
続される。ジクロロシランとアンモニアとの反応により
窒化珪素膜が充分な厚さ、即ち1500オングストロー
ムに至る厚さまで、形成された後、アンモニアとジクロ
ロシランの流れは停止され、ウエハをプロセスチャンバ
から搬出する前にチャンバは水素ガスでパージされる。
【0035】図4は、堆積時間に関する窒化珪素膜の厚
さのデータのグラフを示す。この膜厚は、ウエハの中央
及びウエハのエッジの双方で測定した。水素ガスのみが
反応器チャンバに流された。窒化珪素の均一な膜がシリ
コンウエハ上に形成されたことが確認された。このグラ
フで示されたデータは、ベーク温度900℃、堆積温度
775℃、チャンバ圧力100トールでもたらされたも
のである。
【0036】表1には、調節された幾つかの温度及び圧
力で水素ガス及びシランガスを用いた7つの試験でもた
らされたデータを示す。
【0037】
【表1】
【0038】試験#1〜#3は、清浄化ガスに水素のみ
を用いて実施された。チャンバ温度が900℃の時、3
つのケース全てで、自然酸化物の厚さの減少が見られ
た。水素ガスの流速が増加しても、自然酸化物膜の除去
の効率は上がらないようである。水素ガスの清浄化の効
率は、事前に弗化水素への浸漬をしていないシリコンウ
エハに対して、更に高くなっている。水素単独で自然酸
化物を清浄化する場合に適したチャンバ温度の範囲は、
800℃から1025℃までである。
【0039】試験#4に示されるように、チャンバに水
素ガスと共にシランが用いられる場合、水素ガスとシラ
ンを混合することにより、750℃の低温で効率のよい
清浄化がなされることが確認された。シランに用いられ
る流量は、水素に用いられる流量に比べて小さい程度の
ものであることに注目されよう。シラン若しくはジクロ
ロシランによる清浄化に適したチャンバ温度の範囲は、
700℃から840℃までである。
【0040】弗素含有ガス及びゲルマン含有ガスの清浄
化の効率も試験された。およそ700℃から750℃の
低い清浄化温度でシリコンウエハから自然酸化物を清浄
化するためには、これらのガスが適している。シリコン
ウエハからの自然酸化物の清浄化に、これらのガスを用
いて充分な結果が得られた。
【0041】シリコンウエハ表面から自然酸化物を清浄
化するこの新規な方法は、高品質のシリコンウエハを作
製する有効且つ有益なプロセスとして説明してきた。こ
こでは、選択的エピタキシャル成長プロセスで堆積され
た窒化珪素膜及びエピタキシャル層シリコン膜に関して
のみ説明してきたが、この清浄化の手続きの後に他の半
導体材料の膜をシリコンウエハ上に堆積してもよい。
【0042】本発明ではここに例示された態様に関して
説明してきたが、使われた用語は説明の言葉の本質を意
図しているのであり、制限を意図しているわけではな
い。
【0043】更に本発明は、ここに挙げた幾つかの好適
な実施例に関して説明してきたが、当業者が直ちにここ
に教示された事を本発明の別の実現可能な変形に応用で
きると、認識されよう。例えば、シラン、ジクロロシラ
ン、ゲルマン及び弗素以外の反応性ガスを用いても、本
発明の望ましい結果を得ることにおいて、同等の有効性
を有するだろう。
【0044】
【発明の効果】以上説明してきたように、本発明によ
り、窒化珪素のLPCVD等比較的低い温度で行われる
堆積工程との組み合わせで適用可能な、自然酸化物を有
するウエハの表面を比較的低い温度で清浄化する方法が
提供され、この方法を用いて窒化珪素等比較的低い温度
での堆積により作製される半導体ディバイスの品質及び
収率が向上する。
【図面の簡単な説明】
【図1】堆積時間に対する窒化珪素の厚さのグラフであ
る。
【図2】典型的な枚葉式CVD装置の断面図である。
【図3】窒化珪素膜の堆積処理と組み合わされるインシ
チュウ清浄化プロセスを例示するフロー線図である。
【図4】ウエハの中央及びエッジで測定された堆積時間
に対する窒化珪素の厚さのグラフである。
【符号の説明】
10…熱反応器、12…ハウジング、14…反応器ベッ
セル、16…反応器チャンバ、18…ガス流入マニホー
ルド、20…加熱放射系、22…駆動組み立て体、24
…サセプタ、26…ガス排気マニホールド、28…予熱
リング、30…上面ドーム、32…底面ドーム、34…
ライナ、36…上面、40…シリコンウエハ。
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.6 識別記号 庁内整理番号 FI 技術表示箇所 H01L 21/304 341 D (72)発明者 ディヴィッド カールソン アメリカ合衆国, カリフォルニア州 95051, サンタ クララ, ダンディー ドライヴ 2308

Claims (30)

    【特許請求の範囲】
  1. 【請求項1】 酸化剤種が実質的に存在しない真空チャ
    ンバ内に配備されたシリコンウエハの表面から自然酸化
    物をインシチュウ清浄化する方法であって、1025℃
    以下のウエハ清浄化温度で前記自然酸化物が除去される
    に充分な長さの時間、少なくとも1つの還元ガスを前記
    自然酸化物の上に通過させるステップを含む清浄化の方
    法。
  2. 【請求項2】 前記酸化剤種が酸素と水とから成る群か
    ら選択される少なくとも1つである請求項1に記載の清
    浄化の方法。
  3. 【請求項3】 前記少なくとも1つの還元ガスが、水
    素、アンモニア、シラン、ジクロロシラン、ゲルマン及
    び弗素から選択される請求項1に記載の清浄化の方法。
  4. 【請求項4】 酸化剤種が実質的に存在しない前記真空
    チャンバが10-5トール未満の酸素及び水を含有する請
    求項1に記載の清浄化の方法。
  5. 【請求項5】 前記充分な長さの時間が2分間以下であ
    る請求項1に記載の清浄化の方法。
  6. 【請求項6】 前記還元ガスが水素を含み且つ前記ウエ
    ハ清浄化温度が800℃から1025℃の範囲である請
    求項1に記載の清浄化の方法。
  7. 【請求項7】 前記還元ガスがシランと水素とを含み且
    つ前記ウエハ清浄化温度が700℃から840℃の範囲
    である請求項1に記載の清浄化の方法。
  8. 【請求項8】 前記還元ガスがジクロロシランと水素と
    を含み且つ前記ウエハ清浄化温度が700℃から840
    ℃の範囲である請求項1に記載の清浄化の方法。
  9. 【請求項9】 前記還元ガスがゲルマンを含み且つ前記
    ウエハ清浄化温度が650℃から850℃の範囲である
    請求項1に記載の清浄化の方法。
  10. 【請求項10】 前記還元ガスが弗素を含み且つ前記ウ
    エハ清浄化温度が650℃から850℃の範囲である請
    求項1に記載の清浄化の方法。
  11. 【請求項11】 酸化剤種が実質的に存在しない真空チ
    ャンバ内に配備されるシリコンウエハの表面から自然酸
    化物をインシチュウ清浄化する方法であって、 自然酸化物で被覆されたシリコンウエハを真空チャンバ
    に配備するステップと、 酸化剤種が実質的に存在しなくなるまで前記真空チャン
    バを脱気するステップと、 前記シリコンウエハを1025℃未満のウエハ清浄化温
    度に加熱するステップと、 前記自然酸化物が除去されるに充分な長さの時間、少な
    くとも1つの還元ガスを前記自然酸化物の上に通過させ
    るステップと、を含む清浄化の方法。
  12. 【請求項12】 前記少なくとも1つの還元ガスが、水
    素、アンモニア、シラン、ジクロロシラン、ゲルマン及
    び弗素から選択される請求項11に記載の清浄化の方
    法。
  13. 【請求項13】 酸化剤種が実質的に存在しない前記真
    空チャンバが10-5トール未満の酸素及び水を含有する
    請求項11に記載の清浄化の方法。
  14. 【請求項14】 前記自然酸化物が除去されるに充分な
    時間の前記長さが2分間以下である請求項11に記載の
    清浄化の方法。
  15. 【請求項15】 前記少なくとも1つの還元ガスが水素
    を含み且つ前記ウエハ清浄化温度が800℃から102
    5℃の範囲である請求項11に記載の清浄化の方法。
  16. 【請求項16】 前記少なくとも1つの還元ガスがシラ
    ンと水素とを含み且つ前記ウエハ清浄化温度が700℃
    から840℃の範囲である請求項11に記載の清浄化の
    方法。
  17. 【請求項17】 前記少なくとも1つの還元ガスがジク
    ロロシランと水素とを含み且つ前記ウエハ清浄化温度が
    700℃から840℃の範囲である請求項11に記載の
    清浄化の方法。
  18. 【請求項18】 前記少なくとも1つの還元ガスがゲル
    マンを含み且つ前記ウエハ清浄化温度が650℃から8
    50℃の範囲である請求項11に記載の清浄化の方法。
  19. 【請求項19】 前記少なくとも1つの還元ガスが弗素
    を含み且つ前記ウエハ清浄化温度が650℃から850
    ℃の範囲である請求項11に記載の清浄化の方法。
  20. 【請求項20】 酸化剤種が実質的に存在しない真空チ
    ャンバ内に配備されるシリコンウエハの表面から自然酸
    化物をインシチュウ清浄化しその後前記清浄化をされた
    シリコン表面に窒化珪素の層を被覆する方法であって、 自然酸化物で被覆されたシリコンウエハ表面を化学気相
    蒸着チャンバに配備するステップと、 酸化剤種が実質的に存在しなくなるまで前記真空チャン
    バを脱気するステップと、 前記シリコンウエハを1025℃未満のウエハ清浄化温
    度に加熱するステップと、 前記自然酸化物が除去されるに充分な長さの時間、少な
    くとも1つの還元ガスを前記自然酸化物の上に通過させ
    るステップと、 前記チャンバから前記少なくとも1つの還元ガスを脱気
    するステップと、 前記シリコンウエハを650℃から850℃の範囲の窒
    化珪素堆積温度に冷却するステップと、 前記ウエハを前記チャンバから取り去ることなく、前記
    清浄化をされたシリコンウエハ表面上に窒化珪素の層を
    形成するステップと、を含む清浄化及び被覆の方法。
  21. 【請求項21】 前記酸化剤種が酸素と水とから成る群
    から少なくとも1つの要素が選択される請求項20に記
    載の清浄化及び被覆の方法。
  22. 【請求項22】 前記少なくとも1つの還元ガスが、水
    素、アンモニア、シラン、ジクロロシラン、ゲルマン及
    び弗素から選択される請求項20に記載の清浄化及び被
    覆の方法。
  23. 【請求項23】 酸化剤種が実質的に存在しない前記真
    空チャンバが10-5トール未満の酸素及び水を含有する
    請求項20に記載の清浄化及び被覆の方法。
  24. 【請求項24】 前記窒化珪素層を形成する上記ステッ
    プの以前に、前記チャンバ内にアンモニアガスを流すス
    テップを更に含む請求項20に記載の清浄化及び被覆の
    方法。
  25. 【請求項25】 前記シリコンウエハが650℃から8
    50℃の範囲の窒化珪素堆積温度に冷却された以降に、
    アンモニアガスとジクロロシランガスとを前記チャンバ
    内に流すステップを更に含む請求項20に記載の清浄化
    及び被覆の方法。
  26. 【請求項26】 前記自然酸化物が除去されるに充分な
    時間の前記長さが2分間以下である請求項20に記載の
    清浄化及び被覆の方法。
  27. 【請求項27】 前記少なくとも1つの還元ガスが水素
    を含み且つ前記ウエハ清浄化温度が800℃から102
    5℃の範囲である請求項20に記載の清浄化及び被覆の
    方法。
  28. 【請求項28】 前記少なくとも1つの還元ガスがシラ
    ンと水素とを含み且つ前記ウエハ清浄化温度が700℃
    から840℃の範囲である請求項20に記載の清浄化及
    び被覆の方法。
  29. 【請求項29】 前記少なくとも1つの還元ガスがジク
    ロロシランと水素とを含み且つ前記ウエハ清浄化温度が
    700℃から840℃の範囲である請求項20に記載の
    清浄化及び被覆の方法。
  30. 【請求項30】 シリコンウエハと該ウエハ上に堆積さ
    れた窒化珪素の層とを含み、これら双方の間に堆積され
    る不要な自然酸化物層を有しない半導体ディバイスであ
    って、前記ディバイスは、 10-5トールの酸素及び水を含有する真空チャンバ内に
    おいて水素、アンモニア、シラン、ジクロロシラン、ゲ
    ルマン及び弗素から選択される少なくとも1つの還元ガ
    スを1025℃以下のウエハ清浄化温度で前記自然酸化
    物が除去されるに充分な長さの時間前記シリコンウエハ
    の上に通過させることにより前記シリコンウエハ表面か
    ら自然酸化物を先ず清浄化し、次いで前記ウエハ清浄化
    温度以下の堆積温度でジクロロシランガス又はジシクロ
    ロシランガスとアンモニアガスとの混合物を前記清浄化
    をされたシリコンウエハ表面の上に通過させることによ
    り前記チャンバからウエハを除去することなく前記清浄
    化をされたシリコンウエハ表面上に窒化珪素の膜を形成
    するプロセス、により形成される半導体ディバイス。
JP17414594A 1993-07-30 1994-07-26 シリコン表面からの自然酸化物のインシチュウ清浄化法 Expired - Fee Related JP4104676B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10150293A 1993-07-30 1993-07-30
US08/101502 1993-07-30

Publications (2)

Publication Number Publication Date
JPH07165410A true JPH07165410A (ja) 1995-06-27
JP4104676B2 JP4104676B2 (ja) 2008-06-18

Family

ID=22284982

Family Applications (1)

Application Number Title Priority Date Filing Date
JP17414594A Expired - Fee Related JP4104676B2 (ja) 1993-07-30 1994-07-26 シリコン表面からの自然酸化物のインシチュウ清浄化法

Country Status (4)

Country Link
US (1) US5899752A (ja)
EP (1) EP0637063B1 (ja)
JP (1) JP4104676B2 (ja)
DE (1) DE69421465T2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002057125A (ja) * 2000-06-30 2002-02-22 Hynix Semiconductor Inc 金属配線形成方法

Families Citing this family (191)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3660391B2 (ja) 1994-05-27 2005-06-15 株式会社東芝 半導体装置の製造方法
JPH08330266A (ja) * 1995-05-31 1996-12-13 Texas Instr Inc <Ti> 半導体装置等の表面を浄化し、処理する方法
US6020247A (en) 1996-08-05 2000-02-01 Texas Instruments Incorporated Method for thin film deposition on single-crystal semiconductor substrates
US5954884A (en) * 1997-03-17 1999-09-21 Fsi International Inc. UV/halogen metals removal process
US6749687B1 (en) 1998-01-09 2004-06-15 Asm America, Inc. In situ growth of oxide and silicon layers
US6090705A (en) * 1998-01-20 2000-07-18 Tokyo Electron Limited Method of eliminating edge effect in chemical vapor deposition of a metal
JPH11274088A (ja) * 1998-03-23 1999-10-08 Shin Etsu Handotai Co Ltd 珪素薄膜の製造方法
WO1999053540A1 (en) * 1998-04-13 1999-10-21 Applied Materials, Inc. A method of forming a silicon nitride layer on a semiconductor wafer
US6417041B1 (en) 1999-03-26 2002-07-09 Advanced Micro Devices, Inc. Method for fabricating high permitivity dielectric stacks having low buffer oxide
US20020137362A1 (en) * 1999-07-29 2002-09-26 Rajarao Jammy Method for forming crystalline silicon nitride
US6494959B1 (en) * 2000-01-28 2002-12-17 Applied Materials, Inc. Process and apparatus for cleaning a silicon surface
EP1180791A1 (en) * 2000-08-18 2002-02-20 Infineon Technologies SC300 GmbH & Co. KG Method for forming a nitride layer on a semiconductor substrate
US6495476B1 (en) * 2000-08-22 2002-12-17 Promos Technologies, Inc. Method for preventing native oxide growth during nitridation
US6465373B1 (en) * 2000-08-31 2002-10-15 Micron Technology, Inc. Ultra thin TCS (SiCl4) cell nitride for DRAM capacitor with DCS (SiH2Cl2) interface seeding layer
DE60005541T2 (de) * 2000-12-20 2004-07-01 Stmicroelectronics S.R.L., Agrate Brianza Verfahren zur Kontrollierung von Zwischenoxyd bei einer monokristallinischen/polykristallinischen Silizium-Zwischenschicht
TW473915B (en) * 2000-12-29 2002-01-21 Applied Materials Inc Manufacture method of silicon nitride layer
US6596576B2 (en) 2001-04-10 2003-07-22 Applied Materials, Inc. Limiting hydrogen ion diffusion using multiple layers of SiO2 and Si3N4
KR20020083767A (ko) * 2001-04-30 2002-11-04 주식회사 하이닉스반도체 선택적 에피택셜 성장 공정에서의 기판 세정 방법
KR20080103609A (ko) * 2001-05-30 2008-11-27 에이에스엠 아메리카, 인코포레이티드 저온 로딩 및 소성
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US6951220B1 (en) * 2002-11-05 2005-10-04 Advanced Micro Devices, Inc. Method of decontaminating equipment
US20060051966A1 (en) * 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US7780793B2 (en) * 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7855126B2 (en) * 2004-06-17 2010-12-21 Samsung Electronics Co., Ltd. Methods of fabricating a semiconductor device using a cyclic selective epitaxial growth technique and semiconductor devices formed using the same
KR100593736B1 (ko) * 2004-06-17 2006-06-28 삼성전자주식회사 단결정 반도체 상에 선택적으로 에피택시얼 반도체층을형성하는 방법들 및 이를 사용하여 제조된 반도체 소자들
US7494545B2 (en) * 2006-02-03 2009-02-24 Applied Materials, Inc. Epitaxial deposition process and apparatus
US8278176B2 (en) * 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
US7789965B2 (en) * 2006-09-19 2010-09-07 Asm Japan K.K. Method of cleaning UV irradiation chamber
US20080289650A1 (en) * 2007-05-24 2008-11-27 Asm America, Inc. Low-temperature cleaning of native oxide
US7759199B2 (en) * 2007-09-19 2010-07-20 Asm America, Inc. Stressor for engineered strain on channel
US7867900B2 (en) * 2007-09-28 2011-01-11 Applied Materials, Inc. Aluminum contact integration on cobalt silicide junction
US7871937B2 (en) 2008-05-16 2011-01-18 Asm America, Inc. Process and apparatus for treating wafers
US8367528B2 (en) * 2009-11-17 2013-02-05 Asm America, Inc. Cyclical epitaxial deposition and etch
KR101714003B1 (ko) 2010-03-19 2017-03-09 삼성전자 주식회사 패시티드 반도체패턴을 갖는 반도체소자 형성방법 및 관련된 소자
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
TWI520177B (zh) * 2010-10-26 2016-02-01 Hitachi Int Electric Inc 基板處理裝置、半導體裝置之製造方法及電腦可讀取的記錄媒體
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9885123B2 (en) 2011-03-16 2018-02-06 Asm America, Inc. Rapid bake of semiconductor substrate with upper linear heating elements perpendicular to horizontal gas flow
JP5712778B2 (ja) * 2011-05-10 2015-05-07 信越半導体株式会社 Soiウェーハのsoi層の膜厚測定方法
US8809170B2 (en) 2011-05-19 2014-08-19 Asm America Inc. High throughput cyclical epitaxial deposition and etch process
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9469109B2 (en) * 2014-11-03 2016-10-18 Stmicroelectronics S.R.L. Microfluid delivery device and method for manufacturing the same
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US11571692B2 (en) * 2017-06-23 2023-02-07 PhysioLogic Devices, Inc. Attachment method for microfluidic device
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
DE102022002762A1 (de) 2022-07-29 2024-02-01 centrotherm international AG Reinigungsverfahren zum Reinigen eines Hochtemperaturofens

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3652324A (en) * 1968-08-15 1972-03-28 Westinghouse Electric Corp A METHOD OF VAPOR DEPOSITING A LAYER OF Si{11 N{11 {0 ON A SILICON BASE
US4590091A (en) * 1984-12-17 1986-05-20 Hughes Aircraft Company Photochemical process for substrate surface preparation
US4855258A (en) * 1987-10-22 1989-08-08 Ncr Corporation Native oxide reduction for sealing nitride deposition
JP2534525B2 (ja) * 1987-12-19 1996-09-18 富士通株式会社 β−炭化シリコン層の製造方法
JPH01319944A (ja) * 1988-06-21 1989-12-26 Mitsubishi Electric Corp 半導体基板表面に薄膜を形成する方法およびその装置
US4994142A (en) * 1988-09-22 1991-02-19 Rockwell International Corporation Eliminating undercutting of mask material when etching semiconductor topography by native oxide removal
US5104694A (en) * 1989-04-21 1992-04-14 Nippon Telephone & Telegraph Corporation Selective chemical vapor deposition of a metallic film on the silicon surface
JPH0322527A (ja) * 1989-06-20 1991-01-30 Fujitsu Ltd 半導体装置の製造方法
US5022961B1 (en) * 1989-07-26 1997-05-27 Dainippon Screen Mfg Method for removing a film on a silicon layer surface
JPH03160720A (ja) * 1989-11-20 1991-07-10 Oki Electric Ind Co Ltd 絶縁膜形成方法
US5089441A (en) * 1990-04-16 1992-02-18 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafers
US5294568A (en) * 1990-10-12 1994-03-15 Genus, Inc. Method of selective etching native oxide
US5032545A (en) * 1990-10-30 1991-07-16 Micron Technology, Inc. Process for preventing a native oxide from forming on the surface of a semiconductor material and integrated circuit capacitors produced thereby
US5352636A (en) * 1992-01-16 1994-10-04 Applied Materials, Inc. In situ method for cleaning silicon surface and forming layer thereon in same chamber
US5303558A (en) * 1992-07-30 1994-04-19 Vlsi Technology, Inc. Thermal trap for gaseous materials
US5296258A (en) * 1992-09-30 1994-03-22 Northern Telecom Limited Method of forming silicon carbide
US5360769A (en) * 1992-12-17 1994-11-01 Micron Semiconductor, Inc. Method for fabricating hybrid oxides for thinner gate devices
US5264396A (en) * 1993-01-14 1993-11-23 Micron Semiconductor, Inc. Method for enhancing nitridation and oxidation growth by introducing pulsed NF3
US5322809A (en) * 1993-05-11 1994-06-21 Texas Instruments Incorporated Self-aligned silicide process
US5445994A (en) * 1994-04-11 1995-08-29 Micron Technology, Inc. Method for forming custom planar metal bonding pad connectors for semiconductor dice

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002057125A (ja) * 2000-06-30 2002-02-22 Hynix Semiconductor Inc 金属配線形成方法

Also Published As

Publication number Publication date
JP4104676B2 (ja) 2008-06-18
EP0637063A1 (en) 1995-02-01
US5899752A (en) 1999-05-04
DE69421465T2 (de) 2000-02-10
EP0637063B1 (en) 1999-11-03
DE69421465D1 (de) 1999-12-09

Similar Documents

Publication Publication Date Title
JP4104676B2 (ja) シリコン表面からの自然酸化物のインシチュウ清浄化法
US5421957A (en) Low temperature etching in cold-wall CVD systems
US5067437A (en) Apparatus for coating of silicon semiconductor surface
US6494959B1 (en) Process and apparatus for cleaning a silicon surface
US5188979A (en) Method for forming a nitride layer using preheated ammonia
KR100296533B1 (ko) 실란의사용없이진공챔버내에서wf6환원에의해수행되는텅스텐cvd공정중에서의텅스텐핵형성방법
KR0184269B1 (ko) 반도체 웨이퍼 처리 장치용 세척 방법
US4981811A (en) Process for fabricating low defect polysilicon
EP0421075B1 (en) Low pressure, low-temperature process for depositing silicondioxide
US5225036A (en) Method of manufacturing semiconductor device
JP3667038B2 (ja) Cvd成膜方法
US6413844B1 (en) Safe arsenic gas phase doping
EP1154036A1 (en) Gas reactions to eliminate contaminates in a CVD chamber
JP3189771B2 (ja) 半導体装置の製造方法
US9093269B2 (en) In-situ pre-clean prior to epitaxy
EP1548817B1 (en) Method of eliminating boron contamination of annealed wafer
JP3422345B2 (ja) タングステン膜の形成方法
US20080081112A1 (en) Batch reaction chamber employing separate zones for radiant heating and resistive heating
EP1154038A1 (en) Method of conditioning a chamber for chemical vapor deposition
EP1154037A1 (en) Methods for improving chemical vapor deposition processing
JPH09320963A (ja) Cvdチャンバを清掃した後に調整するための方法
KR19990077798A (ko) 반도체 장치의 제조 공정
JP3194062B2 (ja) 熱酸化膜の形成方法
KR940010412B1 (ko) 박막형성방법
JPH04258115A (ja) 半導体基板の製造方法

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20041102

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050127

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20050920

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20051207

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20061114

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20070214

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20070219

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070423

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20070522

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070820

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20071018

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20071120

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20071205

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20080226

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20080326

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110404

Year of fee payment: 3

LAPS Cancellation because of no payment of annual fees
RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: R3D02