JPH0669157A - Method for forming titanium film - Google Patents

Method for forming titanium film

Info

Publication number
JPH0669157A
JPH0669157A JP24551292A JP24551292A JPH0669157A JP H0669157 A JPH0669157 A JP H0669157A JP 24551292 A JP24551292 A JP 24551292A JP 24551292 A JP24551292 A JP 24551292A JP H0669157 A JPH0669157 A JP H0669157A
Authority
JP
Japan
Prior art keywords
film
titanium
titanium film
cvd method
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP24551292A
Other languages
Japanese (ja)
Inventor
Yuji Komatsu
裕司 小松
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sony Corp
Original Assignee
Sony Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sony Corp filed Critical Sony Corp
Priority to JP24551292A priority Critical patent/JPH0669157A/en
Publication of JPH0669157A publication Critical patent/JPH0669157A/en
Pending legal-status Critical Current

Links

Landscapes

  • Electrodes Of Semiconductors (AREA)

Abstract

PURPOSE:To suppress reaction between a deposited titanium film and a base and at the same time obtain the titanium film with a high step coverage by depositing titanium by a thermal CVD method with a low film formation temperature. CONSTITUTION:Titanium is deposited and a titanium film 21 is formed by a thermal CVD method for reducing the halogen gas of titanium with a high- degree silane gas or a germane gas. In this case, the thermal CVD method is performed at a temperature which is lower than the reaction temperature between a semiconductor substrate 11 and the titanium film 21.

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【産業上の利用分野】本発明は、チタン膜の形成方法に
関する。
FIELD OF THE INVENTION The present invention relates to a method for forming a titanium film.

【0002】[0002]

【従来の技術】LSIの高集積化にともない、微細化す
るコンタクトホールに対して、従来のスパッタ法による
埋め込みでは、ボイドを発生することなく金属を埋め込
むことが難しくなっている。サブミクロン以前のデザイ
ンルールでは、コンタクトホールを形成した後に、層間
絶縁膜のリフロー技術やアルミニウムのバイアススパッ
タ技術等で微細化するコンタクトホールの埋め込みに対
処してきた。ところが、ハーフミクロンやサブミクロン
のデザインルールでは、アスペクト比の大きいコンタク
トホールの埋め込みに対して従来のスパッタ法によって
対処するのが困難になっている。
2. Description of the Related Art With the high integration of LSIs, it is difficult to bury a metal in a contact hole which is miniaturized by a conventional sputtering method without generating a void. In the design rule before submicron, after the contact hole is formed, the refilling technique of the interlayer insulating film, the bias sputtering technique of aluminum, and the like have dealt with the filling of the contact hole which is miniaturized. However, with the half-micron or sub-micron design rule, it is difficult to deal with the filling of the contact hole having a large aspect ratio by the conventional sputtering method.

【0003】そこで次世代のLSIに用いられる埋め込
み技術として、従来のスパッタ法よりも埋め込み能力が
優れている選択タングステンやブランケットタングステ
ン等のCVD(化学的気相成長)法によるコンタクトホ
ールの埋め込み技術が提案されている。
Therefore, as a filling technique used in the next-generation LSI, there is a filling technique of a contact hole by a CVD (chemical vapor deposition) method such as selective tungsten or blanket tungsten, which has a better filling ability than the conventional sputtering method. Proposed.

【0004】例えば選択タングステンによる埋め込み技
術は、工程数が少なく、浮遊塵埃の発生が少ないという
特徴を持っている。しかしながら、選択タングステンに
よる埋め込み技術では、(イ)深さの異なるコンタクト
ホールが混在している場合には、CVD法のみによって
平坦化することができない、(ロ)基本的に基板表面の
状態の差を利用して選択成長させているので、成長させ
る表面の状態は、一定の状態に保持する必要があり、そ
のための前処理技術の開発が必要である、(ハ)窒化チ
タンは下地によっては選択成長しにくい場合がある、
(ニ)チタンシリサイド(TiSix)のように選択成
長しても、チタンシリサイド膜とタングステン膜との界
面に三フッ化チタン(TiF3 )なる不導体が生成され
る。(ホ)シリコン上にタングステンを直接成長させた
場合には、耐熱性が600℃程度しかない、等の問題を
有している。
For example, the embedding technique using selective tungsten is characterized in that the number of steps is small and the generation of suspended dust is small. However, in the embedding technique using selective tungsten, (a) when contact holes having different depths are mixed, planarization cannot be performed only by the CVD method. (B) Basically, the difference in the state of the substrate surface Since it is used for selective growth, it is necessary to maintain the state of the surface to be grown at a constant state, and it is necessary to develop pretreatment technology for that. (C) Titanium nitride is selected depending on the underlying layer It may be difficult to grow,
(D) Even with selective growth of titanium silicide (TiSix), a nonconductor of titanium trifluoride (TiF 3 ) is generated at the interface between the titanium silicide film and the tungsten film. (E) When tungsten is directly grown on silicon, there is a problem that the heat resistance is only about 600 ° C.

【0005】一方、ブランケットタングステンによる埋
め込み技術は、非常にステップカバレジの良いCVDタ
ングステン膜でコンタクトホールを埋め込みながら、基
板上の全面にタングステン膜を堆積させる。その後、エ
ッチバック処理を行うことによってコンタクトホールの
内部にのみタングステンを残す。
On the other hand, the blanket tungsten burying technique deposits a tungsten film on the entire surface of a substrate while burying a contact hole with a CVD tungsten film having very good step coverage. Then, an etch back process is performed to leave tungsten only inside the contact hole.

【0006】ところがCVD法により成膜したタングス
テン膜は、一般に層間絶縁膜(酸化シリコン膜)との密
着性が悪く、かつCVD法に用いる反応ガスの六フッ化
タングステン(WF6 )が下地のシリコン基板と非常に
反応しやすい。このためタングステンよりなる密着層と
下地のシリコン基板との間に、反応防止層を形成する必
要がある、この反応防止層としては、例えば窒化チタン
(TiN)膜またはタングステン(W)膜等がある。と
ころが、窒化チタン膜は、シリコン基板とオーミックコ
ンタクトが取りにくいために、窒化チタン膜とシリコン
基板との間に、例えばチタン膜等の金属膜を形成するこ
とにより、オーミックコンタクトが取れるようにする必
要がある。
However, a tungsten film formed by the CVD method generally has poor adhesion to an interlayer insulating film (silicon oxide film), and tungsten hexafluoride (WF 6 ) which is a reaction gas used in the CVD method is used as an underlying silicon film. Very easy to react with the substrate. Therefore, it is necessary to form a reaction preventive layer between the adhesion layer made of tungsten and the underlying silicon substrate. The reaction preventive layer is, for example, a titanium nitride (TiN) film or a tungsten (W) film. . However, since the titanium nitride film is difficult to make ohmic contact with the silicon substrate, it is necessary to form a metal film such as a titanium film between the titanium nitride film and the silicon substrate so that ohmic contact can be made. There is.

【0007】上記密着層を形成するチタン膜または窒化
チタン膜等は、スパッタ法によって堆積されることが一
般的である。図4に示すように、例えば層間絶縁膜81
に設けたコンタクトホール82に、例えば窒化チタン
(またはチタン)膜83を形成すた場合には、そのステ
ップカバレジ性は良くない。つまり、コンタクトホール
82の底部84に窒化チタン膜(またはチタン)膜83
が十分に被覆されない。この結果、オーミックコンタク
トをとることが十分にできない。またバリアメタルとし
ての機能も十分に果たせないことになる。
The titanium film, titanium nitride film or the like forming the adhesion layer is generally deposited by a sputtering method. As shown in FIG. 4, for example, the interlayer insulating film 81
If, for example, a titanium nitride (or titanium) film 83 is formed in the contact hole 82 provided in the above step, its step coverage is not good. That is, the titanium nitride film (or titanium) film 83 is formed on the bottom portion 84 of the contact hole 82.
Is not fully covered. As a result, ohmic contact cannot be sufficiently made. In addition, the function as a barrier metal cannot be fully fulfilled.

【0008】そこで、ステップカバレジに優れたCVD
法による窒化チタン膜の成膜技術が提案されている。例
えば、(A)四塩化チタン(TiCl4 )とアンモニア
(NH3 )とを熱反応させて窒化チタン膜を形成する熱
CVD法、(B)上記熱CVD法において、化学反応の
活性化エネルギー源として、電磁界を利用するプラズマ
CVD法、(C)有機金属系のガスを利用する熱CVD
法、等が提案されている。
Therefore, CVD with excellent step coverage
A technique for forming a titanium nitride film by the method has been proposed. For example, (A) a thermal CVD method in which titanium tetrachloride (TiCl 4 ) and ammonia (NH 3 ) are thermally reacted to form a titanium nitride film, and (B) in the above thermal CVD method, an activation energy source for a chemical reaction. As a plasma CVD method using an electromagnetic field, (C) thermal CVD using an organometallic gas
Laws, etc. are proposed.

【0009】[0009]

【発明が解決しようとする課題】しかしながら、上記
(A)の熱CVD法によって、チタンをシリコン基板上
に堆積するには、四塩化チタン(TiCl4 )を水素
(H2 )で還元する。この方法では、2000℃程度の
温度雰囲気が必要になり、現在の半導体プロセスには不
適当である。またシラン(SiH4 )を用いて還元した
場合でも、700℃〜800℃程度の温度雰囲気が必要
になる。しかもシリコン基板は、四塩化チタン(TiC
4)や反応副生成物の塩化水素(HCl)によってエ
ッチングされる。このため、例えばシリコン基板に形成
されている拡散層がエッチングされた場合には、コンタ
クト抵抗の増大や接合リークの増加を生じる。
However, in order to deposit titanium on the silicon substrate by the thermal CVD method (A), titanium tetrachloride (TiCl 4 ) is reduced with hydrogen (H 2 ). This method requires an atmosphere at a temperature of about 2000 ° C. and is not suitable for the current semiconductor process. Further, even when reduction is performed using silane (SiH 4 ), a temperature atmosphere of about 700 ° C. to 800 ° C. is required. Moreover, the silicon substrate is titanium tetrachloride (TiC
L 4 ) and reaction by-product hydrogen chloride (HCl). Therefore, for example, when the diffusion layer formed on the silicon substrate is etched, contact resistance and junction leak increase.

【0010】たとえ、シリコン基板に損傷を与えること
なくチタン膜を形成することができたとしても、堆積温
度が700℃〜800℃程度なので、実際に堆積されて
いる膜は、チタン膜のチタンとシリコン基板のシリコン
とが反応して生成したチタンシリサイド(TiSi2
膜になる。このシリサイド化反応は、シリコン表面の自
然酸化膜の影響を大きく受けるので、一般には不均一に
進行する。このため、シリコンとチタンシリサイドとの
界面のモホロジーが悪化し易くなる。またあまりにも不
均一にシリサイド化が進行した場合には、シリサイド化
反応が接合の付近にまで局所的に進行し、リーク電流を
増加させる。一方均一にシリサイド化反応が進行した場
合でも、700℃程度の高温に長時間さらされるため、
未反応チタンがシリコンの接合付近まで拡散して、リー
ク電流を増加させる。
Even if the titanium film can be formed without damaging the silicon substrate, the deposition temperature is about 700 ° C. to 800 ° C., so that the actually deposited film is the titanium film titanium. Titanium silicide (TiSi 2 ) generated by reaction with silicon of silicon substrate
Become a film. Since the silicidation reaction is greatly affected by the natural oxide film on the silicon surface, it generally progresses nonuniformly. Therefore, the morphology of the interface between silicon and titanium silicide is likely to deteriorate. Further, if the silicidation progresses too unevenly, the silicidation reaction locally progresses to the vicinity of the junction, increasing the leak current. On the other hand, even if the silicidation reaction proceeds uniformly, it is exposed to a high temperature of about 700 ° C. for a long time.
The unreacted titanium diffuses to the vicinity of the silicon junction, increasing the leak current.

【0011】さらに窒化チタン(TiN)を化学的に気
相成長させる場合にも、成膜温度雰囲気を700℃程度
の高温状態にする必要がある。
Further, also in the case of chemically vapor-depositing titanium nitride (TiN), it is necessary to set the film forming temperature atmosphere to a high temperature state of about 700.degree.

【0012】上記(B)のプラズマCVD法では、不純
物を膜中に取り込みやすいので、窒化チタン膜の膜質が
劣化し易い。さらに上記(C)の熱CVD法では、有機
金属ガスが高価であるために、プロセスコストが高くな
る。上記各CVD法では、以上のような課題を有してい
る。
In the plasma CVD method of the above (B), impurities are easily taken into the film, so that the film quality of the titanium nitride film is easily deteriorated. Further, in the thermal CVD method (C), the process cost is high because the organic metal gas is expensive. Each of the above CVD methods has the above problems.

【0013】本発明は、ステップカバレジ性に優れたチ
タン膜を低温で形成するチタン膜の形成方法を提供する
ことを目的とする。
It is an object of the present invention to provide a titanium film forming method for forming a titanium film having excellent step coverage at a low temperature.

【0014】[0014]

【課題を解決するための手段】本発明は、上記目的を達
成するためになされたチタン膜の形成方法である。すな
わち、チタンのハロゲン化ガスを高次シラン系ガスによ
って還元する熱CVD法によって、チタンを堆積してチ
タン膜を形成する。あるいは、チタンのハロゲン化ガス
をゲルマン系ガスによって還元する熱CVD法によっ
て、チタンを堆積してチタン膜を形成する。または上記
各方法において、半導体基板とチタン膜との反応温度よ
りも低い温度で熱CVD法を行うことによってチタン膜
を形成する方法である。
The present invention is a method for forming a titanium film, which has been made to achieve the above object. That is, titanium is deposited to form a titanium film by a thermal CVD method in which a halogenated gas of titanium is reduced by a high-order silane-based gas. Alternatively, titanium is deposited to form a titanium film by a thermal CVD method in which a halogenated gas of titanium is reduced by a germane gas. Alternatively, in each of the above methods, the titanium film is formed by performing the thermal CVD method at a temperature lower than the reaction temperature between the semiconductor substrate and the titanium film.

【0015】[0015]

【作用】上記方法によれば、チタンのハロゲン化ガスを
非常に活性な高次シラン系ガスまたはゲルマン系ガスに
よって還元する熱CVD法によって、チタンを堆積して
チタン膜を形成することにより、低温度で成膜反応が進
行する。また化学的に気相成長させて成膜するために、
ステップカバレジ性の高い膜になる。また、半導体基板
とチタン膜との反応温度よりも低い温度で熱CVD法を
行えるので、成膜したチタン膜がシリサイド化しない。
According to the above method, titanium is deposited to form a titanium film by a thermal CVD method in which a halogenated gas of titanium is reduced by a highly active high-order silane-based gas or germane-based gas. The film formation reaction proceeds at a temperature. Also, in order to chemically vapor-deposit and form a film,
The film has high step coverage. Moreover, since the thermal CVD method can be performed at a temperature lower than the reaction temperature between the semiconductor substrate and the titanium film, the formed titanium film does not become a silicide.

【0016】[0016]

【実施例】本発明の第1の実施例を図1に示す形成工程
図により説明する。図1の(1)に示すように、半導体
基板11には素子分離領域12が形成されている。また
当該半導体基板11にはトランジスタ13が形成されて
いる。このトランジスタ13を覆う状態に層間絶縁膜1
4が成膜されている。上記トランジスタ13の活性層1
5上の層間絶縁膜14には、コンタクトホール16が設
けられている。
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS A first embodiment of the present invention will be described with reference to the forming process chart shown in FIG. As shown in (1) of FIG. 1, an element isolation region 12 is formed in a semiconductor substrate 11. A transistor 13 is formed on the semiconductor substrate 11. The interlayer insulating film 1 is formed so as to cover the transistor 13.
4 is deposited. Active layer 1 of the transistor 13
A contact hole 16 is provided in the interlayer insulating film 14 on the surface 5.

【0017】次いで図1の(2)に示すように、コンタ
クトホール16の底部に対して、希フッ酸等を用いたラ
イトエッチングによって、コンタクトホール16の底部
に生成されている自然酸化膜(図示せず)を除去する。
その後、反応ガスに四塩化チタン(TiCl4 )とジシ
ラン(Si2 6 )とを用いた熱CVD法によって、上
記コンタクトホール16の内壁と上記層間絶縁膜14上
とに、例えば厚さが30nmのチタン(Ti)膜21を
成膜する。このときの成膜条件としては、例えば反応ガ
スの供給量を、四塩化チタン(TiCl4 )が20sc
cm、ジシラン(Si2 6 )が20sccmとし、成
膜温度を450℃、成膜雰囲気の圧力を40Paに設定
する。
Then, as shown in (2) of FIG. 1, the natural oxide film formed on the bottom of the contact hole 16 by light etching using dilute hydrofluoric acid or the like on the bottom of the contact hole 16 (see FIG. (Not shown).
Then, by a thermal CVD method using titanium tetrachloride (TiCl 4 ) and disilane (Si 2 H 6 ) as a reaction gas, the inner wall of the contact hole 16 and the interlayer insulating film 14 have a thickness of, for example, 30 nm. Then, the titanium (Ti) film 21 is formed. The film forming conditions at this time are, for example, a reaction gas supply amount of 20 sc of titanium tetrachloride (TiCl 4 ).
cm, disilane (Si 2 H 6 ) is 20 sccm, the film forming temperature is 450 ° C., and the pressure of the film forming atmosphere is 40 Pa.

【0018】次いで図1の(3)に示すように、例えば
急速加熱アニール処理(RTA)のような短時間アニー
ル処理を行って、成膜したチタン膜(21)をシリサイ
ド化し、コンタクトホール16の底部にチタンシリサイ
ド(TiSi2 )膜22を生成する。上記アニール処理
条件の一例としては、600℃のアルゴン(Ar)雰囲
気中で60秒間の第1アニール処理を行い、続いて80
0℃のアルゴン(Ar)雰囲気中で60秒間の第2アニ
ール処理を行う。
Then, as shown in FIG. 1C, a short-time annealing process such as a rapid thermal annealing process (RTA) is performed to silicify the formed titanium film (21) to form the contact hole 16. A titanium silicide (TiSi 2 ) film 22 is formed on the bottom. As an example of the annealing conditions, the first annealing process is performed for 60 seconds in an argon (Ar) atmosphere at 600 ° C., and then 80
A second annealing treatment is performed for 60 seconds in an argon (Ar) atmosphere at 0 ° C.

【0019】続いて図1の(4)に示すように、例えば
反応ガスに四塩化チタン(TiCl4 )とアンモニア
(NH3 )とを用いたCVD法によって、コンタクトホ
ール16の内壁と層間絶縁膜14上とに窒化チタン(T
iN)膜23を成膜する。続いてCVD法によって、少
なくともコンタクトホール16の内部にブランケットタ
ングステンを堆積する。その後、当該堆積したブランケ
ットタングステン膜24(2点鎖線で示す部分)と窒化
チタン膜23(1点鎖線で示す部分)とチタン膜21
(破線で示す部分)とをエッチバックして除去し、コン
タクトホール16の内部にブランケットタングステン膜
(24)よりなるプラグ25を形成する。
Subsequently, as shown in FIG. 1D, the inner wall of the contact hole 16 and the interlayer insulating film are formed by, for example, a CVD method using titanium tetrachloride (TiCl 4 ) and ammonia (NH 3 ) as reaction gases. 14 and titanium nitride (T
iN) film 23 is formed. Subsequently, blanket tungsten is deposited at least inside the contact hole 16 by the CVD method. Then, the blanket tungsten film 24 (the portion indicated by the two-dot chain line), the titanium nitride film 23 (the portion indicated by the one-dot chain line), and the titanium film 21 are deposited.
(A portion indicated by a broken line) is removed by etching back, and a plug 25 made of a blanket tungsten film (24) is formed inside the contact hole 16.

【0020】次いで図示はしないが、プラグ24に接続
する配線を層間絶縁膜14上に形成する。この配線は、
例えばアルミニウムまたはアルミニウム合金等により形
成される。
Next, although not shown, a wiring connecting to the plug 24 is formed on the interlayer insulating film 14. This wiring is
For example, it is formed of aluminum or an aluminum alloy.

【0021】上記第1の実施例の形成方法によれば、チ
タンのハロゲン化ガスの一種の四塩化チタン(TiCl
4 )を非常に活性な高次シラン系ガスの一種のジシラン
(Si2 6 )で還元する熱CVD法によってチタン膜
21を形成することにより、低温度で成膜反応が可能に
なる。また化学的に気相成長させて成膜するために、チ
タン膜21のコンタクトホール16におけるステップカ
バレジ性が高くなる。また、半導体基板11のシリコン
(Si)とチタン膜21のチタン(Ti)との反応温度
よりも低い温度(この場合には450℃)で熱CVD法
が行えるので、成膜したチタン膜21が成膜中にシリサ
イド化しない。
According to the forming method of the first embodiment, titanium tetrachloride (TiCl), which is a kind of halogen gas of titanium, is used.
By forming the titanium film 21 by thermal CVD reduction with very kind of disilane active higher order silane gas 4) (Si 2 H 6) , allowing deposition reaction at low temperatures. Further, since the film is formed by chemical vapor deposition, the step coverage in the contact hole 16 of the titanium film 21 becomes high. Further, since the thermal CVD method can be performed at a temperature (450 ° C. in this case) lower than the reaction temperature between silicon (Si) of the semiconductor substrate 11 and titanium (Ti) of the titanium film 21, the formed titanium film 21 is Does not silicify during film formation.

【0022】次に、第2の実施例として、チタンシリサ
イドプロセスに応用した場合を、図2の形成工程図によ
り説明する。図では、前記図1で説明したと同様の構成
部品には同一符号を付す。図2の(1)に示すように、
半導体基板11には素子分離領域12が形成されてい
る。また当該半導体基板11にはゲート絶縁膜31を介
してゲート電極32が形成されていて、当該ゲート電極
32の両側における半導体基板11の上層には活性層3
3が形成されている。また当該ゲート電極の両側にはL
DD用サイドウォール34が形成されている。
Next, as a second embodiment, the case of application to the titanium silicide process will be described with reference to the process chart of FIG. In the figure, the same components as those described in FIG. 1 are designated by the same reference numerals. As shown in (1) of FIG.
An element isolation region 12 is formed on the semiconductor substrate 11. A gate electrode 32 is formed on the semiconductor substrate 11 via a gate insulating film 31, and the active layer 3 is formed on the semiconductor substrate 11 on both sides of the gate electrode 32.
3 is formed. In addition, L is provided on both sides of the gate electrode.
The sidewall 34 for DD is formed.

【0023】続いて図2の(2)に示すように、反応ガ
スに四塩化チタン(TiCl4 )と四水素化ゲルマニウ
ム(GeH4 )とを用いた熱CVD法によって、上記ゲ
ート電極32上や上記活性層33上に、例えば厚さが3
0nmのチタン(Ti)膜41を成膜する。このときの
成膜条件としては、例えば反応ガスの供給量を、四塩化
チタン(TiCl4 )が20sccm、ゲルマン(Ge
4 )が20sccmとし、成膜温度を450℃、成膜
雰囲気の圧力を40Paに設定する。
Then, as shown in FIG. 2 (2), a thermal CVD method using titanium tetrachloride (TiCl 4 ) and germanium tetrahydride (GeH 4 ) as a reaction gas is applied on the gate electrode 32 and above. For example, a thickness of 3 is formed on the active layer 33.
A 0 nm titanium (Ti) film 41 is formed. The film forming conditions at this time are, for example, a reaction gas supply amount of 20 sccm of titanium tetrachloride (TiCl 4 ) and germane (Ge).
H 4 ) is set to 20 sccm, the film forming temperature is set to 450 ° C., and the pressure of the film forming atmosphere is set to 40 Pa.

【0024】次いで図2の(3)に示すように、例えば
急速加熱アニール処理(RTA)のような短時間アニー
ル処理を行って、成膜したチタン膜41をシリサイド化
し、ゲート電極32の上層と活性層33の上層とにチタ
ンシリサイド(TiSi2 )膜42を生成する。上記ア
ニール処理条件の一例としては、600℃のアルゴン
(Ar)雰囲気中で60秒間の第1アニール処理を行
い、続いて800℃のアルゴン(Ar)雰囲気中で60
秒間の第2アニール処理を行う。その後、アンモニア
(NH3 )と過酸化水素水(H2 2 +H2 O))との
混合溶液によるウェットエッチングによって、未反応な
チタン膜41(2点鎖線で示す部分)を除去する。
Then, as shown in FIG. 2C, a short-time annealing process such as a rapid thermal annealing process (RTA) is performed to silicify the formed titanium film 41 to form an upper layer of the gate electrode 32. A titanium silicide (TiSi 2 ) film 42 is formed on the upper layer of the active layer 33. As an example of the annealing treatment conditions, the first annealing treatment is performed for 60 seconds in an argon (Ar) atmosphere at 600 ° C., and then the first annealing treatment is performed in an argon (Ar) atmosphere at 800 ° C. for 60 seconds.
A second annealing treatment for 2 seconds is performed. Then, the unreacted titanium film 41 (the portion indicated by the chain double-dashed line) is removed by wet etching with a mixed solution of ammonia (NH 3 ) and hydrogen peroxide solution (H 2 O 2 + H 2 O).

【0025】その後図2の(4)に示すように、イオン
注入法によって、半導体基板11の所定の領域にソース
・ドレイン領域を形成するための不純物を導入した後、
活性化アニール処理を行って、ソース・ドレイン領域3
5を形成する。次いでCVD法によって層間絶縁膜14
を形成し、さらにホトリソグラフィー技術とエッチング
とによって、層間絶縁膜14にコンタクトホール16を
形成する。続いてCVD法によって、コンタクトホール
16の内壁に窒化チタン(TiN)膜23を形成し、さ
らにコンタクトホール16の内部にアルミニウム合金よ
りなる配線36を形成する。
After that, as shown in FIG. 2D, after impurities for forming source / drain regions are introduced into predetermined regions of the semiconductor substrate 11 by an ion implantation method,
The source / drain region 3 is subjected to activation annealing treatment.
5 is formed. Then, the interlayer insulating film 14 is formed by the CVD method.
Then, a contact hole 16 is formed in the interlayer insulating film 14 by photolithography and etching. Subsequently, a titanium nitride (TiN) film 23 is formed on the inner wall of the contact hole 16 by the CVD method, and a wiring 36 made of an aluminum alloy is further formed inside the contact hole 16.

【0026】上記第2の実施例の形成方法によれば、チ
タンのハロゲン化ガスとして四塩化チタン(TiC
4 )を非常に活性なゲルマン系ガスの一種のゲルマン
(GeH4 )で還元する熱CVD法によってチタン膜4
1を形成することにより、低温度での成膜反応が可能に
なる。また化学的に気相成長させて成膜するために、チ
タン膜41の段差部におけるステップカバレジ性が高く
なる。また、半導体基板11のシリコン(Si)とチタ
ン膜41のチタン(Ti)との反応温度よりも低い温度
(この場合には450℃)で熱CVD法が行えるので、
成膜したチタン膜41が成膜中にシリサイド化しない。
According to the forming method of the second embodiment, titanium tetrachloride (TiC) is used as the halogen gas of titanium.
l 4) titanium film 4 by thermal CVD reduction with highly active Germanic gas one germane of (GeH 4)
By forming 1, the film formation reaction can be performed at a low temperature. Further, since the film is formed by chemical vapor deposition, the step coverage in the step portion of the titanium film 41 becomes high. Further, since the thermal CVD method can be performed at a temperature (450 ° C. in this case) lower than the reaction temperature of silicon (Si) of the semiconductor substrate 11 and titanium (Ti) of the titanium film 41,
The formed titanium film 41 is not silicified during the film formation.

【0027】次に、第3の実施例として、コンタクトホ
ールの埋め込みに応用した場合を、図3の形成工程図に
より説明する。図では、前記図1で説明したと同様の構
成部品には同一符号を付す。図3の(1)に示すよう
に、基板51上には下層配線52が形成されている。さ
らに当該下層配線52を覆う状態の層間絶縁膜53が成
膜されている。上記下層配線52の所定の位置における
層間絶縁膜53にはコンタクトホール54が形成されて
いる。
Next, as a third embodiment, the case of application to the filling of contact holes will be described with reference to the forming process chart of FIG. In the figure, the same components as those described in FIG. 1 are designated by the same reference numerals. As shown in (1) of FIG. 3, the lower layer wiring 52 is formed on the substrate 51. Further, an interlayer insulating film 53 is formed so as to cover the lower wiring 52. A contact hole 54 is formed in the interlayer insulating film 53 at a predetermined position of the lower layer wiring 52.

【0028】続いて図3の(2)に示すように、反応ガ
スに四塩化チタン(TiCl4 )とトリシラン(Si3
8 )とを用いた熱CVD法によって、上記コンタクト
ホール54の内壁と上記層間絶縁膜53上とに、例えば
厚さが30nmのチタン(Ti)膜61を成膜する。こ
のときの成膜条件としては、例えば反応ガスの供給量
を、四塩化チタン(TiCl4 )が20sccm、トリ
シラン(Si3 8 )が20sccmとし、成膜温度を
350℃、成膜雰囲気の圧力を40Paに設定する。
Subsequently, as shown in (2) of FIG. 3, titanium tetrachloride (TiCl 4 ) and trisilane (Si 3 ) are used as reaction gases.
H 8 ) is used to form a titanium (Ti) film 61 with a thickness of, for example, 30 nm on the inner wall of the contact hole 54 and on the interlayer insulating film 53. As film forming conditions at this time, for example, the supply amount of the reaction gas is 20 sccm for titanium tetrachloride (TiCl 4 ) and 20 sccm for trisilane (Si 3 H 8 ), the film forming temperature is 350 ° C., and the pressure of the film forming atmosphere is set. Is set to 40 Pa.

【0029】さらに図3の(3)に示すように、スパッ
タ法によって、コンタクトホール54の内部と層間絶縁
膜53上とにアルミニウム合金膜62を成膜する。また
はCVD法によって、上記アルミニウム合金膜62を成
膜することも可能である。この場合のCVD条件の一例
としては、反応ガスにTIBA〔Al(C4 9 3
を用い、搬送ガスにアルゴン(Ar)を用いる。また成
膜温度を250℃、成膜雰囲気の圧力を70Paに設定
する。その後、ホトリソグラフィー技術とエッチングと
によって、上記アルミニウム合金膜62の2点鎖線で示
す部分とチタン膜61の1点鎖線で示す部分とを除去
し、当該チタン膜(61)とアルミニウム合金膜(6
2)とで配線63を形成する。
Further, as shown in FIG. 3C, an aluminum alloy film 62 is formed inside the contact hole 54 and on the interlayer insulating film 53 by the sputtering method. Alternatively, the aluminum alloy film 62 can be formed by the CVD method. As an example of the CVD conditions in this case, the reaction gas may be TIBA [Al (C 4 H 9 ) 3 ].
And argon (Ar) is used as the carrier gas. Further, the film forming temperature is set to 250 ° C. and the film forming atmosphere pressure is set to 70 Pa. Then, by photolithography and etching, the part indicated by the two-dot chain line of the aluminum alloy film 62 and the part indicated by the one-dot chain line of the titanium film 61 are removed, and the titanium film (61) and the aluminum alloy film (6) are removed.
The wiring 63 is formed with 2).

【0030】上記第3の実施例の形成方法によれば、チ
タンのハロゲン化ガスの一種の四塩化チタン(TiCl
4 )を非常に活性な高次シラン系ガスの一種のトリシラ
ン(Si3 8 )で還元する熱CVD法によってチタン
膜61を形成することにより、低温度(例えば350
℃)での成膜反応が可能になる。また化学的に気相成長
させて成膜するために、チタン膜41のコンタクトホー
ルにおけるステップカバレジ性が高くなる。
According to the forming method of the third embodiment, titanium tetrachloride (TiCl), which is a kind of halogen gas of titanium, is used.
By forming the titanium film 61 by thermal CVD reduction with very one active higher order silane gas trisilane a 4) (Si 3 H 8) , a low temperature (for example 350
The film forming reaction at (° C.) becomes possible. Further, since the film is formed by chemical vapor deposition, the step coverage in the contact hole of the titanium film 41 becomes high.

【0031】[0031]

【発明の効果】以上、説明したように本発明によれば、
チタンのハロゲン化ガスを高次シラン系ガスまたはゲル
マン系ガスによって還元する熱CVD法によって、チタ
ンを堆積してチタン膜を形成することにより、成膜反応
が活性なので、低温度での成膜ができる。このため、成
膜中にチタン膜のTiと下地のSiとが反応してシリサ
イドを生成することがない。また400℃以下の成膜温
度でチタン膜を成膜することも可能なので、多層アルミ
ニウム配線におけるチタン膜とアルミニウムシリコン膜
よりなる積層配線の形成が可能になる。また化学的に気
相成長させて成膜するために、チタン膜のステップカバ
レジ性が高い。したがって、チタン膜を用いた配線の信
頼性の向上を図ることが可能になる。
As described above, according to the present invention,
Since a titanium film is formed by depositing titanium by a thermal CVD method in which a halogenated gas of titanium is reduced by a high-order silane-based gas or a germane-based gas, a film formation reaction is active, so that film formation at a low temperature is possible. it can. Therefore, during the film formation, Ti of the titanium film does not react with Si of the base to generate silicide. Further, since the titanium film can be formed at a film forming temperature of 400 ° C. or less, it is possible to form a laminated wiring including a titanium film and an aluminum silicon film in a multilayer aluminum wiring. Further, since the film is formed by chemical vapor deposition, the titanium film has high step coverage. Therefore, it is possible to improve the reliability of the wiring using the titanium film.

【図面の簡単な説明】[Brief description of drawings]

【図1】第1の実施例の形成工程図である。FIG. 1 is a diagram illustrating a forming process according to a first embodiment.

【図2】第2の実施例の形成工程図である。FIG. 2 is a diagram illustrating a forming process according to a second embodiment.

【図3】第3の実施例の形成工程図である。FIG. 3 is a diagram illustrating a forming process according to a third embodiment.

【図4】従来例の説明図である。FIG. 4 is an explanatory diagram of a conventional example.

【符号の説明】[Explanation of symbols]

11 半導体基板 21 チタン膜 41 チタン膜 61 チタン膜 11 Semiconductor Substrate 21 Titanium Film 41 Titanium Film 61 Titanium Film

Claims (3)

【特許請求の範囲】[Claims] 【請求項1】 チタンのハロゲン化ガスを高次シラン系
ガスによって還元する熱CVD法によって、チタンを堆
積してチタン膜を形成することを特徴とするチタン膜の
形成方法。
1. A method for forming a titanium film, which comprises depositing titanium to form a titanium film by a thermal CVD method in which a halogenated gas of titanium is reduced by a high order silane-based gas.
【請求項2】 チタンのハロゲン化ガスをゲルマン系ガ
スによって還元する熱CVD法によって、チタンを堆積
してチタン膜を形成することを特徴とするチタン膜の形
成方法。
2. A method for forming a titanium film, which comprises depositing titanium to form a titanium film by a thermal CVD method in which a halogenated gas of titanium is reduced by a germane gas.
【請求項3】 請求項1または請求項2記載のチタン膜
の形成方法であって、 半導体基板とチタン膜との反応温度よりも低い温度で前
記熱CVD法を行うことによってチタン膜を形成するこ
とを特徴とするチタン膜の形成方法。
3. The method for forming a titanium film according to claim 1 or 2, wherein the titanium film is formed by performing the thermal CVD method at a temperature lower than a reaction temperature between the semiconductor substrate and the titanium film. A method for forming a titanium film, comprising:
JP24551292A 1992-08-21 1992-08-21 Method for forming titanium film Pending JPH0669157A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP24551292A JPH0669157A (en) 1992-08-21 1992-08-21 Method for forming titanium film

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP24551292A JPH0669157A (en) 1992-08-21 1992-08-21 Method for forming titanium film

Publications (1)

Publication Number Publication Date
JPH0669157A true JPH0669157A (en) 1994-03-11

Family

ID=17134788

Family Applications (1)

Application Number Title Priority Date Filing Date
JP24551292A Pending JPH0669157A (en) 1992-08-21 1992-08-21 Method for forming titanium film

Country Status (1)

Country Link
JP (1) JPH0669157A (en)

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6291346B1 (en) 1998-10-23 2001-09-18 Oki Electric Industry Co., Ltd. Titanium silicide layer formation method
US6599572B2 (en) 2000-01-18 2003-07-29 Asm Microchemistry Oy Process for growing metalloid thin films utilizing boron-containing reducing agents
US6800552B2 (en) 1999-10-15 2004-10-05 Asm International, N.V. Deposition of transition metal carbides
US7405143B2 (en) 2004-03-25 2008-07-29 Asm International N.V. Method for fabricating a seed layer
US9631272B2 (en) 2008-04-16 2017-04-25 Asm America, Inc. Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds
US9704716B2 (en) 2013-03-13 2017-07-11 Asm Ip Holding B.V. Deposition of smooth metal nitride films
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9831094B2 (en) 2005-10-27 2017-11-28 Asm International N.V. Enhanced thin film deposition
US9941425B2 (en) 2015-10-16 2018-04-10 Asm Ip Holdings B.V. Photoactive devices and materials
US10002936B2 (en) 2014-10-23 2018-06-19 Asm Ip Holding B.V. Titanium aluminum and tantalum aluminum thin films
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
US10600637B2 (en) 2016-05-06 2020-03-24 Asm Ip Holding B.V. Formation of SiOC thin films
US10643925B2 (en) 2014-04-17 2020-05-05 Asm Ip Holding B.V. Fluorine-containing conductive films
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
US10991573B2 (en) 2017-12-04 2021-04-27 Asm Ip Holding B.V. Uniform deposition of SiOC on dielectric and metal surfaces
US11158500B2 (en) 2017-05-05 2021-10-26 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of oxygen containing thin films

Cited By (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6291346B1 (en) 1998-10-23 2001-09-18 Oki Electric Industry Co., Ltd. Titanium silicide layer formation method
US6800552B2 (en) 1999-10-15 2004-10-05 Asm International, N.V. Deposition of transition metal carbides
US6821889B2 (en) 1999-10-15 2004-11-23 Asm International N.V. Production of elemental thin films using a boron-containing reducing agent
US7144809B2 (en) 1999-10-15 2006-12-05 Asm International N.V. Production of elemental films using a boron-containing reducing agent
US7485340B2 (en) 1999-10-15 2009-02-03 Asm International N.V. Production of elemental films using a boron-containing reducing agent
US6599572B2 (en) 2000-01-18 2003-07-29 Asm Microchemistry Oy Process for growing metalloid thin films utilizing boron-containing reducing agents
US6794287B2 (en) 2000-01-18 2004-09-21 Asm International Nv Process for growing metal or metal carbide thin films utilizing boron-containing reducing agents
US7405143B2 (en) 2004-03-25 2008-07-29 Asm International N.V. Method for fabricating a seed layer
US9831094B2 (en) 2005-10-27 2017-11-28 Asm International N.V. Enhanced thin film deposition
US10964534B2 (en) 2005-10-27 2021-03-30 Asm International Enhanced thin film deposition
US10297444B2 (en) 2005-10-27 2019-05-21 Asm International N.V. Enhanced thin film deposition
US9631272B2 (en) 2008-04-16 2017-04-25 Asm America, Inc. Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds
US10074541B2 (en) 2013-03-13 2018-09-11 Asm Ip Holding B.V. Deposition of smooth metal nitride films
US9704716B2 (en) 2013-03-13 2017-07-11 Asm Ip Holding B.V. Deposition of smooth metal nitride films
US10643925B2 (en) 2014-04-17 2020-05-05 Asm Ip Holding B.V. Fluorine-containing conductive films
US10636889B2 (en) 2014-10-23 2020-04-28 Asm Ip Holding B.V. Titanium aluminum and tantalum aluminum thin films
US10002936B2 (en) 2014-10-23 2018-06-19 Asm Ip Holding B.V. Titanium aluminum and tantalum aluminum thin films
US11139383B2 (en) 2014-10-23 2021-10-05 Asm Ip Holding B.V. Titanium aluminum and tantalum aluminum thin films
US9941425B2 (en) 2015-10-16 2018-04-10 Asm Ip Holdings B.V. Photoactive devices and materials
US11362222B2 (en) 2015-10-16 2022-06-14 Asm Ip Holding B.V. Photoactive devices and materials
US10861986B2 (en) 2015-10-16 2020-12-08 Asm Ip Holding B.V. Photoactive devices and materials
US10424476B2 (en) 2015-11-12 2019-09-24 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US11996284B2 (en) 2015-11-12 2024-05-28 Asm Ip Holding B.V. Formation of SiOCN thin films
US10510529B2 (en) 2015-11-12 2019-12-17 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US11107673B2 (en) 2015-11-12 2021-08-31 Asm Ip Holding B.V. Formation of SiOCN thin films
US10600637B2 (en) 2016-05-06 2020-03-24 Asm Ip Holding B.V. Formation of SiOC thin films
US11562900B2 (en) 2016-05-06 2023-01-24 Asm Ip Holding B.V. Formation of SiOC thin films
US11195845B2 (en) 2017-04-13 2021-12-07 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
US11158500B2 (en) 2017-05-05 2021-10-26 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of oxygen containing thin films
US11776807B2 (en) 2017-05-05 2023-10-03 ASM IP Holding, B.V. Plasma enhanced deposition processes for controlled formation of oxygen containing thin films
US10991573B2 (en) 2017-12-04 2021-04-27 Asm Ip Holding B.V. Uniform deposition of SiOC on dielectric and metal surfaces

Similar Documents

Publication Publication Date Title
US6255216B1 (en) Methods of forming a contact having titanium silicide and titanium formed by chemical vapor deposition
US6940172B2 (en) Chemical vapor deposition of titanium
US6524952B1 (en) Method of forming a titanium silicide layer on a substrate
JPH0669157A (en) Method for forming titanium film
US6372643B1 (en) Method for forming a selective contact and local interconnect in situ and semiconductor devices carrying the same
US6025269A (en) Method for depositioning a substantially void-free aluminum film over a refractory metal nitride layer
JPH11150084A (en) Semiconductor device and forming method of amorphous silicon titanium nitride on substrate
US20020192396A1 (en) Method of titanium/titanium nitride integration
JP2003142425A (en) Film forming method
JPH07297136A (en) Fabrication of semiconductor device
US6143362A (en) Chemical vapor deposition of titanium
JPH05129231A (en) Electrode wiring
JPH06349774A (en) Method of forming buried plug
JP3246046B2 (en) Deposition method of refractory metal film
JP3120517B2 (en) Method of forming silicide plug
KR100609049B1 (en) Method for forming metal interconnection of semiconductor device
US7858518B2 (en) Method for forming a selective contact and local interconnect in situ
JP2871943B2 (en) Method for manufacturing semiconductor device
KR0175016B1 (en) Selective tungsten nitride thin film formation method and metallization method using the same
JPH053171A (en) Forming method of tungsten plug
JPH0786182A (en) Fabrication of semiconductor device
JPH09246522A (en) Semiconductor device and its manufacture
JPH0637035A (en) Manufacture of semiconductor device
JPH06104204A (en) Manufacture of semiconductor device