JPH05152255A - Dryetching method - Google Patents

Dryetching method

Info

Publication number
JPH05152255A
JPH05152255A JP28037491A JP28037491A JPH05152255A JP H05152255 A JPH05152255 A JP H05152255A JP 28037491 A JP28037491 A JP 28037491A JP 28037491 A JP28037491 A JP 28037491A JP H05152255 A JPH05152255 A JP H05152255A
Authority
JP
Japan
Prior art keywords
etching
compound
etched
material layer
selectivity
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP28037491A
Other languages
Japanese (ja)
Other versions
JP3160961B2 (en
Inventor
Toshiharu Yanagida
敏治 柳田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sony Corp
Original Assignee
Sony Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sony Corp filed Critical Sony Corp
Priority to JP28037491A priority Critical patent/JP3160961B2/en
Publication of JPH05152255A publication Critical patent/JPH05152255A/en
Application granted granted Critical
Publication of JP3160961B2 publication Critical patent/JP3160961B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Landscapes

  • ing And Chemical Polishing (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

PURPOSE:To subject an SiO2 based material layer to high-speed, high-selectivity, and low-contamination etching. CONSTITUTION:A wafer temperature is controlled to a room temperature or less, and an SiO2 interlayer insulating film 2 is etched by using a mixture gas of a high-order fluorocarbon (FC) compound such as C3F8 and a fluorine compound such as CBr4. High-speed etching proceeds by CFx<+> mass-produced from the high-order FC compound. At this time, reaction products such as FC polymers and CBrx are adsorbed by a cooled wafer surface, but the surface of the SiO2 interlayer insulating film 2 is supplied with oxygen from inside the film, so that these do not deposit. On the other hand, reaction products deposit on the surface of a resist pattern 3 to improve counter-resist selectivity. Counter-resist selectivity ratio improves as well. A later overetching such as with a SF6/O2 mixture gas can remove the reaction products easily and diminish particle contamination.

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【産業上の利用分野】本発明は半導体装置の製造分野等
において適用されるドライエッチング方法に関し、特に
対レジスト選択性、対シリコン下地選択性、高速性に優
れる酸化シリコン系材料層のドライエッチング方法に関
する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a dry etching method applied to the field of manufacturing semiconductor devices, and more particularly to a dry etching method for a silicon oxide material layer which is excellent in resist selectivity, silicon underlayer selectivity and high speed. Regarding

【0002】[0002]

【従来の技術】近年のVLSI,ULSI等にみられる
ように半導体装置の高集積化および高性能化が進展する
に伴い、酸化シリコン(SiO2 )系材料層のドライエ
ッチング方法についても技術的要求がますます厳しくな
ってきている。まず、高集積化によりデバイス・チップ
の面積が拡大しウェハが大口径化していること、形成す
べきパターンが高度に微細化されウェハ面内の均一処理
が要求されていること、またASICに代表されるよう
に多品種少量生産が要求されていること等の背景から、
ドライエッチング装置の主流は従来のバッチ式から枚葉
式に移行しつつある。この際、従来と同等の生産性を維
持するためには、ウェハ1枚当たりのエッチング速度を
大幅に向上させなければならない。
2. Description of the Related Art As the integration and performance of semiconductor devices have increased as seen in VLSI, ULSI and the like in recent years, there is a technical demand for a dry etching method for a silicon oxide (SiO 2 ) based material layer. It is getting more and more severe. First of all, the area of device / chip is increasing due to high integration, the diameter of the wafer is increasing, the pattern to be formed is highly miniaturized, and uniform processing within the wafer surface is required. From the background that high-mix low-volume production is required as described above,
The mainstream of dry etching equipment is shifting from the conventional batch type to the single wafer type. At this time, in order to maintain the same productivity as the conventional one, it is necessary to greatly improve the etching rate per wafer.

【0003】また、デバイスの高速化や微細化を図るた
めに不純物拡散領域の接合深さが浅くなり、また各種の
材料層も薄くなっている状況下では、従来以上に対下地
選択性に優れダメージの少ないエッチング技術が要求さ
れる。たとえば、半導体基板内に形成された不純物拡散
領域や、SRAMの抵抗負荷素子として用いられるPM
OSトランジスタのソース・ドレイン領域等にコンタク
トを形成しようとする場合等に、シリコン基板や多結晶
シリコン層を下地として行われるSiO2 層間絶縁膜の
エッチングがその例である。
Further, in a situation where the junction depth of the impurity diffusion region is shallow and various material layers are thin in order to increase the speed and miniaturization of the device, the selectivity to the underlayer is more excellent than before. Etching technology with less damage is required. For example, a PM used as an impurity diffusion region formed in a semiconductor substrate or a resistance load element of SRAM.
An example is etching of a SiO 2 interlayer insulating film, which is performed using a silicon substrate or a polycrystalline silicon layer as a base when a contact is to be formed in a source / drain region of an OS transistor.

【0004】さらに、対レジスト選択比の向上も重要な
課題である。これは、サブミクロン・デバイスでは、レ
ジストの後退によるわずかな寸法変換差の発生も許容さ
れなくなってきているからである。
Further, improvement of the resist selection ratio is also an important issue. This is because submicron devices have become unacceptable for producing slight dimensional conversion differences due to resist receding.

【0005】従来から酸化シリコン系材料層のエッチン
グは、強固なSi−O結合を切断するために、イオン性
を高めたモードで行われている。典型的なエッチング・
ガスは、CHF3 ,CF4 等であり、これらから生成す
るCFx + を主エッチング種としている。しかし、高速
エッチングを行うためには高イオン・エネルギーが必要
であり、エッチング反応が物理的なスパッタ反応に近く
なるため、高速性と選択性とが常に背反する問題であっ
た。
Conventionally, etching of a silicon oxide type material layer has been carried out in a mode in which ionicity is enhanced in order to break a strong Si—O bond. Typical etching
The gas is CHF 3 , CF 4, etc., and CF x + generated from them is the main etching species. However, high ion energy is required to perform high-speed etching, and the etching reaction is close to a physical sputtering reaction, so that high speed and selectivity are always contradictory to each other.

【0006】これら従来のエッチング・ガスに代わり、
本願出願人は先に特願平2−75828号明細書におい
て、炭素数2以上の飽和ないし不飽和の高次鎖状フルオ
ロカーボン系ガスを使用するシリコン化合物層のドライ
エッチング方法を提案している。これは、C2 6 ,C
3 8 ,C4 10,C4 8 等のフルオロカーボン系ガ
スを使用することによりCFx + を効率良く生成させ、
エッチングの高速化を図ったものである。ただし、高次
鎖状フルオロカーボン系ガスを単独で使用するのみでは
* の生成量も多くなり、対レジスト選択比および対シ
リコン下地選択比を十分に大きくとることができない。
たとえばC3 8 をエッチング・ガスとしてシリコン基
板上のSiO2 層をエッチングした場合、高速性は達成
されるものの、対レジスト選択比が1.3程度と低く、
エッチング耐性が不足する他、パターン・エッジの後退
により寸法変換差が発生してしまう。また、対シリコン
選択比も4.1程度であるので、オーバーエッチング耐
性にも問題が残る。
Instead of these conventional etching gases,
The applicant of the present application has previously proposed, in Japanese Patent Application No. 2-75828, a method for dry etching a silicon compound layer using a saturated or unsaturated high-order chain fluorocarbon-based gas having 2 or more carbon atoms. This is C 2 F 6 , C
CF x + is efficiently generated by using a fluorocarbon-based gas such as 3 F 8 , C 4 F 10 or C 4 F 8 ,
This is intended to speed up the etching. However, if only the high-order chain fluorocarbon-based gas is used alone, the amount of F * produced increases, and the selectivity ratio to resist and the selection ratio to silicon underlayer cannot be made sufficiently large.
For example, when the SiO 2 layer on the silicon substrate is etched using C 3 F 8 as an etching gas, high speed is achieved, but the selectivity ratio to resist is as low as 1.3.
In addition to lack of etching resistance, the pattern edge recedes, resulting in a dimensional conversion difference. Further, since the selection ratio to silicon is about 4.1, there remains a problem in overetching resistance.

【0007】そこで、これらの問題を解決するために上
記の先行技術では高次鎖状フルオロカーボン系ガス単独
によるエッチングは下地が露出する直前で停止し、シリ
コン化合物層の残余部をエッチングする際には炭素系ポ
リマーの堆積を促進するために上記化合物にさらにエチ
レン(C2 4 )等の炭化水素系ガスを添加するとい
う、2段階エッチングが行われている。これは、エッチ
ング反応系内にC原子を補給すると共に、プラズマ中に
生成するH* で過剰のF* を消費してHFに変化させ、
見掛け上のC/F比を高めることを目的としているので
ある。
Therefore, in order to solve these problems, in the above-mentioned prior art, the etching using only the high-order chain fluorocarbon-based gas is stopped immediately before the underlying layer is exposed, and when etching the remaining portion of the silicon compound layer, Two-step etching is performed in which a hydrocarbon-based gas such as ethylene (C 2 H 4 ) is further added to the above compound in order to promote the deposition of the carbon-based polymer. This is to supply C atoms into the etching reaction system and consume excess F * by H * generated in plasma to change it to HF.
The purpose is to increase the apparent C / F ratio.

【0008】しかしながら、半導体装置のデザイン・ル
ールが高度に微細化されている現状では、既にエッチン
グ・マスクとの寸法変換差がほとんど許容できなくなり
つつあり、上述のような2段階エッチングを行うにして
も、1段目のエッチングにおける選択比をさらに向上さ
せることが必要となる。また、今後より一層微細化が進
行するに伴い、炭素系ポリマーによるパーティクル汚染
の影響が深刻化することも考えられるので、2段目のエ
ッチングにおける炭化水素系ガス等の堆積性ガスの使用
量もできるだけ低減させたいところである。
However, under the present circumstances where the design rules of semiconductor devices are highly miniaturized, the difference in dimensional conversion from the etching mask is almost unacceptable, and the two-step etching as described above is performed. Also, it is necessary to further improve the selection ratio in the first etching. Further, as further miniaturization progresses in the future, the influence of particle contamination by the carbon-based polymer may become more serious. Therefore, the amount of the deposition gas such as hydrocarbon-based gas used in the second-stage etching may also be increased. I would like to reduce it as much as possible.

【0009】かかる観点から、本発明者は先に特願平2
−295225号明細書において、被処理基板の温度を
50℃以下に制御した状態で、分子内に少なくとも1個
の不飽和結合を有する鎖状不飽和フルオロカーボン系ガ
スを用いてシリコン化合物層をエッチングする技術を提
案している。上記鎖状不飽和フルオロカーボン系ガスと
は、たとえばオクタフルオロブテン(C4 8 )やヘキ
サフルオロプロペン(C3 6 )等である。これらのガ
スは、放電解離により理論上は1分子から2個以上のC
x + を生成するので、SiO2 を高速にエッチングす
ることができる。また、分子内に不飽和結合を有するこ
とから解離により高活性なラジカルを生成させ易く、フ
ルオロカーボン系ポリマーの重合が促進される。しか
も、被処理基板の温度が50℃以下に制御されているこ
とにより、上記フルオロカーボン系ポリマーの堆積が促
進される。
From this point of view, the present inventor has previously proposed Japanese Patent Application No. 2
No. 295225, the silicon compound layer is etched using a chain unsaturated fluorocarbon-based gas having at least one unsaturated bond in the molecule while the temperature of the substrate to be processed is controlled at 50 ° C. or lower. Proposing technology. The chain unsaturated fluorocarbon-based gas is, for example, octafluorobutene (C 4 F 8 ) or hexafluoropropene (C 3 F 6 ). These gases are theoretically one molecule to two or more carbon atoms due to discharge dissociation.
Since F x + is generated, SiO 2 can be etched at high speed. Further, since it has an unsaturated bond in the molecule, it is easy to generate a highly active radical by dissociation, and the polymerization of the fluorocarbon polymer is accelerated. Moreover, since the temperature of the substrate to be processed is controlled to 50 ° C. or lower, the deposition of the fluorocarbon polymer is promoted.

【0010】この技術により、堆積性ガスを用いること
なく対レジスト選択性および対シリコン下地選択性を従
来よりも向上させることができた。
By this technique, the selectivity with respect to resist and the selectivity with respect to silicon underlayer could be improved as compared with the conventional case without using a deposition gas.

【0011】[0011]

【発明が解決しようとする課題】上述のように、本願出
願人が先に提案した鎖状不飽和フルオロカーボン系ガス
を使用するドライエッチング方法は、従来の技術に比べ
れば極めて大きなメリットが得られるものであった。し
かし、このメリットは主に対シリコン選択比の向上にも
とづくものであり、対レジスト選択比に関しては2.5
程度にとどまっている。近年のようにデバイス構造が三
次元化し、ウェハの表面段差が増大してくると、長時間
に及ぶオーバーエッチングが必要となり、レジストの膜
厚の減少やパターン・エッジの後退が大きな問題とな
る。上述の対レジスト選択比の値は、将来のULSIデ
バイスの作製に対応するに十分な値とは言えない。
As described above, the dry etching method using the chain unsaturated fluorocarbon-based gas previously proposed by the applicant of the present application has an extremely great advantage as compared with the conventional technique. Met. However, this merit is mainly due to the improvement of the selection ratio to silicon, and the selection ratio to the resist is 2.5.
It remains in the degree. As the device structure becomes three-dimensional and the surface level difference of the wafer increases as in recent years, over-etching for a long time becomes necessary, and the reduction of the resist film thickness and the receding of the pattern edge become major problems. The above-mentioned resist selectivity ratio value cannot be said to be a sufficient value for the future fabrication of ULSI devices.

【0012】そこで本発明は、高速性を維持しつつも、
従来に優る高選択性、特に高レジスト選択性を達成でき
るドライエッチング方法を提供することを目的とする。
Therefore, the present invention maintains high speed,
It is an object of the present invention to provide a dry etching method capable of achieving high selectivity, particularly high resist selectivity, superior to conventional ones.

【0013】[0013]

【課題を解決するための手段】本発明のドライエッチン
グ方法は、上述の目的を達成するために提案されるもの
である。すなわち、本願の第1の発明にかかるドライエ
ッチング方法は、被エッチング基板の温度を室温以下に
制御し、一般式Cx z (ただしx,zは原子数を示す
自然数であり、x≧2,z≦2x+2の条件を満足す
る。)で表されるフルオロカーボン化合物と臭素系化合
物とを含むエッチング・ガスを用いて酸化シリコン系材
料層をエッチングすることを特徴とする。
The dry etching method of the present invention is proposed to achieve the above-mentioned object. That is, in the dry etching method according to the first invention of the present application, the temperature of the substrate to be etched is controlled to be room temperature or lower, and the general formula C x F z (where x and z are natural numbers indicating the number of atoms, and x ≧ 2 is satisfied). , Z ≦ 2x + 2 is satisfied.), The silicon oxide based material layer is etched by using an etching gas containing a fluorocarbon compound and a bromine based compound.

【0014】本願の第2の発明にかかるドライエッチン
グ方法は、被エッチング基板の温度を室温以下に制御
し、一般式Cx Bry z (ただしx,y,zは原子数
を示す自然数であり、x≧2,y+z=2x+2の条件
を満足する。)で表されるブロモフルオロカーボン化合
物を含むエッチング・ガスを用いて酸化シリコン系材料
層をエッチングすることを特徴とする。
In the dry etching method according to the second invention of the present application, the temperature of the substrate to be etched is controlled to be room temperature or lower, and the general formula C x Br y F z (where x, y and z are natural numbers indicating the number of atoms). Yes, the condition of x ≧ 2, y + z = 2x + 2 is satisfied, and the silicon oxide based material layer is etched using an etching gas containing a bromofluorocarbon compound represented by

【0015】本願の第3の発明にかかるドライエッチン
グ方法は、被エッチング基板の温度を室温以下に制御
し、一般式Cx Bry z (ただしx,y,zは原子数
を示す自然数であり、x≧2,y+z≦2xの条件を満
足する。)で表されるブロモフルオロカーボン化合物を
含むエッチング・ガスを用いて酸化シリコン系材料層を
エッチングすることを特徴とする。
In the dry etching method according to the third invention of the present application, the temperature of the substrate to be etched is controlled to be room temperature or lower, and the general formula C x Br y F z (where x, y, z are natural numbers indicating the number of atoms). Yes, the condition of x ≧ 2, y + z ≦ 2x is satisfied), and the silicon oxide-based material layer is etched by using an etching gas containing a bromofluorocarbon compound.

【0016】さらに、本願の第4の発明にかかるドライ
エッチング方法は、前記第1の発明ないし第3の発明の
いずれかひとつにもとづいて酸化シリコン系材料層を実
質的にその層厚分だけエッチングした後、被エッチング
基板を50℃以上に加熱しながら、酸素系化合物とフッ
素系化合物とを含むエッチング・ガスを用いてオーバー
エッチングを行うことを特徴とする。
Further, the dry etching method according to the fourth invention of the present application is based on any one of the first to third inventions, in which the silicon oxide material layer is etched substantially by its layer thickness. After that, over-etching is performed using an etching gas containing an oxygen-based compound and a fluorine-based compound while heating the substrate to be etched to 50 ° C. or higher.

【0017】[0017]

【作用】本発明者は、SiO2 系材料層のエッチングに
おいて対レジスト選択性を向上させるために、蒸気圧の
低い反応生成物の堆積量を増加させることを考え、フル
オロカーボン化合物を主体とするエッチング反応系に臭
素を何らかの形で寄与させるとの着想を得た。つまり、
被エッチング基板(ウェハ)の温度を室温以下に制御
し、従来のフルオロカーボン系ポリマーに加えてCBr
x をレジスト・マスクの表面に堆積させるのである。
The present inventor considers that the deposition amount of the reaction product having a low vapor pressure is increased in order to improve the resist selectivity with respect to the etching of the SiO 2 type material layer, and the etching mainly containing the fluorocarbon compound is performed. The idea was to contribute bromine to the reaction system in some way. That is,
The temperature of the substrate to be etched (wafer) is controlled below room temperature, and CBr is added in addition to the conventional fluorocarbon polymer.
The x is deposited on the surface of the resist mask.

【0018】フルオロカーボン系化合物を主体とするエ
ッチング反応系に臭素が関与した場合のエッチング機構
を、図1に模式的に示す。この図は、単結晶シリコン基
板1上のSiO2 層間絶縁膜2が、レジスト・パターン
3をマスクとしてエッチングされている場合の途中状態
を示している。エッチングは、フルオロカーボン系化合
物から解離生成するCFx + やBr+ 等を主エッチング
種として進行し、この過程がフルオロカーボン系ポリマ
ーやCBrx の堆積過程と競合する。しかし、SiO2
層間絶縁膜2の表面では膜中から酸素が供給されるため
に、これらの反応生成物は直ちに分解し、該SiO2
間絶縁膜2は最終的にはSiFx ,COx 等の形で除去
される。
FIG. 1 schematically shows the etching mechanism when bromine participates in the etching reaction system mainly composed of fluorocarbon compounds. This figure shows an intermediate state when the SiO 2 interlayer insulating film 2 on the single crystal silicon substrate 1 is etched using the resist pattern 3 as a mask. The etching proceeds using CF x + , Br +, etc., which are dissociated and generated from the fluorocarbon compound, as the main etching species, and this process competes with the deposition process of the fluorocarbon polymer and CBr x . However, SiO 2
Since oxygen is supplied to the surface of the interlayer insulating film 2 from the film, these reaction products are immediately decomposed, and the SiO 2 interlayer insulating film 2 is finally removed in the form of SiF x , CO x or the like. To be done.

【0019】一方、レジスト・パターン3の表面では酸
素が供給されず、また自身がスパッタされて炭素供給源
となるため、フルオロカーボン系ポリマーやCBrx
堆積し、入射イオンやF* ,Br* 等のラジカルの攻撃
から該レジスト・パターン3を保護する。このようにし
て、高い対レジスト選択性が達成される。もちろん本発
明では、単結晶シリコン基板1に対しても高選択性が達
成される。すなわち、SiO2 層間絶縁膜2のエッチン
グが終了して下地の単結晶シリコン基板1が露出する
と、被エッチング領域からはもはや酸素が供給されなく
なるので、レジスト・パターン3の表面と同様に上記の
反応生成物が堆積するからである。また、条件によって
はエッチング反応生成物であるSiBrx の一部も堆積
し、対シリコン選択性の向上に寄与する。
On the other hand, since oxygen is not supplied to the surface of the resist pattern 3 and itself is sputtered to serve as a carbon supply source, fluorocarbon polymer or CBr x is deposited and incident ions, F * , Br *, etc. are deposited. Protects the resist pattern 3 from the radical attack of In this way a high resist selectivity is achieved. Of course, in the present invention, high selectivity is achieved even for the single crystal silicon substrate 1. That is, when the etching of the SiO 2 interlayer insulating film 2 is completed and the underlying single-crystal silicon substrate 1 is exposed, oxygen is no longer supplied from the region to be etched. This is because the product is deposited. Further, depending on the conditions, a part of SiBr x , which is an etching reaction product, is also deposited, which contributes to the improvement of the selectivity with respect to silicon.

【0020】以上が、本願の4発明に共通する選択性向
上のメカニズムである。本願の第1の発明では、一般式
x z で表されるフルオロカーボン化合物と臭素系化
合物とを含むエッチング・ガスを用いる。上記Cx z
は、C原子数xが2以上であることから、いわゆる高次
フルオロカーボン化合物である。F原子数zは(2x+
2)以下であることから、飽和,不飽和を問わず、また
炭素骨格構造も直鎖状,分枝状,環状の別を問うもので
はない。上記高次フルオロカーボン化合物の1分子から
は、理論上は2個以上のCFx + が生成し得る。したが
って、同じガス圧下ではCF3 H,CF2 2 といった
従来公知のガスを使用した場合と比べてプラズマ中にお
けるCFx + の絶対量が多くなり、高速エッチングが可
能となる。このようなイオン・モードによるエッチング
では、ウェハが低温冷却された場合でもほとんどエッチ
ング速度の低下を来すことはない。
The above is the mechanism for improving the selectivity common to the four inventions of the present application. In the first invention of the present application, an etching gas containing a fluorocarbon compound represented by the general formula C x F z and a bromine compound is used. C x F z above
Is a so-called higher-order fluorocarbon compound because the number of C atoms x is 2 or more. The number of F atoms z is (2x +
Since it is 2) or less, it does not matter whether it is saturated or unsaturated, and whether the carbon skeleton structure is linear, branched or cyclic. Theoretically, two or more CF x + can be produced from one molecule of the higher order fluorocarbon compound. Therefore, under the same gas pressure, the absolute amount of CF x + in the plasma is larger than that in the case where a conventionally known gas such as CF 3 H or CF 2 H 2 is used, and high-speed etching becomes possible. In such an ion mode etching, the etching rate hardly decreases even when the wafer is cooled at a low temperature.

【0021】本発明では、かかる高速エッチングに臭素
系化合物の添加による上述の選択性の向上が加わり、極
めて実用性の高いSiO2 系材料層のエッチングが可能
となる。
According to the present invention, the above-mentioned selectivity is improved by adding a bromine compound to the high-speed etching, and it is possible to etch a SiO 2 material layer having an extremely high practicality.

【0022】本願の第2の発明では、一般式Cx Bry
z で表されるブロモフルオロカーボン化合物を含むエ
ッチング・ガスを用いる。上記Cx Bry z は、y+
z=2x+2であることから、鎖状飽和フルオロカーボ
ン化合物のF原子の少なくとも1つがBr原子に置換さ
れた化合物に相当する。つまり、第1の発明と同様の効
果を、単一組成のエッチング・ガスにより実現しようと
するものである。これにより、エッチング・プロセスの
制御性および安定性を向上させることができる。
In the second invention of the present application, the general formula C x Br y
An etching gas containing a bromofluorocarbon compound represented by F z is used. The above C x Br y F z is y +
Since z = 2x + 2, the chain saturated fluorocarbon compound corresponds to a compound in which at least one of F atoms is substituted with Br atom. That is, the same effect as that of the first aspect of the invention is to be realized by an etching gas having a single composition. This can improve the controllability and stability of the etching process.

【0023】本願の第3の発明では、やはり一般式Cx
Bry z で表されるブロモフルオロカーボン化合物を
含むエッチング・ガスを用いる。しかし、上記Cx Br
y z は、y+z≦2xであることから、分子内に少な
くとも1つ以上の不飽和結合を有する鎖状フルオロカー
ボン化合物か、飽和もしくは不飽和の環状フルオロカー
ボン化合物(ただし、x≧3)のF原子の少なくとも1
つがBr原子に置換された化合物に相当する。この場合
のブロモフルオロカーボン化合物は、自身の炭素骨格構
造に起因して高いC/F比(分子中のC原子数とF原子
数の比)を有しているので、飽和鎖状化合物を使用した
場合と比べてエッチング反応系が炭素に富んだ雰囲気と
なり、フルオロカーボン系ポリマーやCBrx の堆積に
有利となる。特に、上記ブロモフルオロカーボン化合物
が不飽和化合物である場合には、放電解離条件下でモノ
ラジカル、あるいは場合によりカルベン等の高活性なビ
ラジカル(二端遊離基)が生成し、これらが不飽和結合
中のπ電子系を攻撃することで重合反応が促進される。
したがって、選択性をさらに向上させることが可能とな
る。
In the third invention of the present application, the general formula C x is also used.
An etching gas containing a bromofluorocarbon compound represented by Br y F z is used. However, the above C x Br
Since y F z is y + z ≦ 2x, a chain fluorocarbon compound having at least one unsaturated bond in the molecule or an F atom of a saturated or unsaturated cyclic fluorocarbon compound (provided that x ≧ 3). At least one of
One corresponds to a compound in which a Br atom is substituted. Since the bromofluorocarbon compound in this case has a high C / F ratio (ratio of the number of C atoms and the number of F atoms in the molecule) due to its carbon skeleton structure, a saturated chain compound was used. Compared with the case, the etching reaction system becomes an atmosphere rich in carbon, which is advantageous for deposition of fluorocarbon polymer and CBr x . In particular, when the above bromofluorocarbon compound is an unsaturated compound, a monoradical or a highly active biradical (a diradical free radical) such as carbene is produced under discharge dissociation conditions, and these are formed in an unsaturated bond. The polymerization reaction is promoted by attacking the π electron system of.
Therefore, the selectivity can be further improved.

【0024】ところで、上述の第1〜第3の発明により
蒸気圧の低い反応生成物の堆積は促進されるが、これに
よりパーティクル・レベルが悪化したのではかえって逆
効果である。そこで本願の第4の発明では、第1〜第3
の発明のいずれかの方法によりSiO2 系材料層をジャ
スト・エッチング状態までエッチングした後、ウェハを
50℃以上に加熱しながら、酸素系化合物とフッ素系化
合物とを含むエッチング・ガスを用いてオーバーエッチ
ングを行う。これにより、レジスト・マスクや下地のシ
リコン系材料層の表面に堆積したフルオロカーボン系ポ
リマー,CBrx 等は、COx ,CFx ,CBrx y
等の蒸気圧の高い反応生成物に変化し、これにウェハ加
熱の効果が加わって容易に揮発除去される。したがっ
て、従来プロセスに比べて何らパーティクル汚染が増大
する虞れはない。また、これらの反応生成物の除去と同
時に、下地のシリコン系材料層の表面のエッチング・ダ
メージ層も、F* により除去される。
By the way, although the deposition of the reaction product having a low vapor pressure is promoted by the above-mentioned first to third inventions, the particle level is deteriorated by this, which is rather an adverse effect. Therefore, in the fourth invention of the present application, first to third
After etching the SiO 2 -based material layer to a just-etched state by any of the methods of the inventions described above, the wafer is heated to 50 ° C. or higher and over-etched by using an etching gas containing an oxygen-based compound and a fluorine-based compound. Etching is performed. As a result, the fluorocarbon-based polymer, CBr x, etc. deposited on the surface of the resist mask and the underlying silicon-based material layer are CO x , CF x , CBr x F y.
Is converted into a reaction product having a high vapor pressure, and the effect of wafer heating is added to the reaction product to be easily volatilized and removed. Therefore, there is no possibility that particle contamination will increase compared with the conventional process. Simultaneously with the removal of these reaction products, the etching damage layer on the surface of the underlying silicon-based material layer is also removed by F * .

【0025】[0025]

【実施例】以下、本発明の具体的な実施例について説明
する。
EXAMPLES Specific examples of the present invention will be described below.

【0026】実施例1 本実施例は、本願の第1の発明をコンタクト・ホール加
工に適用し、オクタフルオロプロパン(C3 8 )と四
臭化炭素CBr4 との混合ガスを用いてSiO2 層間絶
縁膜をエッチングした例である。このプロセスを、図2
を参照しながら説明する。
Example 1 In this example, the first invention of the present application was applied to the processing of contact holes, and SiO was produced by using a mixed gas of octafluoropropane (C 3 F 8 ) and carbon tetrabromide CBr 4. 2 This is an example of etching the interlayer insulating film. This process is shown in FIG.
Will be described with reference to.

【0027】本実施例においてサンプルとして使用した
被エッチング基板(ウェハ)は、図2(a)に示される
ように、予め不純物拡散領域12が形成された単結晶シ
リコン基板11上にSiO2 層間絶縁膜13が形成さ
れ、さらに該SiO2 層間絶縁膜13のエッチング・マ
スクとしてレジスト・パターン14が形成されてなるも
のである。上記レジスト・パターン14には、開口部1
4aが設けられている。
The substrate to be etched (wafer) used as a sample in this embodiment is, as shown in FIG. 2A, a SiO 2 interlayer insulating film formed on a single crystal silicon substrate 11 in which an impurity diffusion region 12 is formed in advance. A film 13 is formed, and a resist pattern 14 is further formed as an etching mask for the SiO 2 interlayer insulating film 13. The opening 1 is formed in the resist pattern 14.
4a is provided.

【0028】上記ウェハを、マグネトロンRIE(反応
性イオン・エッチング)装置のウェハ載置電極上にセッ
トした。ここで、上記ウェハ載置電極は冷却配管を内蔵
しており、装置外部に接続されるチラー等の冷却設備か
ら該冷却配管に冷媒を供給して循環させることにより、
エッチング中のウェハ温度を室温以下に制御することが
可能となされているものである。ここでは、冷媒として
エタノールを使用し、エッチング中のウェハ温度が−3
0℃に維持されるようにした。一例として、下記の条件
でSiO2 層間絶縁膜13のエッチングを行った。
The above wafer was set on the wafer mounting electrode of a magnetron RIE (reactive ion etching) apparatus. Here, the wafer mounting electrode has a built-in cooling pipe, by supplying a refrigerant to the cooling pipe from a cooling facility such as a chiller connected to the outside of the apparatus to circulate the cooling pipe,
It is possible to control the wafer temperature during etching to room temperature or lower. Here, ethanol is used as a coolant, and the wafer temperature during etching is -3.
It was maintained at 0 ° C. As an example, the SiO 2 interlayer insulating film 13 was etched under the following conditions.

【0029】 C3 8 流量 46SCCM CBr4 流量 4SCCM ガス圧 2.0Pa RFパワー密度 2.0W/cm2 (13.56M
Hz) 磁場強度 150Gauss このエッチング過程では、開口部14a内に露出するS
iO2 層間絶縁膜13の表面において、CFx + ,Br
+ 等のイオン・エネルギーにアシストされながら高速エ
ッチングが進行し、図2(b)に示されるように良好な
異方性形状を有するコンタクト・ホール15が形成され
た。このとき、レジスト・パターン14の表面において
は、フルオロカーボン系ポリマーやCBrx 等(いずれ
も図示せず。)の反応生成物が効率良く堆積したので、
レジスト・パターン14の膜厚の大幅な減少やパターン
・エッジの後退は認められなかった。また、下地の単結
晶シリコン基板11(正確には不純物拡散領域12)が
露出すると、その表面にも上述の反応生成物に加えてS
iBrx 等が堆積し、高い対シリコン選択性が得られ
た。
C 3 F 8 flow rate 46 SCCM CBr 4 flow rate 4 SCCM Gas pressure 2.0 Pa RF power density 2.0 W / cm 2 (13.56 M
Hz) Magnetic field strength 150 Gauss In this etching process, S exposed in the opening 14a is exposed.
On the surface of the iO 2 interlayer insulating film 13, CF x + , Br
High-speed etching progressed while being assisted by ion energy such as +, and a contact hole 15 having a good anisotropic shape was formed as shown in FIG. 2 (b). At this time, the reaction products of fluorocarbon polymer, CBr x, etc. (none of which are shown) were efficiently deposited on the surface of the resist pattern 14,
No significant decrease in the film thickness of the resist pattern 14 or receding of the pattern edge was observed. Further, when the underlying single crystal silicon substrate 11 (correctly, the impurity diffusion region 12) is exposed, S is added to the surface thereof in addition to the above reaction products.
iBr x, etc. were deposited and high selectivity to silicon was obtained.

【0030】本実施例における対レジスト選択比は約
3、対シリコン選択比は約15と良好であった。
In this example, the selection ratio to resist was about 3, and the selection ratio to silicon was about 15, which was good.

【0031】実施例2 本実施例は、本願の第2の発明をコンタクト・ホール加
工に適用し、ブロモペンタフルオロエタン(C2 BrF
5 )を用いてSiO2層間絶縁膜をエッチングした例で
ある。本実施例で使用したウェハは、図2(a)に示し
たものと同じである。このウェハをマグネトロンRIE
装置にセットし、一例として下記の条件でSiO2 層間
絶縁膜13のエッチングを行った。
Example 2 In this example, the second invention of the present application was applied to the processing of contact holes, and bromopentafluoroethane (C 2 BrF) was used.
5 ) is an example of etching the SiO 2 interlayer insulating film. The wafer used in this example is the same as that shown in FIG. This wafer is magnetron RIE
After being set in the apparatus, the SiO 2 interlayer insulating film 13 was etched under the following conditions as an example.

【0032】 C2 BrF5 流量 46SCCM ガス圧 2.0Pa RFパワー密度 2.0W/cm2 (13.56M
Hz) 磁場強度 150Gauss ウェハ温度 −30℃ 本実施例の場合、エッチング・ガスはC2 BrF5 の単
一組成であるが、SiO2 層間絶縁膜13のエッチング
および堆積物の形成に必要な化学種はすべてこの1種類
の分子から供給される。したがって、エッチング・プロ
セスの制御性および安定性が向上した。
C 2 BrF 5 flow rate 46 SCCM gas pressure 2.0 Pa RF power density 2.0 W / cm 2 (13.56 M
Hz) Magnetic field strength 150 Gauss Wafer temperature -30 ° C. In the case of the present embodiment, the etching gas is a single composition of C 2 BrF 5 , but the chemical species necessary for etching the SiO 2 interlayer insulating film 13 and forming a deposit. Are all supplied from this one type of molecule. Therefore, the controllability and stability of the etching process are improved.

【0033】本実施例における対レジスト選択比は約
4、対シリコン選択比は約20と良好であった。
In this example, the selection ratio to resist was about 4, and the selection ratio to silicon was about 20.

【0034】実施例3 本実施例は、本願の第3の発明をコンタクト・ホール加
工に適用し、ブロモトリフルオロエチレン(C2 BrF
3 )を用いてSiO2層間絶縁膜をエッチングした例で
ある。本実施例で使用したウェハも、図2(a)に示し
たものと同じである。このウェハをマグネトロンRIE
装置にセットし、一例として下記の条件でSiO2 層間
絶縁膜13のエッチングを行った。
Example 3 In this example, the third invention of the present application was applied to the processing of contact holes to obtain bromotrifluoroethylene (C 2 BrF).
3 ) is an example of etching the SiO 2 interlayer insulating film. The wafer used in this example is also the same as that shown in FIG. This wafer is magnetron RIE
After being set in the apparatus, the SiO 2 interlayer insulating film 13 was etched under the following conditions as an example.

【0035】 C2 BrF3 流量 46SCCM ガス圧 2.0Pa RFパワー密度 2.0W/cm2 (13.56M
Hz) 磁場強度 150Gauss ウェハ温度 5℃ 上記C2 BrF3 は分子内に二重結合を1個有してお
り、放電解離条件下で高活性なラジカルを生成して重合
によるフルオロカーボン系ポリマーの形成を促進した。
この結果、0℃以下に及ぶウェハ冷却を行わなくとも高
選択性を達成することができた。これにより、ウェハの
冷却、およびエッチング終了後の昇温に要する時間も短
縮することができ、スループットが向上した。
C 2 BrF 3 flow rate 46 SCCM gas pressure 2.0 Pa RF power density 2.0 W / cm 2 (13.56 M
Hz) Magnetic field strength 150 Gauss Wafer temperature 5 ° C. The above C 2 BrF 3 has one double bond in the molecule, and forms a highly active radical under discharge dissociation conditions to form a fluorocarbon polymer by polymerization. Promoted.
As a result, high selectivity could be achieved without cooling the wafer to 0 ° C. or less. As a result, the time required for cooling the wafer and for raising the temperature after etching can be shortened, and the throughput is improved.

【0036】本実施例における対レジスト選択比は約
4、対シリコン選択比は約20と良好であった。
In this example, the selection ratio to resist was about 4, and the selection ratio to silicon was about 20.

【0037】実施例4 本実施例は、本願の第3の発明および第4の発明をコン
タクト・ホール加工に適用し、C3 8 とジブロモジフ
ルオロエチレン(C2Br2 2 )との混合ガスを用い
てSiO2 層間絶縁膜をジャスト・エッチング状態まで
エッチングした後、SF6 /O2 混合ガスを用いてオー
バーエッチングを行った例である。
Example 4 In this example, the third and fourth inventions of the present application are applied to the processing of contact holes, and C 3 F 8 and dibromodifluoroethylene (C 2 Br 2 F 2 ) are mixed. This is an example in which the SiO 2 interlayer insulating film is etched to a just-etched state using a gas and then overetched using a SF 6 / O 2 mixed gas.

【0038】まず、図2(a)に示されるウェハをマグ
ネトロンRIE装置にセットし、一例として下記の条件
でSiO2 層間絶縁膜13のエッチングを行った。C3
8 流量 45SCCM C2 Br2 2 流量 5SCCM ガス圧 2.0Pa RFパワー密度 2.0W/cm2 (13.56M
Hz) 磁場強度 150Gauss ウェハ温度 −30℃ このジャスト・エッチング工程では、C3 8 とC2
2 2 の双方からCFx + が解離生成することによ
り、極めて高速にエッチングが進行した。また、不飽和
化合物であるC2 Br2 2 から生成する高活性ラジカ
ルによりフルオロカーボン系ポリマーの重合が促進され
た。
First, the wafer shown in FIG. 2A was set in a magnetron RIE apparatus, and as an example, the SiO 2 interlayer insulating film 13 was etched under the following conditions. C 3
F 8 flow rate 45SCCM C 2 Br 2 F 2 flow rate 5SCCM Gas pressure 2.0Pa RF power density 2.0W / cm 2 (13.56M
Hz) Magnetic field strength 150 Gauss Wafer temperature -30 ° C. In this just etching process, C 3 F 8 and C 2 B are used.
Due to the dissociation and production of CF x + from both r 2 F 2 , etching proceeded at an extremely high speed. Further, the polymerization of the fluorocarbon polymer was promoted by the highly active radical generated from C 2 Br 2 F 2 which is an unsaturated compound.

【0039】次に、エッチング条件を一例として下記の
条件に切り換え、オーバーエッチングを行った。 SF6 35SCCM O2 流量 15SCCM ガス圧 2.0Pa RFパワー密度 0.5W/cm2 (13.56M
Hz) 磁場強度 150Gauss ウェハ温度 100℃ ここで、上記SF6 は効率の良いF* 供給源であり、ウ
ェハ上に堆積しているCBrx ,SiBrx 等の堆積物
中のBr原子をF原子に置換し、蒸気圧の高い化合物に
変換することができる。しかも、ウェハが加熱されてい
ることにより、これらの化合物の蒸気圧は一層高めら
れ、容易に揮発除去されるようになる。また、高い入射
イオン・エネルギーを用いて行われるSiO2 系材料層
のエッチングでは、下地のシリコン系材料層の表層部に
必然的にエッチング・ダメージ層が形成されるが、F*
はこのダメージ層を除去する役割も果たす。一方のO2
は、レジスト・アッシングに使用されることからも明ら
かなように、C原子の除去に優れた効果を発揮する。
Next, overetching was performed by switching the etching conditions to the following conditions as an example. SF 6 35SCCM O 2 flow rate 15SCCM gas pressure 2.0Pa RF power density 0.5W / cm 2 (13.56M
Hz) Magnetic field strength 150 Gauss Wafer temperature 100 ° C. Here, SF 6 is an efficient F * supply source, and Br atoms in the deposits such as CBr x and SiBr x deposited on the wafer are converted into F atoms. It can be converted to a compound having a high vapor pressure. Moreover, since the wafer is heated, the vapor pressure of these compounds is further increased, and the compounds are easily volatilized and removed. Further, in the etching of the SiO 2 -based material layer using high incident ion energy, an etching damage layer is inevitably formed in the surface layer portion of the underlying silicon-based material layer, but F *
Also plays a role of removing this damaged layer. One O 2
Exhibits an excellent effect of removing C atoms, as is clear from the fact that it is used for resist ashing.

【0040】つまり、このオーバーエッチング工程で
は、RFパワー密度を低下させて入射イオン・エネルギ
ーを低減させた条件下において、F* やO* の化学的な
作用によりフルオロカーボン系ポリマー,CBrx ,S
iBrx 等の堆積物が速やかに分解除去され、これと同
時に単結晶シリコン基板11に損傷を与えることなくエ
ッチング・ダメージ層も除去できるわけである。
That is, in this over-etching step, under the condition that the RF power density is reduced and the incident ion energy is reduced, the fluorocarbon polymer, CBr x , S is produced by the chemical action of F * and O *.
The deposit such as iBr x is rapidly decomposed and removed, and at the same time, the etching / damage layer can be removed without damaging the single crystal silicon substrate 11.

【0041】この結果、本実施例では低汚染化が徹底さ
れ、エッチング装置のクリーニングを行うためのメンテ
ナンスの頻度が減少し、デバイスの歩留りも向上した。
As a result, in this embodiment, the reduction of pollution was thoroughly implemented, the frequency of maintenance for cleaning the etching apparatus was reduced, and the device yield was improved.

【0042】なお、本実施例では低温プロセス(ジャス
ト・エッチング)と高温プロセス(オーバーエッチン
グ)とを連続して行うため、ウェハの冷却と昇温にある
程度の時間を要する。そこで、低温プロセス用チャンバ
と高温プロセス用チャンバとを高真空下に接続したマル
チ・チャンバ型のエッチング装置を使用することが、ス
ループットを向上させる上で有効である。あるいは、本
発明者が先に特願平2−301173号明細書において
提案しているように、冷却可能な固定電極に加熱可能な
可動電極を内蔵させたウェハ載置電極を備えたマグネト
ロンRIE装置を使用することも、極めて効果的であ
る。
In this embodiment, since the low temperature process (just etching) and the high temperature process (over etching) are continuously performed, it takes some time to cool and heat the wafer. Therefore, using a multi-chamber type etching apparatus in which the low temperature process chamber and the high temperature process chamber are connected under high vacuum is effective in improving the throughput. Alternatively, as previously proposed by the present inventor in Japanese Patent Application No. 2-301173, a magnetron RIE apparatus having a wafer mounting electrode in which a heatable movable electrode is built into a coolable fixed electrode. It is also very effective to use.

【0043】以上、本発明を4つの実施例にもとづいて
説明したが、本発明はこれらの実施例に何ら限定される
ものではない。たとえば、本願の第1の発明において使
用される臭素系化合物としては、上述のCBr4 の他、
HBr,Br2 ,BBr3 等を使用することができる。
また、たとえばエッチング速度の制御を目的としてエッ
チング・ガスにO2 等を添加したり、あるいはスパッタ
リング効果,希釈効果,冷却効果等を期待する意味でH
e,Ar等の希ガスを適宜添加しても良い。
Although the present invention has been described above based on the four embodiments, the present invention is not limited to these embodiments. For example, as the bromine-based compound used in the first invention of the present application, in addition to CBr 4 described above,
HBr, Br 2 , BBr 3 etc. can be used.
Also, for the purpose of controlling the etching rate, for example, adding O 2 or the like to the etching gas, or expecting a sputtering effect, a dilution effect, a cooling effect, etc.
A rare gas such as e or Ar may be appropriately added.

【0044】さらに、被エッチング材料層は上述のSi
2 に限られるものではなく、PSG,BSG,BPS
G,AsSG,AsPSG,AsBSG,SiN等であ
っても良い。
Further, the material layer to be etched is the above-mentioned Si.
Not limited to O 2 , PSG, BSG, BPS
It may be G, AsSG, AsPSG, AsBSG, SiN or the like.

【0045】[0045]

【発明の効果】以上の説明からも明らかなように、本発
明では高次フルオロカーボン化合物を基本とするエッチ
ング・ガス系に何らかの形で臭素を関与させることによ
り、フルオロカーボン系ポリマーに加えてCBrx の堆
積を可能とし、選択性、特に対レジスト選択性を向上さ
せることが可能となる。しかも、高次フルオロカーボン
化合物の特長である高速性は、従来どおり活かされる。
さらに、このエッチングの後にフッ素系化合物と酸素系
化合物を含むエッチング・ガスを用いてオーバーエッチ
ングを行えば、堆積物の除去も速やかに行われるため、
何らパーティクル汚染が増大することはなく、デバイス
の歩留りを向上させ、スループットを改善することがで
きる。したがって、高速性、高選択性、低汚染性のすべ
てに優れるSiO2 系材料層のドライエッチングが可能
となる。
As is apparent from the above description, in the present invention, by incorporating bromine in an etching gas system based on a higher order fluorocarbon compound in some form, in addition to the fluorocarbon polymer, CBr x It becomes possible to deposit, and it is possible to improve the selectivity, particularly the selectivity with respect to the resist. Moreover, the high-speed property, which is a feature of the higher order fluorocarbon compound, can be utilized as usual.
Furthermore, after this etching, if overetching is performed using an etching gas containing a fluorine-based compound and an oxygen-based compound, the deposits can be removed quickly,
The particle contamination does not increase at all, the device yield can be improved, and the throughput can be improved. Therefore, dry etching of the SiO 2 -based material layer, which is excellent in high speed, high selectivity, and low contamination, can be performed.

【0046】本発明は、微細なデザイン・ルールにもと
づいて設計され、高集積度と高性能を有する半導体装置
の製造に極めて好適である。
The present invention is extremely suitable for manufacturing a semiconductor device designed according to a fine design rule and having a high degree of integration and high performance.

【図面の簡単な説明】[Brief description of drawings]

【図1】本発明におけるSiO2 系材料層のエッチング
機構を説明するための模式的断面図である。
FIG. 1 is a schematic sectional view for explaining an etching mechanism of a SiO 2 type material layer in the present invention.

【図2】本発明をコンタクト・ホール加工に適用したプ
ロセス例をその工程順にしたがって示す概略断面図であ
り、(a)はSiO2 層間絶縁膜上にレジスト・パター
ンが形成された状態、(b)はコンタクト・ホールが開
口された状態をそれぞれ表す。
FIG. 2 is a schematic cross-sectional view showing a process example in which the present invention is applied to contact hole processing in the order of steps, (a) showing a state where a resist pattern is formed on a SiO 2 interlayer insulating film, (b) ) Indicates that the contact holes are opened.

【符号の説明】[Explanation of symbols]

1,11・・・単結晶シリコン基板 12 ・・・不純物拡散領域 2,13・・・SiO2 層間絶縁膜 3,14・・・レジスト・パターン 14a ・・・開口部 15 ・・・コンタクト・ホール1, 11 ... Single-crystal silicon substrate 12 ... Impurity diffusion region 2, 13 ... SiO 2 interlayer insulating film 3, 14 ... Resist pattern 14a ... Opening 15 ... Contact hole

Claims (4)

【特許請求の範囲】[Claims] 【請求項1】 被エッチング基板の温度を室温以下に制
御し、一般式Cx z (ただしx,zは原子数を示す自
然数であり、x≧2,z≦2x+2の条件を満足す
る。)で表されるフルオロカーボン化合物と臭素系化合
物とを含むエッチング・ガスを用いて酸化シリコン系材
料層をエッチングすることを特徴とするドライエッチン
グ方法。
1. The temperature of the substrate to be etched is controlled to be room temperature or lower, and the general formula C x F z (where x and z are natural numbers indicating the number of atoms and satisfy the conditions of x ≧ 2, z ≦ 2x + 2). ) A dry etching method characterized by etching a silicon oxide based material layer using an etching gas containing a fluorocarbon compound and a bromine based compound.
【請求項2】 被エッチング基板の温度を室温以下に制
御し、一般式Cx Bry z (ただしx,y,zは原子
数を示す自然数であり、x≧2,y+z=2x+2の条
件を満足する。)で表されるブロモフルオロカーボン化
合物を含むエッチング・ガスを用いて酸化シリコン系材
料層をエッチングすることを特徴とするドライエッチン
グ方法。
2. The temperature of the substrate to be etched is controlled to be room temperature or lower, and the general formula C x Br y F z (where x, y and z are natural numbers indicating the number of atoms, and x ≧ 2, y + z = 2x + 2 are satisfied). The dry etching method is characterized in that the silicon oxide based material layer is etched using an etching gas containing a bromofluorocarbon compound represented by
【請求項3】 被エッチング基板の温度を室温以下に制
御し、一般式Cx Bry z (ただしx,y,zは原子
数を示す自然数であり、x≧2,y+z≦2xの条件を
満足する。)で表されるブロモフルオロカーボン化合物
を含むエッチング・ガスを用いて酸化シリコン系材料層
をエッチングすることを特徴とするドライエッチング方
法。
3. The condition of the general formula C x Br y F z (where x, y, and z are natural numbers indicating the number of atoms, and x ≧ 2, y + z ≦ 2x is controlled by controlling the temperature of the substrate to be etched below room temperature. The dry etching method is characterized in that the silicon oxide based material layer is etched using an etching gas containing a bromofluorocarbon compound represented by
【請求項4】 請求項1ないし請求項3のいずれか1項
記載のドライエッチング方法において、酸化シリコン系
材料層を実質的にその層厚分だけエッチングした後、被
エッチング基板を50℃以上に加熱しながら、酸素系化
合物とフッ素系化合物とを含むエッチング・ガスを用い
てオーバーエッチングを行うことを特徴とするドライエ
ッチング方法。
4. The dry etching method according to claim 1, wherein the silicon oxide based material layer is etched substantially by the thickness thereof, and then the substrate to be etched is heated to 50 ° C. or higher. A dry etching method characterized by performing overetching using an etching gas containing an oxygen compound and a fluorine compound while heating.
JP28037491A 1991-10-02 1991-10-02 Dry etching method Expired - Lifetime JP3160961B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP28037491A JP3160961B2 (en) 1991-10-02 1991-10-02 Dry etching method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP28037491A JP3160961B2 (en) 1991-10-02 1991-10-02 Dry etching method

Publications (2)

Publication Number Publication Date
JPH05152255A true JPH05152255A (en) 1993-06-18
JP3160961B2 JP3160961B2 (en) 2001-04-25

Family

ID=17624127

Family Applications (1)

Application Number Title Priority Date Filing Date
JP28037491A Expired - Lifetime JP3160961B2 (en) 1991-10-02 1991-10-02 Dry etching method

Country Status (1)

Country Link
JP (1) JP3160961B2 (en)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008252139A (en) * 2008-07-14 2008-10-16 Philtech Inc Dry etching method for interlayer insulating film
JP2012028431A (en) * 2010-07-21 2012-02-09 Toshiba Corp Method of manufacturing semiconductor device
WO2012124726A1 (en) * 2011-03-17 2012-09-20 日本ゼオン株式会社 Etching gas and etching method
US8536061B2 (en) 2010-08-05 2013-09-17 Kabushiki Kaisha Toshiba Semiconductor device manufacturing method
JP2015119099A (en) * 2013-12-19 2015-06-25 東京エレクトロン株式会社 Method for manufacturing semiconductor device
JP2018120925A (en) * 2017-01-24 2018-08-02 東京エレクトロン株式会社 Method for processing workpiece
WO2018225661A1 (en) * 2017-06-08 2018-12-13 昭和電工株式会社 Etching method
WO2019087850A1 (en) 2017-11-02 2019-05-09 昭和電工株式会社 Etching method and semiconductor manufacturing method
WO2023100476A1 (en) * 2021-12-02 2023-06-08 株式会社レゾナック Method for forming deposition film
CN116721915A (en) * 2023-08-04 2023-09-08 无锡邑文电子科技有限公司 SiC etching method and equipment

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6098005B2 (en) * 2012-07-17 2017-03-22 株式会社アンノオフィス LED ion bulb manufacturing method

Cited By (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008252139A (en) * 2008-07-14 2008-10-16 Philtech Inc Dry etching method for interlayer insulating film
US8513134B2 (en) 2010-07-21 2013-08-20 Kabushiki Kaisha Toshiba Semiconductor device producing method
JP2012028431A (en) * 2010-07-21 2012-02-09 Toshiba Corp Method of manufacturing semiconductor device
US8536061B2 (en) 2010-08-05 2013-09-17 Kabushiki Kaisha Toshiba Semiconductor device manufacturing method
KR20140016905A (en) * 2011-03-17 2014-02-10 제온 코포레이션 Etching gas and etching method
JP5850043B2 (en) * 2011-03-17 2016-02-03 日本ゼオン株式会社 Etching gas and etching method
US9368363B2 (en) 2011-03-17 2016-06-14 Zeon Corporation Etching gas and etching method
KR101877827B1 (en) * 2011-03-17 2018-07-12 제온 코포레이션 Etching gas and etching method
WO2012124726A1 (en) * 2011-03-17 2012-09-20 日本ゼオン株式会社 Etching gas and etching method
JP2015119099A (en) * 2013-12-19 2015-06-25 東京エレクトロン株式会社 Method for manufacturing semiconductor device
JP2018120925A (en) * 2017-01-24 2018-08-02 東京エレクトロン株式会社 Method for processing workpiece
TWI753085B (en) * 2017-01-24 2022-01-21 日商東京威力科創股份有限公司 Method of processing object to be processed
US11164751B2 (en) 2017-06-08 2021-11-02 Showa Denko K.K. Etching method
WO2018225661A1 (en) * 2017-06-08 2018-12-13 昭和電工株式会社 Etching method
KR20190130004A (en) * 2017-06-08 2019-11-20 쇼와 덴코 가부시키가이샤 Etching method
JPWO2018225661A1 (en) * 2017-06-08 2020-04-09 昭和電工株式会社 Etching method
WO2019087850A1 (en) 2017-11-02 2019-05-09 昭和電工株式会社 Etching method and semiconductor manufacturing method
JPWO2019087850A1 (en) * 2017-11-02 2020-09-24 昭和電工株式会社 Etching method and semiconductor manufacturing method
EP3706158A4 (en) * 2017-11-02 2021-01-06 Showa Denko K.K. Etching method and semiconductor manufacturing method
US11114305B2 (en) 2017-11-02 2021-09-07 Showa Denko K.K. Etching method and semiconductor manufacturing method
CN111213224A (en) * 2017-11-02 2020-05-29 昭和电工株式会社 Etching method and semiconductor manufacturing method
KR20200044974A (en) * 2017-11-02 2020-04-29 쇼와 덴코 가부시키가이샤 Etching method and semiconductor manufacturing method
CN111213224B (en) * 2017-11-02 2023-12-19 株式会社力森诺科 Etching method and semiconductor manufacturing method
WO2023100476A1 (en) * 2021-12-02 2023-06-08 株式会社レゾナック Method for forming deposition film
CN116721915A (en) * 2023-08-04 2023-09-08 无锡邑文电子科技有限公司 SiC etching method and equipment
CN116721915B (en) * 2023-08-04 2023-10-03 无锡邑文电子科技有限公司 SiC etching method and equipment

Also Published As

Publication number Publication date
JP3160961B2 (en) 2001-04-25

Similar Documents

Publication Publication Date Title
KR100252471B1 (en) Dry etching method
US5445712A (en) Dry etching method
KR100255405B1 (en) Dry etching method
JPH0927483A (en) Method of etching oxide layer
JPH10256232A (en) Manufacture of semiconductor device
JP3154128B2 (en) Dry etching method
JPH05152255A (en) Dryetching method
JPH06177092A (en) Manufacture of semiconductor device
JPH0629311A (en) Manufacture of semiconductor device
KR100299958B1 (en) Dry etching method
JP3198538B2 (en) Dry etching method
JP2687787B2 (en) Dry etching method
JP3440735B2 (en) Dry etching method
JP3094470B2 (en) Dry etching method
JPH06163476A (en) Dry etching method
JP3298205B2 (en) Dry etching method
JPH04170026A (en) Dry etching
JP3208596B2 (en) Dry etching method
JP3301157B2 (en) Dry etching method
JPH06283477A (en) Method of manufacturing semiconductor device
JP3116421B2 (en) Dry etching method
JP2687769B2 (en) Dry etching method
JPH0817804A (en) Plasma etching method
JP3297939B2 (en) Dry etching method
JP2000150465A (en) Dry etching method

Legal Events

Date Code Title Description
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20010123

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080223

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090223

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100223

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100223

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110223

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120223

Year of fee payment: 11

EXPY Cancellation because of completion of term
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120223

Year of fee payment: 11