JPH04250650A - 完全に凹設した分離絶縁体を有する集積回路の平坦化 - Google Patents

完全に凹設した分離絶縁体を有する集積回路の平坦化

Info

Publication number
JPH04250650A
JPH04250650A JP3238567A JP23856791A JPH04250650A JP H04250650 A JPH04250650 A JP H04250650A JP 3238567 A JP3238567 A JP 3238567A JP 23856791 A JP23856791 A JP 23856791A JP H04250650 A JPH04250650 A JP H04250650A
Authority
JP
Japan
Prior art keywords
layer
stop layer
integrated circuit
well
semiconductor wafer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP3238567A
Other languages
English (en)
Inventor
John M Pierce
ジョン エム. ピアース
Sung T Ahn
サン タ アン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
National Semiconductor Corp
Original Assignee
National Semiconductor Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by National Semiconductor Corp filed Critical National Semiconductor Corp
Publication of JPH04250650A publication Critical patent/JPH04250650A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76229Concurrent filling of a plurality of trenches having a different trench shape or dimension, e.g. rectangular and V-shaped trenches, wide and narrow trenches, shallow and deep trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/959Mechanical polishing of wafer

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Element Separation (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)

Abstract

(57)【要約】本公報は電子出願前の出願データであるた
め要約のデータは記録されません。

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は、半導体装置のトランジ
スタ間の分離を与える技術に関するものであって、更に
詳細には、半導体装置が平坦化されるこのような分離を
有する半導体装置を製造するプロセス乃至は方法に関す
るものである。
【0002】
【従来の技術】1つ又はそれ以上の回路要素を形成する
ことが可能な複数個の半導体物質からなるポケットを電
気的に分離するために種々の方法が提案されている。例
えば、これらのポケットは、シリコンウエハのある領域
内に酸化物を成長させることによって分離させることが
可能である。この技術は局所酸化と呼ばれるものである
。この局所酸化では、平坦でない表面が発生する。なぜ
ならば、シリコンの表面上にシリコン酸化物が成長され
る場合、その成長する酸化物の厚さは、酸化されたシリ
コンの厚さの約2倍となるからである。酸化を行なう前
にシリコン表面がエッチングされない場合には、所謂半
凹設型酸化物が発生する。酸化を行なう前にシリコン表
面をエッチングする場合には、所謂完全に凹設した分離
が得られる。半凹設型酸化物及び完全凹設型酸化物は、
両方とも、分離領域の横方向エンクローチメント(侵入
)乃至は成長の問題を有している。これは、「バードビ
ーク」と呼ばれるものであり、それは、成長される酸化
物とシリコンとの間の境界において発生する傾向がある
。それは、酸化を起こす物質の横方向拡散によって、マ
スクの下側に成長する酸化物の延長部によって発生する
【0003】半凹設型酸化物が元のシリコン表面よりも
高いレベルへ成長すると、平坦でない表面が発生する。 完全凹設型酸化物は、大きな面積においては元の表面と
同一面であるが、端部においては局所的な***部即ち「
バードビーク」が存在している。リボン形成を回避する
ためにはこれらのものを平坦化させねばならない。MO
S技術においては通常半凹設型分離が使用され、一方バ
イポーラ回路においては平坦化した完全凹設型技術が使
用される。
【0004】エンクローチメントは、ウエハ上に装置を
形成するために使用可能な面積を減少させる。エンクロ
ーチメントを回避するために、シリコン表面内に浅い溝
をエッチング形成し、ウエハの表面上にCVDによって
酸化物を付着形成し、次いでフォトリソグラフィ及び反
応性イオンエッチングの組合わせによって表面を平坦化
する各ステップを包含する分離方法が提案されている。 回路要素間の電気的分離を与えるためにその他の多数の
方法も提案されている。例えば、1964年1月7日に
発行された米国特許第3,117,260号(Noyc
e)に開示されているバイアス型PN接合、1964年
9月22日に発行された米国特許(Noyce)に開示
されているPN接合と真性及び外因性半導体物質のゾー
ンの組合わせ、1968年7月2日に発行された米国特
許第3,391,023号(Frescura)に記載
されている絶縁分離、及び1970年1月13日に発行
された米国特許第3,489,961号(Frescu
ra)に記載されているメサエッチング等がある。19
69年7月29日に出願された米国特許出願第845,
822号(Tucker  et  al.)は、回路
要素を形成することが可能な単結晶シリコンからなる島
状部を分離するために選択的にドープした多結晶シリコ
ンを使用することを開示している。
【0005】半導体物質からなる電気的に分離したポケ
ットを用意した後に、活性(能動)及び受動回路要素を
ポケット内又はその上に形成する。これらの回路要素の
多くは、典型的に、米国特許第3,025,589号及
び第3,064,167号(Hoerni)に記載され
ているプレイナ拡散技術を使用して形成する。プレイナ
プロセスにおいては、回路要素が拡散形成される各半導
体ポケットの領域が、半導体物質の表面上に形成される
絶縁層からの拡散マスクを形成することによって制御さ
れる。半導体物質内に所望の要素を形成した後に、絶縁
層上に導電性リードパターンを形成し、活性及び受動回
路要素を選択的に相互接続して所望の回路に形成するた
めに使用される。付加的な受動回路要素も絶縁層上に形
成し且つ回路内に相互接続させることも可能である。こ
のような構成は、1961年4月25日に発行された米
国特許第2,981,877号(Noyce)に記載さ
れている。平坦化を改善し且つ成長酸化物技術でのエン
クローチメントを減少させる別の方法は、マスキング層
内の物質を変化させ且つ酸化膜と窒化膜との間にポリシ
リコン層を挿入させることである。
【0006】集積回路の製造において、幾つかの問題が
発生する。第一に、半導体物質からなる隣接したポケッ
ト間の分離領域の配置のために必要とされるウエハの面
積は、全体的なウエハ面積のかなりの部分である。分離
面積が大きいと、ウエハ内に配置することが可能な装置
の数を減少させ、従ってウエハ内に形成する回路要素の
集積度を低下させる。第二に、ウエハ表面上の絶縁層上
及びそれに接着して形成されたリードは、しばしば、ウ
エハ表面上の絶縁層における段差部分において亀裂を発
生することがある。これらの段差部はしばしば極めて急
峻なものである。絶縁層における段差においての相互接
続リードに亀裂が発生することを回避するために、19
68年10月8日に発行された米国特許第3,404,
451号(J.  S.  Sa)は、処理期間中にウ
エハ表面からこの絶縁層の一部を除去することを開示し
ている。更に、コンタクト窓における絶縁層の端部に傾
斜部を形成することも提案されている。別のアプローチ
は、PN接合が形成される領域に隣接して半導体ウエハ
内に溝をエッチング形成し且つ該溝によって露出された
物質を熱酸化させることである。第三に、分離技術の幾
つかにおいては、集積回路内に著しい容量を導入するこ
ととなる。低周波数において、これらの容量は回路の動
作に影響を与えるものではない。しかしながら、高周波
数において、これらの容量は回路性能に顕著な影響を与
える場合がある。
【0007】リソグラフィ制限型サブミクロン装置及び
分離寸法を実現するためにRIE、CVD酸化物充填及
び平坦化を使用する浅い溝分離技術は、B.  Dav
ari著「サブミクロンCMOS用拡散側壁ドーピング
での可変寸法浅い溝分離(STI)技術(A  Var
iable−Size  Shallow  Tren
chIsolation  (STI)  Techn
ology  (withDefused  Side
wall  Doping  for  Submic
ron  CMOS)」、IEEE出版番号CH252
8−8/88/0000−0092、プロシーディング
ズ1988IEDMコンフェレンス、サンフランシスコ
、カリフォルニアの文献に記載されている。この方法に
おいては、平坦化を達成するために、ボロン拡散による
側壁のパッシベーションの後に溝をCVD酸化物で充填
している。次いで、ブロックレジストをパターン形成し
、次いで平坦化レジストコーティングを行なっている。 次いで、CVD酸化物及びレジストをエッチバックして
表面を平坦化している。しかしながら、エッチバックを
必要とすることに加えて、この方法は、ブロック用レジ
スト層をパターン形成するためにエキストラなフォトマ
スクステップを必要としている。このことは高価であり
且つ欠陥発生の原因である。このことが必要とされてい
るのは、大きな高い又は低い区域が存在している中で、
単一の平坦化レジストコーティングでは良好な結果を与
えることが出来ないからである。
【0008】
【発明が解決しようとする課題】本発明の目的とすると
ころは、分離領域によって占有される領域の最小横方向
寸法が最小とされた状態で集積回路の活性トランジスタ
間に分離を与えることである。本発明の別の目的とする
ところは、集積回路の活性トランジスタ間の分離領域が
実質的に平坦であるような集積回路を提供することであ
る。本発明の更に別の目的とするところは、絶縁分離領
域の高さがシリコントランジスタ領域の高さと正確にマ
ッチしている集積回路の平坦な表面を発生する方法を提
供することである。
【0009】
【課題を解決するための手段】ウエハの表面上に最初に
ストップ層を形成することにより半導体ウエハ上に集積
回路装置を製造する。該ストップ層を介して孔を形成し
、且つ該孔の下側の半導体ウエハの半導体物質内にウエ
ルを形成する。該ウエルを実質的に充填し且つ該ストッ
プ層を被覆して該装置の表面上に絶縁層を形成する。 次いで、該絶縁層を、実質的に該ストップ層のレベルへ
平坦化させる。
【0010】
【実施例】図1乃至3を参照すると、半導体ウエハ10
の表面上に局所酸化乃至はLOCOSを与える従来のプ
ロセスが示されている。ウエハ10の表面の一部の上に
二酸化シリコンマスク層12が設けられている。二酸化
シリコン層12の上に窒化シリコン層14が設けられて
いる。例えば、ウエハ10を炉内に配設し且つ酸素雰囲
気を与えることによってウエハ10を酸化させる。窒化
シリコン層14の下側のウエハ10の領域は、酸化から
保護されており、一方シリコンウエハ10の表面上には
酸化物領域16が形成される。成長する酸化物層16は
、バードビーク18を発生させる酸化物質の横方向拡散
によってマスク下側に小さな距離だけ延在する。従って
、マスキング層14,12を除去すると、酸化物層16
がマスク領域内にエンクローチ、即ち侵入し、その際に
活性区域を減少させる。更に、集積回路を非平坦的なも
のとさせる。図4に示した如く、エンクローチメント即
ち侵入の量を減少させるために、窒化物層14と酸化物
層12との間にポリシリコン層19を設けることが知ら
れている。
【0011】次に、図5乃至8を参照すると、別の従来
技術の方法が示されており、この場合、溝24を充填す
るためにCVD酸化物22が使用されている。CVD酸
化物層22は平坦的ではなく、平坦化の目的のためにフ
ォトレジスト層26,28が設けられている。この方法
を使用して回路を平坦的なものとするためには、最初に
、ブロックレジスト層26を付与し、フォトマスキング
ステップを使用してパターン形成し、回路の大きな低い
区域を充填する。次いで、平坦化用のレジスト層28を
付与して、ウエハに対し平坦な上表面を与える。次いで
、エッチバックステップを使用して、CVD酸化物層2
2を平坦化させる。
【0012】次に、図9乃至12を参照すると、局所酸
化を実施する更に別の従来技術方法が示されている。L
PCVD窒化物層36及び第一応力緩和酸化物層32が
ウエハ40の上に設けられている。窒化物層36をパタ
ーン形成した後に、酸化物層32及びシリコンウエハ4
0をエッチングしてウエハ40内にウエル42を形成す
る。ボロン注入38を行なって、ウエル42の底部にボ
ロンチャンネルストップを形成する。次いで、ウヱル4
2の底部に第二応力緩和酸化物層46を形成する。LP
CVD窒化物層48を窒化物層36及び酸化物層46の
上に形成し、且つCVD酸化物層50を窒化物層48の
上に形成する。従って、ウエル42の側壁は窒化物で被
覆される。非等方的エッチを行なって酸化物層50、窒
化物層48及び酸化物層46をエッチングする。次いで
、CVD酸化物層50を除去し、且つフィールド酸化物
52を形成する。この方法は、バードビークを減少させ
るが、バードヘッドが残存する。
【0013】次に、図13及び14を参照すると、本発
明に基づく完全凹設型分離絶縁体を有する集積回路の平
坦化方法におけるステップが示されている。パッド酸化
物層62がシリコンウエハ60の表面上に設けられてい
る。パッド酸化物層62は、下側に存在するシリコンウ
エハ60を損傷から保護するための任意のタイプの保護
層とすることが可能である。パッド酸化物層62の上に
ストップ層64を設ける。パッド酸化物層62とストッ
プ層64との組合わせは、シリコンウエハ60の表面の
酸化を防止し、且つ下側に存在するシリコンウエハ60
が研摩作業によって損傷を受けることから保護している
。更に、研摩プロセス期間中、パッド酸化物層62及び
ストップ層64は、二酸化シリコンよりもゆっくりと侵
食され、その際に本発明方法の特徴の1つである自己停
止動作を与えている。
【0014】図15及び16を参照すると、パッド酸化
物層62及びストップ層64を、リソグラフィマスク(
不図示)によって決定される如くエッチングする。更に
、最終的なプロセスにおいて分離のために必要とされる
完全な深さへシリコンウエハ60の表面内に開口65を
エッチング形成する。薄いフィールド酸化を行なって、
エッチングによって形成された開口65の側壁及び底部
を包含するシリコンウエハ60の露出部分上に薄い酸化
物層66を成長させる。ストップ層64は酸化に耐える
ように選択されているので、薄い酸化物層66はストッ
プ層64の上には成長することはない。
【0015】次に、図17を参照すると、シリコンウエ
ハ60上に形成する集積回路の条件に依存して、薄い酸
化物層66を形成した後に、開口65の側壁及び底部を
ドーピングするための注入を行なうことが可能である。 フィールド注入70は、例えば、開口65の側壁をドー
ピングするためにシリコンウエハ60を傾斜させ且つ回
転することによって与えることが可能である。次いで、
シリコンウエハ60の表面上にCVD分離絶縁層72を
付着形成する。分離絶縁層72は、二酸化シリコンとす
ることが可能であるが、その他の形態の付着形成した絶
縁層とすることも可能であり、且つ空洞を発生すること
なしにそれが開口65を充填するように最適化される。
【0016】次に、図18を参照すると、次いで、分離
絶縁層72の表面を、例えばアルカリベース及び水内の
コロイド状シリカ(不図示)等のような研摩粒子(不図
示)のスラリーで飽和させたソフトな半剛性パッド(不
図示)を有する機械的研摩機(不図示)で研摩する。飽
和したパッドを分離絶縁層72の表面上で摺擦させ、化
学的機械的研摩作業を実施し且つ分離絶縁層72を侵食
させる。
【0017】ストップ層64は、絶縁層70よりも著し
くゆっくりと侵食すべく適合されている。従って、研摩
作業がストップ層64のレベルに到達し且つストップ層
64を露出させると、研摩プロセスの特性によって研摩
作業がスローダウンされる。従って、自己停止特徴によ
って、分離絶縁層72の平坦化が与えられる。このスト
ップ層64による研摩プロセスの遅滞化は、分離絶縁層
72の隣接領域の侵食も遅滞化させる。この効果は、研
摩用パッドの機械的剛性から得られるものであり、1m
m又はそれより広い幅に亘っての絶縁領域の実効的な平
坦化が得られる。半剛性研摩用パッドの架橋効果は、従
来技術における2つの膜付着及びエキストラなマスクス
テップに対する必要性を取除いている。次いで、ストッ
プ層62を剥離して、下側に存在するシリコンウエハ6
0の領域74を露出させる。従って、下側に存在する領
域74は、分離領域74によって分離された活性要素(
不図示)を形成するために使用することが可能である。
【0018】分離絶縁層72の研摩は、殆ど、機械的手
段によるものであるが、部分的には化学的手段によるも
のである。前述した如く、ストップ層64の物質は、絶
縁層74よりも硬質であるように選択されており、従っ
てストップ層64の侵食速度は絶縁層72の侵食速度よ
りも著しく遅い。例えば、絶縁層72はCVD二酸化シ
リコンから形成することが可能であり、且つストップ層
64はCVD窒化シリコン又はCVDカーボンから形成
することが可能である。所要の特性を与えるその他の物
質を使用することも可能である。
【0019】ストップ層64の領域が機械的平坦化プロ
セスによって露出されると、ストップ層64の露出され
た領域を取囲む領域における分離絶縁層72の侵食速度
は著しく遅滞化する。従って、露出されたストップ層6
4の領域の近傍における酸化物層72のレベルは、スト
ップ層64のレベルと実質的に均等な状態にとどまる。 一方、ストップ層64の局所的な領域が未だに露出され
ていないウエハの表面上の箇所においては研摩動作は継
続して行なわれる。従って、分離絶縁層72における非
一様性は補償され、且つストップ層64の領域上方から
分離絶縁層72の全ての絶縁物質を除去することが可能
である。
【0020】以上、本発明の具体的実施の態様について
詳細に説明したが、本発明は、これら具体例にのみ限定
されるべきものではなく、本発明の技術的範囲を逸脱す
ることなしに種々の変形が可能であることは勿論である
【図面の簡単な説明】
【図1】  集積回路装置を製造する従来技術方法を説
明する概略断面図。
【図2】  集積回路装置を製造する従来技術方法を説
明する概略断面図。
【図3】  集積回路装置を製造する従来技術方法を説
明する概略断面図。
【図4】  集積回路装置を製造する従来技術方法を説
明する概略断面図。
【図5】  集積回路装置を製造する従来技術方法を説
明する概略断面図。
【図6】  集積回路装置を製造する従来技術方法を説
明する概略断面図。
【図7】  集積回路装置を製造する従来技術方法を説
明する概略断面図。
【図8】  集積回路装置を製造する従来技術方法を説
明する概略断面図。
【図9】  集積回路装置を製造する従来技術方法を説
明する概略断面図。
【図10】  集積回路装置を製造する従来技術方法を
説明する概略断面図。
【図11】  集積回路装置を製造する従来技術方法を
説明する概略断面図。
【図12】  集積回路装置を製造する従来技術方法を
説明する概略断面図。
【図13】  集積回路装置を製造するための本発明の
一実施例に基づく方法における1ステップにおける状態
を示した概略断面図。
【図14】  集積回路装置を製造するための本発明の
一実施例に基づく方法における1ステップにおける状態
を示した概略断面図。
【図15】  集積回路装置を製造するための本発明の
一実施例に基づく方法における1ステップにおける状態
を示した概略断面図。
【図16】  集積回路装置を製造するための本発明の
一実施例に基づく方法における1ステップにおける状態
を示した概略断面図。
【図17】  集積回路装置を製造するための本発明の
一実施例に基づく方法における1ステップにおける状態
を示した概略断面図。
【図18】  集積回路装置を製造するための本発明の
一実施例に基づく方法における1ステップにおける状態
を示した概略断面図。
【図19】  集積回路装置を製造するための本発明の
一実施例に基づく方法における1ステップにおける状態
を示した概略断面図。
【符号の説明】
60  シリコンウエハ 64  ストップ層 66  酸化物層 70  注入 72  分離絶縁層

Claims (9)

    【特許請求の範囲】
  1. 【請求項1】  半導体ウエハ上に集積回路装置を製造
    する方法において、(a)前記半導体ウエハの表面上に
    ストップ層を形成し、(b)前記ストップ層を介して開
    口を形成し、(c)前記開口の下側で前記半導体ウエハ
    内にウエルを形成し、(d)前記装置の表面上に絶縁層
    を形成し、(e)前記絶縁層を実質的に前記ストップ層
    のレベルへ平坦化させる、上記各ステップを有すること
    を特徴とする方法。
  2. 【請求項2】  請求項1において、前記ステップ(a
    )の前に、前記半導体ウエハの表面上にパッド酸化物層
    を形成するステップを実施することを特徴とする方法。
  3. 【請求項3】  請求項1において、前記ストップ層が
    窒化シリコンを有することを特徴とする方法。
  4. 【請求項4】  請求項1において、前記ステップ(a
    )の次に、前記ウエルの露出表面上に薄いフィールド酸
    化膜を形成するステップを実施することを特徴とする方
    法。
  5. 【請求項5】  請求項1において、前記ステップ(a
    )に続いて、前記ウエルの壁内に注入を行なうステップ
    を実施することを特徴とする方法。
  6. 【請求項6】  請求項1において、前記ステップ(d
    )が、前記ウエルを前記絶縁層で実質的に充填し且つ前
    記ストップ層を前記絶縁層で被覆することを特徴とする
    方法。
  7. 【請求項7】  請求項1において、前記ステップ(e
    )が、機械的研摩手段によって化学的機械的研磨作業を
    包含することを特徴とする方法。
  8. 【請求項8】  請求項7において、前記研磨作業が、
    前記機械的研磨手段が前記ストップ層に遭遇する場合を
    前記機械的研磨手段に示すための自己停止型研磨作業を
    有することを特徴とする方法。
  9. 【請求項9】  請求項1において、更に、前記半導体
    ウエハの活性領域を露出させるために前記ストップ層を
    除去するステップを有することを特徴とする方法。
JP3238567A 1990-06-14 1991-06-14 完全に凹設した分離絶縁体を有する集積回路の平坦化 Pending JPH04250650A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US538645 1990-06-14
US07/538,645 US5094972A (en) 1990-06-14 1990-06-14 Means of planarizing integrated circuits with fully recessed isolation dielectric

Publications (1)

Publication Number Publication Date
JPH04250650A true JPH04250650A (ja) 1992-09-07

Family

ID=24147808

Family Applications (1)

Application Number Title Priority Date Filing Date
JP3238567A Pending JPH04250650A (ja) 1990-06-14 1991-06-14 完全に凹設した分離絶縁体を有する集積回路の平坦化

Country Status (3)

Country Link
US (1) US5094972A (ja)
EP (1) EP0461498A3 (ja)
JP (1) JPH04250650A (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0870039A (ja) * 1994-08-29 1996-03-12 Nec Corp 半導体装置の製造方法
JPH09153542A (ja) * 1995-11-30 1997-06-10 Nec Corp 半導体装置の製造方法

Families Citing this family (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5416354A (en) * 1989-01-06 1995-05-16 Unitrode Corporation Inverted epitaxial process semiconductor devices
GB2244373B (en) * 1990-05-19 1994-07-20 Stc Plc Semiconductor device manufacture
US6008107A (en) * 1990-06-14 1999-12-28 National Semiconductor Corporation Method of planarizing integrated circuits with fully recessed isolation dielectric
US5413966A (en) * 1990-12-20 1995-05-09 Lsi Logic Corporation Shallow trench etch
US5290396A (en) * 1991-06-06 1994-03-01 Lsi Logic Corporation Trench planarization techniques
US5252503A (en) * 1991-06-06 1993-10-12 Lsi Logic Corporation Techniques for forming isolation structures
US5225358A (en) * 1991-06-06 1993-07-06 Lsi Logic Corporation Method of forming late isolation with polishing
US5248625A (en) * 1991-06-06 1993-09-28 Lsi Logic Corporation Techniques for forming isolation structures
US5246884A (en) * 1991-10-30 1993-09-21 International Business Machines Corporation Cvd diamond or diamond-like carbon for chemical-mechanical polish etch stop
JP3060714B2 (ja) * 1992-04-15 2000-07-10 日本電気株式会社 半導体集積回路の製造方法
US5310455A (en) * 1992-07-10 1994-05-10 Lsi Logic Corporation Techniques for assembling polishing pads for chemi-mechanical polishing of silicon wafers
JPH0697132A (ja) * 1992-07-10 1994-04-08 Lsi Logic Corp 半導体ウェハの化学機械的研磨装置、同装置のプラテンへの半導体ウェハ研磨用パッドの取付け方法、および同装置の研磨用複合パッド
KR0133264B1 (ko) * 1992-12-22 1998-04-16 사또오 후미오 반도체 장치의 제조방법
US5332467A (en) * 1993-09-20 1994-07-26 Industrial Technology Research Institute Chemical/mechanical polishing for ULSI planarization
FR2717307B1 (fr) * 1994-03-11 1996-07-19 Maryse Paoli Procede d'isolement de zones actives d'un substrat semi-conducteur par tranchees peu profondes quasi planes, et dispositif correspondant
FR2717306B1 (fr) * 1994-03-11 1996-07-19 Maryse Paoli Procédé d'isolement de zones actives d'un substrat semi-conducteur par tranchées peu profondes, notamment étroites, et dispositif correspondant.
US5385861A (en) * 1994-03-15 1995-01-31 National Semiconductor Corporation Planarized trench and field oxide and poly isolation scheme
US5494854A (en) * 1994-08-17 1996-02-27 Texas Instruments Incorporated Enhancement in throughput and planarity during CMP using a dielectric stack containing HDP-SiO2 films
US5527423A (en) * 1994-10-06 1996-06-18 Cabot Corporation Chemical mechanical polishing slurry for metal layers
US5633207A (en) * 1994-10-14 1997-05-27 Kabushiki Kaisha Toshiba Method of forming a wiring layer for a semiconductor device
US7705383B2 (en) 1995-09-20 2010-04-27 Micron Technology, Inc. Integrated circuitry for semiconductor memory
JP3230986B2 (ja) * 1995-11-13 2001-11-19 株式会社東芝 ポリッシング方法、半導体装置の製造方法及び半導体製造装置。
FR2741748B1 (fr) * 1995-11-23 1998-02-06 Brouquet Pierre Procede d'isolement lateral avec aplanissement par polissage mecano-chimique de l'isolant assiste d'une detection de fin d'attaque
WO1997019467A1 (fr) * 1995-11-23 1997-05-29 France Telecom Procede d'isolement lateral par tranchees utilisant une bicouche de protection en polysilicium sur nitrure de silicium pour l'aplanissement par polissage mecano-chimique de la couche d'isolant
FR2741749B1 (fr) * 1995-11-23 1998-02-06 Brouquet Pierre Procede d'isolement lateral par tranchees utilisant une couche sacrificielle pour l'aplanissement par polissage mecano-chimique de la couche d'isolant
KR0179554B1 (ko) * 1995-11-30 1999-04-15 김주용 반도체 소자의 소자분리절연막 형성방법
US5904539A (en) * 1996-03-21 1999-05-18 Advanced Micro Devices, Inc. Semiconductor trench isolation process resulting in a silicon mesa having enhanced mechanical and electrical properties
US5926713A (en) * 1996-04-17 1999-07-20 Advanced Micro Devices, Inc. Method for achieving global planarization by forming minimum mesas in large field areas
US5899727A (en) * 1996-05-02 1999-05-04 Advanced Micro Devices, Inc. Method of making a semiconductor isolation region bounded by a trench and covered with an oxide to improve planarization
US5948700A (en) * 1996-05-20 1999-09-07 Chartered Semiconductor Manufacturing Ltd. Method of planarization of an intermetal dielectric layer using chemical mechanical polishing
JP4195734B2 (ja) 1996-06-10 2008-12-10 テキサス インスツルメンツ インコーポレイテツド 集積回路のトレンチ分離製作方法
US5668036A (en) * 1996-06-21 1997-09-16 Vanguard International Semiconductor Corporation Fabrication method of the post structure of the cell for high density DRAM
US6114216A (en) * 1996-11-13 2000-09-05 Applied Materials, Inc. Methods for shallow trench isolation
KR100224780B1 (ko) * 1996-12-31 1999-10-15 김영환 반도체 소자의 필드산화막 제조방법
KR100266749B1 (ko) * 1997-06-11 2000-09-15 윤종용 반도체 장치의 콘택 플러그 형성 방법
US6194283B1 (en) * 1997-10-29 2001-02-27 Advanced Micro Devices, Inc. High density trench fill due to new spacer fill method including isotropically etching silicon nitride spacers
US6171962B1 (en) * 1997-12-18 2001-01-09 Advanced Micro Devices, Inc. Shallow trench isolation formation without planarization mask
US6117748A (en) * 1998-04-15 2000-09-12 Worldwide Semiconductor Manufacturing Corporation Dishing free process for shallow trench isolation
TW398053B (en) * 1998-07-31 2000-07-11 United Microelectronics Corp Manufacturing of shallow trench isolation
US6468909B1 (en) 1998-09-03 2002-10-22 Micron Technology, Inc. Isolation and/or removal of ionic contaminants from planarization fluid compositions using macrocyclic polyethers and methods of using such compositions
US6090714A (en) * 1998-10-23 2000-07-18 Taiwan Semiconductor Manufacturing Company Chemical mechanical polish (CMP) planarizing trench fill method employing composite trench fill layer
US6225210B1 (en) * 1998-12-09 2001-05-01 Advanced Micro Devices, Inc. High density capping layers with improved adhesion to copper interconnects
JP2004504720A (ja) * 2000-07-19 2004-02-12 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ 化学機械的研磨による半導体装置の製造方法
US6680239B1 (en) 2000-07-24 2004-01-20 Chartered Semiconductor Manufacturing Ltd. Effective isolation with high aspect ratio shallow trench isolation and oxygen or field implant
US6753270B1 (en) 2000-08-04 2004-06-22 Applied Materials Inc. Process for depositing a porous, low dielectric constant silicon oxide film
US6531265B2 (en) 2000-12-14 2003-03-11 International Business Machines Corporation Method to planarize semiconductor surface
US6498381B2 (en) * 2001-02-22 2002-12-24 Tru-Si Technologies, Inc. Semiconductor structures having multiple conductive layers in an opening, and methods for fabricating same

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3648125A (en) * 1971-02-02 1972-03-07 Fairchild Camera Instr Co Method of fabricating integrated circuits with oxidized isolation and the resulting structure
US3892608A (en) * 1974-02-28 1975-07-01 Motorola Inc Method for filling grooves and moats used on semiconductor devices
US4506435A (en) * 1981-07-27 1985-03-26 International Business Machines Corporation Method for forming recessed isolated regions
US4526631A (en) * 1984-06-25 1985-07-02 International Business Machines Corporation Method for forming a void free isolation pattern utilizing etch and refill techniques
US4554728A (en) * 1984-06-27 1985-11-26 International Business Machines Corporation Simplified planarization process for polysilicon filled trenches
US4671851A (en) * 1985-10-28 1987-06-09 International Business Machines Corporation Method for removing protuberances at the surface of a semiconductor wafer using a chem-mech polishing technique
US4666556A (en) * 1986-05-12 1987-05-19 International Business Machines Corporation Trench sidewall isolation by polysilicon oxidation
US4842675A (en) * 1986-07-07 1989-06-27 Texas Instruments Incorporated Integrated circuit isolation process
US4799990A (en) * 1987-04-30 1989-01-24 Ibm Corporation Method of self-aligning a trench isolation structure to an implanted well region
US4791073A (en) * 1987-11-17 1988-12-13 Motorola Inc. Trench isolation method for semiconductor devices
US4876214A (en) * 1988-06-02 1989-10-24 Tektronix, Inc. Method for fabricating an isolation region in a semiconductor substrate
US4910155A (en) * 1988-10-28 1990-03-20 International Business Machines Corporation Wafer flood polishing
US4952524A (en) * 1989-05-05 1990-08-28 At&T Bell Laboratories Semiconductor device manufacture including trench formation

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0870039A (ja) * 1994-08-29 1996-03-12 Nec Corp 半導体装置の製造方法
JPH09153542A (ja) * 1995-11-30 1997-06-10 Nec Corp 半導体装置の製造方法

Also Published As

Publication number Publication date
EP0461498A2 (en) 1991-12-18
US5094972A (en) 1992-03-10
EP0461498A3 (en) 1992-01-02

Similar Documents

Publication Publication Date Title
JPH04250650A (ja) 完全に凹設した分離絶縁体を有する集積回路の平坦化
US6326282B1 (en) Method of forming trench isolation in a semiconductor device and structure formed thereby
US6228727B1 (en) Method to form shallow trench isolations with rounded corners and reduced trench oxide recess
US6140242A (en) Method of forming an isolation trench in a semiconductor device including annealing at an increased temperature
US5858858A (en) Annealing methods for forming isolation trenches
US5966614A (en) Silicon nitride-free isolation methods for integrated circuits
US6071792A (en) Methods of forming shallow trench isolation regions using plasma deposition techniques
US6015757A (en) Method of oxide etching with high selectivity to silicon nitride by using polysilicon layer
US7670926B2 (en) Method for forming shallow trench isolation utilizing two filling oxide layers
US6248641B1 (en) Method of fabricating shallow trench isolation
KR100244847B1 (ko) 디봇 형성을 최소화하는 방법 및 집적 회로 칩
US6355539B1 (en) Method for forming shallow trench isolation
US6794269B1 (en) Method for and structure formed from fabricating a relatively deep isolation structure
US6391739B1 (en) Process of eliminating a shallow trench isolation divot
US6103581A (en) Method for producing shallow trench isolation structure
US5851901A (en) Method of manufacturing an isolation region of a semiconductor device with advanced planarization
KR20010008579A (ko) 반도체장치의 sti형 소자분리막 형성방법
US6087262A (en) Method for manufacturing shallow trench isolation structure
EP1109216B1 (en) Process of making a semiconductor device having regions of insulating material formed in a semiconductor substrate
US6150273A (en) Method of fabricating a kink-effect-free shallow trench isolations
US6214693B1 (en) Process for the production of semiconductor device
US20030100166A1 (en) Method for avoiding the effects of lack of uniformity in trench isolated integrated circuits
US6008107A (en) Method of planarizing integrated circuits with fully recessed isolation dielectric
US6436831B1 (en) Methods of forming insulative plugs and oxide plug forming methods
KR100455726B1 (ko) 반도체 소자의 소자분리막 형성방법