JPH01276641A - 半導体デバイスの製造方法 - Google Patents

半導体デバイスの製造方法

Info

Publication number
JPH01276641A
JPH01276641A JP1067759A JP6775989A JPH01276641A JP H01276641 A JPH01276641 A JP H01276641A JP 1067759 A JP1067759 A JP 1067759A JP 6775989 A JP6775989 A JP 6775989A JP H01276641 A JPH01276641 A JP H01276641A
Authority
JP
Japan
Prior art keywords
mask
layer
semiconductor
dielectric
thickness
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP1067759A
Other languages
English (en)
Other versions
JP3156998B2 (ja
Inventor
Peter J Zdebel
ピーター・ジェイ・ズデベル
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Motorola Solutions Inc
Original Assignee
Motorola Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Motorola Inc filed Critical Motorola Inc
Publication of JPH01276641A publication Critical patent/JPH01276641A/ja
Application granted granted Critical
Publication of JP3156998B2 publication Critical patent/JP3156998B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/763Polycrystalline semiconductor regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Element Separation (AREA)

Abstract

(57)【要約】本公報は電子出願前の出願データであるた
め要約のデータは記録されません。

Description

【発明の詳細な説明】 [産業上の利用分野] この発明は電子デバイスの製作に、より特定すれば、デ
バイスおよび集積回路における半導体領域を分離する平
坦化分離領域の製作に関する。
[従来の技術] 半導体技術において、電気的に分離するために半導体基
板において誘電領域を設けることは周知である。隣接す
る半導体領域間の相互作用および寄生容量を低減し、か
つ半導体基板と相互接続のために使用される上に載って
いる導体との間の寄生容量を低減するために絶縁層分離
が利用されている。
シリコンは最も普通に使用される半導体であり、そして
酸化シリコンは、シリコンとのその優れた融和性のため
に、最も普通に使用される分離誘電体である。しかし、
他の半導体および分離誘電体も使用される。「絶縁層分
離」および「酸化物分離」という用語は、本明細書では
、−膜内に、電気的絶縁体を有する分離領域を指すため
に利用される。分離領域は全体が誘電体であることもで
きるし、あるいは例えば、多結晶半導体コアを有する誘
電体ライナまたは側壁のような、誘電体と導体の組合わ
せであることもできる。
説明の便宜上、典型的半導体材料としてシリコンを利用
して、この発明について述べる。しかし、これは限定し
ようとするものではなく、当業者は他の半導体(例えば
m−v、■−■)もまた使用され得ることを理解するで
あろう。当業者はまた、その酸化物、窒化物、酸化窒化
物および層状構成が実施例となっている多くの異なる誘
電体が使用され得ることも理解するであろう。酸化シリ
コン、窒化シリコンおよびそれらの組合わせは良好な誘
電体である。
分離半導体デバイスアイランド(島)を生成するために
、絶縁層分離が利用される場合、所望の素子を形成する
ために必要なそれ以後の処理および相互接続が容易に実
行され得るように、分離領域はできるだけ平坦な表面ト
ボロジイであることが望ましい。重要な要件は、分離プ
ロセスによって引起される半導体アイランドの欠陥がで
きるだけ少なく、従って半導体特性(例えば、キャリア
寿命、再結合速度、移動度等)および結果として得られ
る素子特性への影響を最少にすることである。さらに別
の要件は、分離プロセスはできる限り単純で高歩留りと
低製造費を促進することである。
例えば、LOGO3(シリコンの湯部酸化)およびRO
I(埋込み酸化物分離)のような多種多様な酸化物分離
プロセスが周知である。
[発明が解決しようとする課題] しかし、これらのおよびその他の従来技術の分離プロセ
スは多くの周知の制限を受けており、それらの制限は常
に高度になる複雑性、バッキング密度、および性能を持
つ素子および回路を構成しようとするにつれて、ますま
すきびしくなる。臨界素子寸法が低減するに応じて、例
えば、そのような従来技術プロセスは素子の尺度に比例
した尺度で製作していないために、とりわけ、無駄なチ
ップ領域の割合が増加することが判っている。そのよう
なプロセスのためにしばしば、分離領域が半導体アイラ
ンドに接触するウェーハ表面における望ましくない「バ
ーズビーク」を生ずる。さらに、そのようなプロセスに
よってまた、半導体アイランドに強力に残留ストレスを
生ずることもあり得る。これらの影響のために性能を劣
化させ、費用を増加させる。
従って、本発明の目的は、分離領域が半導体表面に関し
て平坦化されている半導体基板における絶縁層分離領域
を形成する改良手段および方法を提供することである。
本発明の別の目的は、そのような平坦化絶縁層分離領域
を提供すると同時に、分離されている半導体アイランド
における欠陥形成を最少化することである。
本発明の別の目的は、そのような平坦化絶縁層分離領域
および分離されている半導体アイランドにおける最少の
欠陥形成を提供し、同時に分離領域と半導体アイランド
の正確な寸法調節を維持することである。
本発明のなお別の目的は、そのような平坦化絶縁層分離
領域を提供し、同時に単純な、しかも製造能力を改善し
て費用を低減するための他のプロセス段階と十分に融和
できるプロセスを利用して分離されている半導体アイラ
ンドにおける欠陥形成を最少化することである。
本発明のなお別の目的は、上昇した温度で比較的短時間
を利用するプロセス、すなわち、小さいpxt(拡散係
数X時間)積、を使用して以前にドープした素子領域へ
の不利な影響を最少化する、そのような平坦化絶縁層分
離領域および、分離されている半導体アイランドにおけ
る最小の欠陥形成を提供することである。
本明細書で使用されている、「平坦化した(plana
rized) Jあるいは「平坦化(planariz
atlon)Jという用語は、急なステップ、突起部あ
るいは陥没部の殆どない、そして残留するどんな急な表
面形状またはステップの高さがそのような形状またはス
テップをつなぐあるいは埋めようとする次の層の厚さに
比較して小さい、はぼ平滑な上表面を有する構造を指す
ものである。平坦化表面はまた、はぼ平らであることも
望ましいが、平滑性の方が平坦性より重要であり、そし
て絶対的な平坦性は必要とされない。
[課題を解決するための手段および作用]基板面に与え
られた分離マスクによって、その間に乱されていない半
導体アイランドを残す、選択的異方性エツチングを利用
して、1つ以上のみぞが半導体基板にエッチされている
本発明によって、前述のおよび他の目的および利点が獲
得される。誘電体のような低温材料が同じ形になるよう
に(conrorlal ly)与えられて、はぼ半導
体アイランドの高さまでみぞを埋め、そして半導体アイ
ランドの上まで延びる。みぞの形状に対応するが、所定
量だけ横方向に小さい閉鎖領域を有する平坦化エッチマ
スクが同じ形の材料に与えられる。この閉鎖領域は、み
ぞの深さにほぼ等しい厚さを有するみぞの同じ形の材料
部分を覆う。平坦化マスクの開領域は半導体アイランド
の上およびみぞの周辺におけるこれらの同形の材料部分
を露出したままにするが、そこでは同形の層がみぞの側
壁に沿って立上り、そしてみぞの深さを超える厚さを持
っている。露出した材料は半導体アイランドの高さまで
エツチングされる。
異方性みぞエツチング後、そして同形材料を置く前に基
板の短期等方性エツチングを実行して、分離マスクを僅
かに切込むことが望ましい。良好な実施態様では、次い
で、薄い熱酸化物層がみぞの底部および側壁に成長され
る。この熱酸化物の厚さは、望ましくは熱酸化物の外表
面がみぞ側壁の最上部において分離マスクの縁と一列に
並ぶように調節される。これによって、みぞを埋める材
料の次のエツチング中、半導体アイランドの縁を保護す
る。
なお、平坦化エツチングマスクは、みぞを埋める材料に
比較して、できるだけ大きい差動エツチング比を持つこ
とが望ましい。みぞを埋める材料が多結晶半導体である
場合には、平坦化エツチングマスクは酸化物、窒化物あ
るいはその組合わせであることが望ましく、モしてみぞ
を埋める材料が酸化物、窒化物あるいはその組合わせで
ある場合、平坦化マスクは、例えばポリシリコンのよう
な多結晶半導体であることが望ましい。レジストもまた
単独にあるいはハードマスクと組合わせで使用すること
ができる。
[実施例コ 以下の説明で、および第1図〜第16図においては、若
干の領域が特定材料、導電率および/または型式である
として示されている。しかし、これは単に説明の便宜の
ためであって、限定しようとするものではない。当業者
は、本明細書の説明を基礎として、種々の半導体および
誘電体材料が使用され得ること、および半導体基板の種
々の領域のドーピングは変更されて異なるデバイス機能
を獲得できることを理解するであろう。なお、代表的領
域の層の厚さおよび寸法の種々の実施例が、最新式IC
に利用されるのに適したバイポーラトランジスタが形成
されている場合に対して与えられるが、これらは単に理
解への補助手段としてであり、当業者には、これらの寸
法がより大きいあるいはより小さい寸法に決められて、
異なるデバイスおよび機能を達成し得ることが理解され
るであろう。当業者はそのような変化例が本説明および
周知の原理に基づいていかに形成され得るかを理解する
であろう。
第1図〜第14図は、本発明の好ましい実施例による半
導体基板の一部分の異なる製作段階における単純化した
断面図を示す。先ず、第1図では、半導体基板10(例
えばPまたはP−シリコン)はそこに埋込層12(例え
ばN+)を有し、その上に表面16を有する半導体領域
14(例えば、N−エビ)が形成されている。層12と
14は代表的に、厚さ十分の数マイクロメータから数マ
イクロメータの範囲であり、高性能バイポーラICにと
って約0.6〜0.9マイクロメータが代表的であって
、約0.7マイクロメータが都合がよい。そのような層
および領域を形成する手段は通常であり、当業者には周
知である。
表面16の上には、半導体表面部分16A−Cをおおう
開放領域2OA−Cおよび、表面部分16D−Eをおお
う閉鎖領域20D−Eを有するハードマスク20がある
。閉鎖領域20D−Eは、例えばトランジスタ、抵抗器
および同種のもののようなデバイスの構成のために半導
体アイランドが所望される場所に対応し、そして開放領
域20A−Cは半導体アイランドを分離しようとする絶
縁層分離領域の場所に対応する。半導体アイランドは代
表的に、数マイクロメータから数千マイクロメータ以上
までの範囲の横方向の寸法を持つことができる。例えば
、複雑なICで利用されるバイポーラデバイスの場合、
2から5マイクロメータの横方向寸法のある半導体アイ
ランドが普通であり、より小さい横方向寸法にも大きい
関心が持たれている。
基本的にマスク20は、半導体14をエツチングする材
料に対して抵抗性であって、表面部分16D−Eを保護
するはずであるが、一方みぞは開口2OA−Cの下の半
導体14の表面部分16A−Cに形成される。マスク2
0はまた、耐酸化性であることが望ましい。そのような
マスク材料は当業者に周知である。マスク20は都合の
よいことに、酸化シリコン層22および窒化シリコン層
24から形成されているが、上述の特性を有する他の材
料もまた利用することができる。層22は代表的に、0
.02〜0.2マイクロメータの範囲の厚さ、0.07
マイクロメータが代表的であるが、を有する熱成長二酸
化ケイ素である。層24は代表的に、CVD%LPCV
DSPECVD1スパッタリングあるいは当業者に周知
の他のプロセスによって形成された、厚さ約0.06〜
0.6マイクロメータの範囲で、約0.3マイクロメー
タが都合のよい、窒化シリコンである。層22.24を
エツチングして開口2OA−Cを形成する手段は当業者
に周知である。
半導体14の表面16の部分16A−Cは開口2OA−
Cを介してエツチングされて、それぞれ底部28と、底
部28から表面16に延長する側壁30を有するみぞ2
6A−Cを形成する(第2図参照)。底部28は、表面
16から、好ましくは埋込み層12とエビ(タキシャル
)層14間の中間層34の僅かに上までの深さ32にな
っている。みぞ26A−Cは半導体14の異方性エツチ
ングによって形成されることが望ましく、従ってマスク
20の閉鎖領域20D−Hの縁の切込みは殆どないか全
くない。その側壁がほぼ垂直に下降するまたはマスク縁
から内側にテーパする(マスク縁の下で切込まれるので
なく)みぞを生成するための、半導体材料の異方性エツ
チング手段は当業者に周知である。
調節された量の切込み(undercutt ing)
が望ましいので、みぞエツチングが完全に異方性(an
tsotropic)であること、すなわちゼロ切込み
である必要はない。しかし、これは、高度に異方性の垂
直エツチングを利用してみぞに必要な材料の大部分を除
去し、次いで例えば湿式エツチングのような、余り異方
性でない、あるいは等方性の短時間エツチングを行なっ
て、調節された量の切込みを与えることによって、最も
都合よく得られる。この結果は第3図に示されており、
そこでは側壁30と底部28は等方性エツチングされて
いて側壁30を、マスク20の閉鎖部分20D−Hの縁
の下に少量36の差をつけて位置ぎめする。同時に、底
部28は層14内に少し深く移動し、そして交差部34
に達することもできる。これは多くのデバイス構造にと
って望ましく、従ってみぞ26A−〇の下には殆どある
いは全く軽くドープされた材料(例えばN−)は無く、
従って寄生埋込み反転層またはチャネルを生ずる機会は
少ない。百分の数マイクロメータから十分の数マイクロ
メータの範囲での切込み量が適切であり、約0.05〜
0.1マイクロメータが代表的である。より一般的には
、切込み量36(あるいは第4図の誘電体厚さ42)は
最小半導体アイランドの横方向寸法の5%から10%以
下であることが望ましい。
次に(第4図参照)、厚さ42を有する薄い同形の(c
onl’or+mal)誘電体40が、みぞ26A−C
の側壁30および底部28上に与えられる。層40は、
みぞ26A−Cを埋めるために使用される材料を平坦化
することに関連する次のエツチング段階中、侵蝕から半
導体アイランド14D−Eの側壁30を保護するもので
あり、かつ本発明の重要な特徴である。
層40は都合のよいことに、酸化できる基板の場合には
、例えば、露出した半導体の熱酸化によって設けられる
が、しかし当業者に周知の同形コーティング技術もまた
、利用することができる。
層40は、層40の外表面44がマスク20の閉鎖部分
20D−Eの縁21とほぼ整列するような厚さ42を持
つことが望ましい。熱酸化が誘電体層40を生成するた
めに利用され場合、側壁30と底部28上の半導体が層
40の成長中、幾分消費されて、層40の厚さ42が切
込み量36を超えることになる。m42を量36で除算
した比率は、成長した酸化物が酸化物形成中に消費され
た基板材料を容積で超過する量から容易に決定すること
ができる。この比率は別の材料の組合わせに対しても当
業者には周知であり、あるいは、不適すな実験をせずに
容易に決定することができる。
例えば、半導体12.14がシリコンから成り、切込み
量36が、例えば約0.05マイクロメータである場合
に、半導体12.14の熱酸化によって生成される層4
0の厚さ42は約1.0マイクロメータである。
例えばシリコンに関して、層40を生成するために熱酸
化が利用される場合に、これは分離プロセスにおける唯
一の高温(すなわち、〉900℃)段階であり、そして
層40は比較的薄いので、必要とされる厚さを生成する
ためにごく短時間が要求されるにすぎない。従って、D
Xt(拡散係数X時間)積は本来、小さく、そして半導
体のすでにドープされた領域への影響は最小にされてい
る。
分離プロセスに関連するDXt積は、高圧酸化を利用し
て層40を形成することによってなお低減することがで
きるが、それはこれによって酸化温度をなお一層、例え
ば700〜900℃の範囲まで下降させることができ、
その場合、半導体デバイス製作のための多くの当該ドー
パントの拡散係数は非常に小さいからである。
1つ以上のみぞ26A−Cの底部に、チャネルストップ
として作用する増強ドーピングの領域(例えば、p+)
を設けることは、しばしば望ましい。これらの領域は単
純化するために第1図〜第16図から省略しであるが、
そのようなチャネルストップ領域が含まれ得ることを当
業者は理解するであろう。それらはエビ層14の成長以
前あるいはその後、例えば第1図〜第14図のいずれか
で示される段階において、生成することができる。イオ
ン注入は、そのようなチャネルストップを生成する便利
な方法である。イオン注入を利用しようとする場合、都
合のよいことに、チャネルストップ用ドーピングは、よ
り低い注入加速電圧が利用されi)るようにするために
、比較的少量の材料が浸透されねばならないプロセス中
の段階において、例えば第2図〜第4図の段階中に、与
えられると好都合である。第4図で示される段階におい
て与えられる場合には、誘電体40が保護注入スクリー
ンとして都合よく作用する。しかし、チャネルストップ
用ドーピングはまた、第1図あるいは第12図〜第14
図で表わされる段階においても与えられることができる
層40の形成に続いて、共形層(conf’orIIl
al 1aycr)46が設けられてみぞ26A−C(
第5図参照)を埋める。
層46は、少なくとも表面16の高さあたりまで、そし
て好ましくは少なくとも誘電体層22または24の高さ
まで、みぞ26A−Cを埋めるよう選択された厚さ48
を有する(例えば、ここで与えられた代表的な層の厚さ
に対して約0.7〜0.8マイクロメータ)。層48i
;1cVDSLPCVDあるいはPECVDによって都
合よく形成されるが、LPGVDがより好まれる。一般
に、これらのプロセスは層40を形成するのに必要なそ
れより少ないか等しい温度で、かつ比較的短時間、実行
されるので、層46の被着によってD×を積に与える影
響は無視できる。
層46は誘電体あるいは、例えばポリシリコンのような
導体であってよいが、誘電体の方がより低い寄生容量を
備えるので好まれる。酸化シリコン、窒化シリコンある
いはそれらの組合わせは、層46としての適切な誘電体
材料の実施例である。
層46が、半導体アイランド14D−E上の層24に関
して差動的にエツチング可能であることは重要である。
ポリシリコンおよび酸化シリコンは両方とも、層24が
窒化シリコンである場合のこの要件を満足させる。
層46は共形であるので、それはみぞ26A−Cおよび
半導体アイランド14D−Eを含む全構造をおおい、は
ぼ等しい厚さで表面の外形に従う。
これによって第5図に示される構造を与える。
層46は都合のよいことに、厚さ52の差動的にエツチ
ング可能なマスキング層50でおおわれており、この層
50は後にハードマスクとして役立つ。層50は望まし
いが、必須というわけではない。層50は半導体でも、
誘電体でも、金属でも、あるいはその組合わせであって
もよいが、その理由は、プロセスにおけるその唯一の機
能がマスクとして作用することであって、それは後に完
全に除去されるからである。層46が、例えば酸化シリ
コン、窒化シリコンあるいはそれらの組合わせのような
誘電体である場合、ポリシリコンは層50として特に好
都合である。約0.2〜0゜5マイクロメータのポリシ
リコンの厚さが適切であり、約0. 3マイクロメータ
が都合がよい。層46が酸化シリコン、あるいはポリシ
リコンである場合に、窒化シリコンは層50として適切
な別の材料の例である。層50は共形に被着されると都
合がよいが、これは必須というわけではない。
CVDおよびLPCVDは、当業者には周知の便利な堆
積技術である。
次いで第5図の構造は、例えばホトレジストあるいはガ
ラスのような、比較的厚いマスキング材料54で覆われ
る。その結果は第6図に示されている。層54は都合の
よいことに構造をほぼ平坦化するように引延ばされてい
るが、これは必須というわけではない。そのような弔坦
化付料およびマスキング技術に関するこれ以上の詳細は
、同時係属関連特許出願、Zdebel−L同上の個所
で与えられるが、引用してここに取入れる。層54は、
少なくともみぞ領域26A−Cの上方の層46.50に
おけるくほみを埋めるに足る厚さがあることが望ましい
。この状況では、層54の上表面56は半導体アイラン
ド14D−Eの上方の層50の上表面55にまたはその
上方にあるか、あるいは任意層50が省略される場合、
層46の上表面47にまたはその上方にある。層54と
してホトレジストが特に都合がよいが、これはその上に
別の感光マスクの必要性を取除いているからである。
当業者に周知の手段を利用して、層54はエツチングさ
れて、領域26A−Cに対応するが、より狭い横方向寸
法の領域54A−Cを残す(第7図と第16図参照)。
例えば、横幅60の領域54Bは、マスク縁21間の横
幅62および、層50が上昇して半導体アイランド14
D−E上に同じ形状に延長する場合の層50の部分50
G−H間の(あるいは層50が省略される場合は部分4
6G−H間の)横幅61を有するみぞ26Bの上方に設
けられる。領域54Bはマスク部分20D−Eの縁21
から二64.65だけ、そして層50の部分50G−H
から(または、層50が省略される場合には層46の4
60−Hから)ギャップ66.67だけ離される。領域
54Bの幅60は層50の部分50G−H間の(または
層50が使用されない場合には層46の460−H間の
)幅61より小さくすることが重要であり、そうでなけ
れば、54Bのいずれかの部分が部分50G−)1また
は46G−Hの上に延長した場合に、部分54Bに高い
***が生ずることになる。
そのような高さの***は回避されるべきである。
第16図は第7図の構造の単純化した複合平面図であり
、半導体アイランド14D−Hに関するマスク部分54
A−C,マスク20D−Eとマスク縁21、側壁30上
の層40、上昇して側壁30上を越える場合の領域46
G−Jと500〜J1およびギャップ66と67から成
る横方向配置を示す。理解を容易にするために、領域5
4A−Cは陰影をつけられ、半導体アイランド14D−
Eは点をつけられている。ここでの記述に基づいて、マ
スク領域54A−Cの形状はマスクeRFjc20D−
E (および半導体アイランド14D−E)の形状に相
補的であること、および例えばマスク領域54Bとマス
ク領域20D−E間の横方向間隔64.65は厚さ42
(層40の)と、48(層46の)と、52(任意層5
0の)とギャップ66.67との和によって決定される
こと、およびこれらの寸法が慎重に、かつ都合よく、製
作中に制御され得ることが理解されるであろう。マスク
54A−Cがどのようにマスク20D−Eから導出され
るかについての別の詳細は、同時係属出願Zdebel
−1、同上の個所で与えられる。
層46.50は同形であるので、半導体アイランド14
D−E上の厚さ68とみぞ26A−C上の厚さ69はほ
ぼ等しい。
ギャップ66.67は、例えば同時係属出願Zdebe
l−1に示されるように、別の平坦化層を加えることに
よって、あるいは本書で示されるように、少し加熱して
、例えば部分54Bを、部分46G−H上の高い***な
しに幅61が埋まるように流れさせることによって、埋
めることができる。いずれの方法でもうまくゆく。結果
は第8図に示される。当業者には理解されるであろうが
、ギャップ66.67を埋める他の技術も、マスク部分
54Bを部分46G−H上に延長させないものであれば
、利用することができるが、それはこのことによって部
分50G−Hに隣接する部分46G−Hの一部をエツチ
ングからマスクする傾向があり、その結果、望ましくな
い誘電スパイクが部分50G−Hの側面沿いに残される
ことがあり得るからである。
当業者には前記説明に基づいて理解されるように、みぞ
26Aと26C内のマスク部分54Aと540、および
部分461と46Jに関して、それぞれ類似の条件が存
在する。
任意層50が使用される場合、第8図の構造はエツチン
グされて、マスク部分54A−Cによって保護されてい
ないこれらの層50の部分を除去する。エツチングは層
46あるいはマスク54の材料より高速で層50の材料
を侵蝕すべきである。
適切なエツチング用剤は当業者には周知である。
これによって第9図に示される結果を生ずる、すなわち
層46の上表面47は領域54A−C間で露出する。層
50の部分50G−Jはなおそのままである。
次に、第9図の構造は層46の材料を優先的に侵蝕する
、すなわち層50の材料をエツチングするより高速で層
46の材料をエツチングする、エツチングにさらされる
。適切なエツチング用剤は当業者には周知である。この
エツチング段階は、表面49上の層46の部分(第10
図参照)が除去されるまで続行される。表面49は層2
2の上部と殆ど水平になっている。このプロセスにおい
て、アイランド14D−E上の層46の部分は完全に除
去され、そして部分46G−Jにおける層46のより厚
い部分は、大体マスク部分54A−Cの下の層46の部
分の厚さにまで、そして層22の上表面と大体水平に、
低減される。マスク部分54A−Cおよび層50の部分
50G−Jが次いで除去されて、第11図に示される構
造を残す。最初の厚さと層46のエッチバックを少し調
整することによって、表面49は表面16あるいは層2
2または24の上表面と一列に並ぶことができる。層2
2と24は比較的薄いので、高度の精度は要求されない
で、前述のプロセスによって、みぞ26A−Cにおける
層46の部分46A−Cにそれぞれ表面4つを与え、そ
れは半導体アイランド14D−Eの上方の層24の表面
25に関してほぼ平坦化される。
第11図の構造は、種々のトランジスタの製作に直接、
利用することができる。半導体アイランド14D−Eの
上方にエツチングと酸化に耐える層の組合わせ22.2
4が存在することは、それが自己整列「マスタマスク」
型デバイス製作手順にとって必要とされることの多い層
構成を提供するので、特に都合がよい。例えば、IC用
マスタマスク製作手順を説明する米国特許、RE(再発
行)第30,282号、第4,199.380号および
、第4,443,932号を参照されたい。
しかし、第12図〜第14図に示されるように、デバイ
ス製作に入る前に、さらに処理することもできる。
第12図では、耐酸化層24は差動エツチングによって
除去されている。第13図では、結果として得られた構
造がエツチングされて、下にある層22を除去する。同
時に、表面49もまた、この実施例では、半導体アイラ
ンド14D−Eの表面16D−Eに関してほぼ平坦化さ
れた表面7゜の高さにまで少しエツチングされる。
第14図は、例えば、バッチにおけるウェーハの、ある
いはウェーハごとのエツチングの不完全な均一性による
ような、部分46A−Cがオーバエツチングされた場合
の状態を示す。例えば、熱酸化物の層40はアイランド
14D−Eの側壁30を、みぞ26A−Cの分離部分4
6A−Cのオーバエツチングの間、エツチング用剤にさ
らされないよう保護する。例えば、部分46G−Jを除
去する異方性エツチング中のように、窒化物層24がア
イランド14D−E上にまだ存在する間にオーバエツチ
ングが発生する場合には、側壁30は、側壁30をこえ
て延びている層24の突出縁と層40との組合わせによ
って保護される。例えば第12図の段階におけるように
、層24がすでに除去された場合には、側壁30に沿っ
た層40の部分が側壁30を保護し続ける。このことは
、層40の良好な熱酸化物が、例えば層46として使用
される被着酸化物より低いエツチング速度を有しており
、従ってより低速でエツチングがなされるからである。
これによって、部分46A−Cが表面16D−Eの高さ
以下にエツチングされている間でさえ、側壁30はカバ
ーされたままである。
側壁30を不完全エツチングの影響から保護することは
本発明の重要な特徴であるが、それは、そうすることに
よって、半導体アイランド14D−Eの側壁に欠陥ある
いは偽チャネルが形成するかも知れないという可能性を
減少させるからである。これは、アイランドに連続して
形成されるデバイスの製造能力を大いに改善している。
また、例えばポリシリコンが層46の材料として使用さ
れる場合、あるいはより一般に、層46とアイランド1
4D−Eが同じ材料から成るものである場合、側壁30
の保護は特に重要である。この場合、側壁30は層46
に対して利用されるエツチング用剤によって侵蝕され、
そして層22または24および40は半導体アイランド
14D−Eへの実質的な切込みが層46のオーバエツチ
ングを生じないようにする。
第15図は第13図の構造をとり、次にその中にバイポ
ーラトランジスタを形成した結果を示す。
そこで第15図を見ると、コレクタ領域80(例えばN
−)、ベース領域82(例えばP)およびエミッタ領域
84(例えばN+)が半導体アイランド14Dに形成さ
れ、モしてコレクタコンタクト領域81(例えばN)お
よび85(例えばN+)は半導体アイランド14Eに形
成される。
当業者には周知の手段によって、エミッタ領域84は導
体90によって接触され、ベース領域82は導体92に
よって接触され、モしてコレクタコンタクト領域85は
導体95によって接触される。理解の便宜上、導体90
.92.95は陰影をつけである。
半導体アイランド14D−Hにおいて形成されるデバイ
スは特にコンパクトであることができるが、それはアイ
ランド14D−Eと、分離みぞ26A−Cと、再埋込材
料50および46A−Cの横方向寸法が、発明によるプ
ロセスと共に、慎重にかつ正確に制御され得るからであ
る。僅かの所定横方向切込み量36および/または層4
0からの横方向酸化量42の他に、半導体アイランド1
4D−Eへの何の侵入もない。さらに、側壁30の高品
質および、アイランド形成中にアイランド14D−Hに
もたらされる欠陥のないことは、すぐれたデバイス特性
および良好な製造歩留りの一因となっている。分離層4
0.46の組成を調整することによって、アイランド1
4D−Hに導入されるストレスは最小にすることができ
る。
当業者にとって明らかなように、電気的分離用誘電体を
備える半導体デバイスのための平坦化分離領域を得る手
段および方法が提供されており、その方法はすぐれた寸
法上の制御およびプロセス制御が必須である非常に小型
の構造に特に適しており、製作中の半導体アイランドへ
の横方向侵入が僅かなものにされ、かつ効果的に利用さ
れて半導体アイランドをオーバエツチングから保護する
ので、より小型構造が製作可能であり、発明によるプロ
セスは低温で実行され得るので、DXt(拡散係数X時
間)積は最小化され、よって以前にドープされた領域の
移動(migration)を最小化しており、半導体
アイランドにおける欠陥形成も同様に低減され、そして
結果として得られた分離領域は制御された寸法と実質的
に乱されていない特性を有する半導体アイランドを分離
する。
本発明の方法について、シリコン半導体処理およびバイ
ポーラトランジスタに特に適した実施例をあげて説明し
て来たが、この方法は材料の他の組合わせおよび他のデ
バイス構造にも利用され得ることを、当業者は理解する
であろう。従って、本明細書の記述に基づいて当業者が
思いつくであろうような変形例等は、以下の特許請求の
範囲に含まれると考えられる。
【図面の簡単な説明】
第1図から第14図までは、本発明による、かつ異なる
製作段階における半導体基板の1部分の単純化した概略
断面図を示す。 第15図は、第14図の半導体基板をなお処理した後、
その中に半導体デバイスを生成した状態を示す断面図で
ある。 第16図は、第7図の半導体基板の部分の単純化した複
合平面図であって、使用された数個の層およびマスクの
横方向相対位置を示すものである。 10:基板、 12:埋込層、 14:半導体領域、 16表面、 20:マスク、 2OA、20B、20C:開放領域、 20D、20E、20F:閉鎖領域、 22:酸化シリコン層、 24:窒化シリコン層、 28:底部、 30:側壁、 34:中間層、 36:アンダーカッティング量、 40:誘電体層。 特許出願人 モトローラ・インコーポレーテッド代理人
 弁理士 池 内 義 明

Claims (1)

  1. 【特許請求の範囲】 1、半導体デバイスのための1つ以上の絶縁層分離領域
    を形成するプロセスであって、 主表面を有する半導体基板を設ける段階と、この主表面
    上に、基板のエッチングに対して抵抗性があり、かつ絶
    縁層分離領域が所望されている表面まで延びている縁の
    ある1つ以上の開口を有する第1のマスクを形成する段
    階と、 前記開口を介して基板をエッチングして、表面から基板
    へ伸びているみぞを形成し、該みぞが表面から垂直方向
    の第1の距離を置いた底部と、第1のマスクの下で開口
    の縁から横方向の第2の距離で置かれた側壁とを有して
    いる段階と、 前記みぞの底部と側壁上に、マスク縁とほぼ整列してい
    るみぞ側壁上の外表面および第1の距離より小さい第1
    の厚さを有する第1の誘電体を形成する段階と、 第1の誘電体上に、第1の距離から第1の厚さを減算し
    たものと等しいか、それより大きい第2の厚さを有する
    第2の誘電体を形成する段階と、第2の誘電体上に、第
    1のマスクの開口に対応し、第1のマスクの開口内に横
    方向に置かれ、そして少なくとも第2と第3の厚さの和
    だけ横方向に第1の開口より小さい1つ以上の閉鎖領域
    を有する第2のマスクを設ける段階と、 第1のマスク上方の第2の誘電体層の少なくとも第1の
    部分を除去し、一方みぞを実質的に埋める第2の誘電体
    層の少なくとも第2部分を残す段階と、および、 第2のマスクを除去する段階、 とを具備することを特徴とする前記プロセス。 2、さらに、(i)第2のマスクを設ける前に、第2の
    誘電体上に、第3の厚さを有する多結晶半導体層を形成
    する段階と、(ii)第2のマスクを設けた後、第2の
    マスクの開放領域における多結晶半導体層の部分を除去
    する段階とを備えている特許請求の範囲第1項記載のプ
    ロセス。 3、半導体デバイスにおいて平滑に埋められたみぞを形
    成するプロセスであって、 半導体表面を有する基板を設ける段階と、 表面から第1の深さにある底部およびこの底部を表面に
    連結する側壁を有するみぞを表面にエッチングする段階
    と、 みぞを埋め、表面をほぼ同形の第1の材料で覆うが、こ
    の場合、第1の材料の第1の部分はみぞ底部から、少な
    くとも第1の深さに等しい第1の距離だけ垂直方向に延
    長し、第1の材料の第2の部分はみぞ底部から、第1の
    距離より大きい第2の距離だけ垂直方向に延長し、そし
    て第1の材料の第3の部分は表面上方に第3の距離だけ
    垂直方向に延長する、段階と、 第1の材料の第1の部分を保護するマスクを設ける段階
    と、および、 表面上方の第1の材料の第3の部分および、第2の厚さ
    が第1の厚さより厚い分の第1の材料の第2の部分の一
    部を除去する段階、 とを具備することを特徴とする前記プロセス。
JP06775989A 1988-03-24 1989-03-22 半導体デバイスの製造方法 Expired - Lifetime JP3156998B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US07/173,482 US4876217A (en) 1988-03-24 1988-03-24 Method of forming semiconductor structure isolation regions
US173,482 1988-03-24

Publications (2)

Publication Number Publication Date
JPH01276641A true JPH01276641A (ja) 1989-11-07
JP3156998B2 JP3156998B2 (ja) 2001-04-16

Family

ID=22632228

Family Applications (1)

Application Number Title Priority Date Filing Date
JP06775989A Expired - Lifetime JP3156998B2 (ja) 1988-03-24 1989-03-22 半導体デバイスの製造方法

Country Status (4)

Country Link
US (1) US4876217A (ja)
EP (1) EP0334268B1 (ja)
JP (1) JP3156998B2 (ja)
DE (1) DE68927353T2 (ja)

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4980311A (en) * 1987-05-05 1990-12-25 Seiko Epson Corporation Method of fabricating a semiconductor device
US5416354A (en) * 1989-01-06 1995-05-16 Unitrode Corporation Inverted epitaxial process semiconductor devices
US6008107A (en) * 1990-06-14 1999-12-28 National Semiconductor Corporation Method of planarizing integrated circuits with fully recessed isolation dielectric
US5175123A (en) * 1990-11-13 1992-12-29 Motorola, Inc. High-pressure polysilicon encapsulated localized oxidation of silicon
US5413966A (en) * 1990-12-20 1995-05-09 Lsi Logic Corporation Shallow trench etch
US5290396A (en) * 1991-06-06 1994-03-01 Lsi Logic Corporation Trench planarization techniques
US5225358A (en) * 1991-06-06 1993-07-06 Lsi Logic Corporation Method of forming late isolation with polishing
US5248625A (en) * 1991-06-06 1993-09-28 Lsi Logic Corporation Techniques for forming isolation structures
US5252503A (en) * 1991-06-06 1993-10-12 Lsi Logic Corporation Techniques for forming isolation structures
US5604159A (en) * 1994-01-31 1997-02-18 Motorola, Inc. Method of making a contact structure
US5385861A (en) * 1994-03-15 1995-01-31 National Semiconductor Corporation Planarized trench and field oxide and poly isolation scheme
US5462888A (en) * 1994-06-06 1995-10-31 At&T Ipm Corp. Process for manufacturing semiconductor BICMOS device
US5472913A (en) * 1994-08-05 1995-12-05 Texas Instruments Incorporated Method of fabricating porous dielectric material with a passivation layer for electronics applications
US6395620B1 (en) * 1996-10-08 2002-05-28 Micron Technology, Inc. Method for forming a planar surface over low density field areas on a semiconductor wafer
TW312821B (en) * 1996-11-19 1997-08-11 United Microelectronics Corp Manufacturing method of shallow trench isolation
KR100240879B1 (ko) * 1997-05-17 2000-01-15 윤종용 반도체 장치의 평탄화 방법
US5891763A (en) * 1997-10-22 1999-04-06 Wanlass; Frank M. Damascene pattering of SOI MOS transistors
EP1062684B1 (en) 1998-01-15 2010-06-09 Cornell Research Foundation, Inc. Trench isolation for micromechanical devices
TW370708B (en) * 1998-06-23 1999-09-21 United Microelectronics Corp Method for manufacturing shallow trench isolation structure without producing microscratches on surface of shallow trench isolation structure (revised edition)
US6074931A (en) * 1998-11-05 2000-06-13 Vanguard International Semiconductor Corporation Process for recess-free planarization of shallow trench isolation
US6342432B1 (en) * 1999-08-11 2002-01-29 Advanced Micro Devices, Inc. Shallow trench isolation formation without planarization mask
US20020071169A1 (en) 2000-02-01 2002-06-13 Bowers John Edward Micro-electro-mechanical-system (MEMS) mirror device
US6753638B2 (en) 2000-02-03 2004-06-22 Calient Networks, Inc. Electrostatic actuator for micromechanical systems
US6825967B1 (en) 2000-09-29 2004-11-30 Calient Networks, Inc. Shaped electrodes for micro-electro-mechanical-system (MEMS) devices to improve actuator performance and methods for fabricating the same
US6544863B1 (en) 2001-08-21 2003-04-08 Calient Networks, Inc. Method of fabricating semiconductor wafers having multiple height subsurface layers
US6994903B2 (en) * 2002-01-03 2006-02-07 International Business Machines Corp. Hybrid substrate and method for fabricating the same
US7728339B1 (en) 2002-05-03 2010-06-01 Calient Networks, Inc. Boundary isolation for microelectromechanical devices
FR2872958B1 (fr) * 2004-07-12 2008-05-02 Commissariat Energie Atomique Procede de fabrication d'un film mince structure et film mince obtenu par un tel procede
DE102011012087B3 (de) * 2011-02-23 2012-04-05 Texas Instruments Deutschland Gmbh Verfahren zur Herstellung von komplementären Bipolartransistoren
US10636671B1 (en) * 2019-03-08 2020-04-28 United Microelectronics Corp. Planarization process

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS58213444A (ja) * 1982-06-04 1983-12-12 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
JPS59217339A (ja) * 1983-05-26 1984-12-07 Toshiba Corp 半導体装置の製造方法
JPS6050939A (ja) * 1983-08-30 1985-03-22 Toshiba Corp 半導体装置の製造方法

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US32090A (en) * 1861-04-16 Clothes-wbiitgee
US4367119A (en) * 1980-08-18 1983-01-04 International Business Machines Corporation Planar multi-level metal process with built-in etch stop
DE3265339D1 (en) * 1981-03-20 1985-09-19 Toshiba Kk Method for manufacturing semiconductor device
US4492717A (en) * 1981-07-27 1985-01-08 International Business Machines Corporation Method for forming a planarized integrated circuit
JPS5828850A (ja) * 1981-08-12 1983-02-19 Fujitsu Ltd 半導体装置の製造方法
US4454647A (en) * 1981-08-27 1984-06-19 International Business Machines Corporation Isolation for high density integrated circuits
JPS5898943A (ja) * 1981-12-09 1983-06-13 Nec Corp 半導体装置の製造方法
CA1169022A (en) * 1982-04-19 1984-06-12 Kevin Duncan Integrated circuit planarizing process
US4446194A (en) * 1982-06-21 1984-05-01 Motorola, Inc. Dual layer passivation
DE3225961A1 (de) * 1982-07-10 1984-01-12 Engl, Walter L., Prof. Dr.rer.nat., 5120 Herzogenrath Verfahren zum herstellen eines isolationsbereiches bei halbleiteranordnungen
JPS5957449A (ja) * 1982-09-28 1984-04-03 Toshiba Corp 半導体装置とその製造方法
JPS59158534A (ja) * 1983-02-28 1984-09-08 Oki Electric Ind Co Ltd 半導体装置の製造方法
JPS59175138A (ja) * 1983-03-23 1984-10-03 Mitsubishi Electric Corp 半導体装置の製造方法
JPS59177941A (ja) * 1983-03-28 1984-10-08 Nec Corp 素子分離領域の製造方法
JPS6039846A (ja) * 1983-08-15 1985-03-01 Oki Electric Ind Co Ltd 半導体集積回路装置の製造方法
JPS60132341A (ja) * 1983-12-21 1985-07-15 Hitachi Ltd 半導体装置
JPS60142535A (ja) * 1983-12-28 1985-07-27 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
JPS60236244A (ja) * 1984-05-09 1985-11-25 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
US4589193A (en) * 1984-06-29 1986-05-20 International Business Machines Corporation Metal silicide channel stoppers for integrated circuits and method for making the same
JPS6155939A (ja) * 1984-08-28 1986-03-20 Toshiba Corp 半導体装置の製造方法
US4571819A (en) * 1984-11-01 1986-02-25 Ncr Corporation Method for forming trench isolation structures
US4665010A (en) * 1985-04-29 1987-05-12 International Business Machines Corporation Method of fabricating photopolymer isolation trenches in the surface of a semiconductor wafer
US4662986A (en) * 1985-06-27 1987-05-05 Signetics Corporation Planarization method and technique for isolating semiconductor islands
US4662064A (en) * 1985-08-05 1987-05-05 Rca Corporation Method of forming multi-level metallization
US4704368A (en) * 1985-10-30 1987-11-03 International Business Machines Corporation Method of making trench-incorporated monolithic semiconductor capacitor and high density dynamic memory cells including the capacitor
US4689113A (en) * 1986-03-21 1987-08-25 International Business Machines Corporation Process for forming planar chip-level wiring
US4676868A (en) * 1986-04-23 1987-06-30 Fairchild Semiconductor Corporation Method for planarizing semiconductor substrates
US4676867A (en) * 1986-06-06 1987-06-30 Rockwell International Corporation Planarization process for double metal MOS using spin-on glass as a sacrificial layer

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS58213444A (ja) * 1982-06-04 1983-12-12 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
JPS59217339A (ja) * 1983-05-26 1984-12-07 Toshiba Corp 半導体装置の製造方法
JPS6050939A (ja) * 1983-08-30 1985-03-22 Toshiba Corp 半導体装置の製造方法

Also Published As

Publication number Publication date
DE68927353T2 (de) 1997-04-24
JP3156998B2 (ja) 2001-04-16
DE68927353D1 (de) 1996-11-28
EP0334268A3 (en) 1991-01-30
EP0334268B1 (en) 1996-10-23
US4876217A (en) 1989-10-24
EP0334268A2 (en) 1989-09-27

Similar Documents

Publication Publication Date Title
JPH01276641A (ja) 半導体デバイスの製造方法
US4791073A (en) Trench isolation method for semiconductor devices
US5610083A (en) Method of making back gate contact for silicon on insulator technology
US5098856A (en) Air-filled isolation trench with chemically vapor deposited silicon dioxide cap
KR100360735B1 (ko) 집적회로 칩 및 그의 제조방법
US5455194A (en) Encapsulation method for localized oxidation of silicon with trench isolation
US6251734B1 (en) Method for fabricating trench isolation and trench substrate contact
US6074927A (en) Shallow trench isolation formation with trench wall spacer
US5264395A (en) Thin SOI layer for fully depleted field effect transistors
KR0178823B1 (ko) 반도체장치 및 그 제조방법
JPH0526337B2 (ja)
US6194287B1 (en) Shallow trench isolation (STI) method with reproducible alignment registration
JPH0786391A (ja) 半導体集積回路装置の製造方法
KR19990088449A (ko) 열적산화물이채워진얕은트렌치고립
EP1184902A1 (en) Method for forming an isolation trench in a SOI substrate
JPS5898943A (ja) 半導体装置の製造方法
US6403492B1 (en) Method of manufacturing semiconductor devices with trench isolation
WO1993010559A1 (en) Process for fabricating insulation-filled deep trenches in semiconductor substrates
US6444539B1 (en) Method for producing a shallow trench isolation filled with thermal oxide
KR100245307B1 (ko) 반도체 장치의 소자 분리방법
US6040233A (en) Method of making a shallow trench isolation with thin nitride as gate dielectric
JP2000183317A (ja) Soiウェハ―の製造方法
JP2812013B2 (ja) 半導体装置の製造方法
US6261966B1 (en) Method for improving trench isolation
US6436831B1 (en) Methods of forming insulative plugs and oxide plug forming methods

Legal Events

Date Code Title Description
S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R360 Written notification for declining of transfer of rights

Free format text: JAPANESE INTERMEDIATE CODE: R360

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R371 Transfer withdrawn

Free format text: JAPANESE INTERMEDIATE CODE: R371

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080209

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090209

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090209

Year of fee payment: 8

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: R3D03

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100209

Year of fee payment: 9

EXPY Cancellation because of completion of term
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100209

Year of fee payment: 9