JP7341309B2 - 基板処理方法及び基板処理システム - Google Patents

基板処理方法及び基板処理システム Download PDF

Info

Publication number
JP7341309B2
JP7341309B2 JP2022501788A JP2022501788A JP7341309B2 JP 7341309 B2 JP7341309 B2 JP 7341309B2 JP 2022501788 A JP2022501788 A JP 2022501788A JP 2022501788 A JP2022501788 A JP 2022501788A JP 7341309 B2 JP7341309 B2 JP 7341309B2
Authority
JP
Japan
Prior art keywords
organic component
oxide film
metal oxide
film
containing metal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2022501788A
Other languages
English (en)
Other versions
JPWO2021166674A5 (ja
JPWO2021166674A1 (ja
Inventor
祐介 齋藤
誠 村松
寛之 藤井
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JPWO2021166674A1 publication Critical patent/JPWO2021166674A1/ja
Publication of JPWO2021166674A5 publication Critical patent/JPWO2021166674A5/ja
Application granted granted Critical
Publication of JP7341309B2 publication Critical patent/JP7341309B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0335Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/6715Apparatus for applying a liquid, a resin, an ink or the like

Landscapes

  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Description

本開示は、基板処理方法及び基板処理システムに関する。
特許文献1に開示の、デバイス層をエッチングする方法は、基板上にデバイス層を堆積させることと、デバイス層上に、6nm未満の平均粒径を有するナノ結晶ダイヤモンド層を堆積させることと、ナノ結晶ダイヤモンド層からエッチマスクを形成することとを含む。さらに、上記方法は、エッチマスクを介してデバイス層をエッチングしてチャネルを形成することと、ナノ結晶ダイヤモンド層をアッシングすることを含む。特許文献1において、ナノ結晶ダイヤモンド層はCVDプロセスを使用して堆積されている。
特開2017-224823号公報
本開示にかかる技術は、エッチング耐性が高く低コストで形成可能なマスクを用いてエッチングを行い、エッチング後に当該マスクを容易に除去可能な基板処理方法及び基板処理システムを提供する。
本開示の一態様は、基板を処理する基板処理方法であって、基板を処理する基板処理方法であって、有機金属錯体、溶媒及び添加物を含む塗布液を基板に塗布し、前記塗布液の液膜を形成する工程と、前記塗布液の液膜が形成された基板を加熱し、前記添加物に含まれる有機成分を含有する金属酸化膜である有機成分含有金属酸化膜を形成する工程と、前記有機成分含有金属酸化膜をマスクとしてドライエッチングを行う工程と、前記ドライエッチング後、前記有機成分含有金属酸化膜中の前記有機成分を除去する工程と、前記有機成分含有金属酸化膜から前記有機成分を除去した膜をウェットエッチングにより除去する工程と、を有する。
本開示によれば、エッチング耐性が高く低コストで形成可能なマスクを用いてエッチングを行い、エッチング後に当該マスクを容易に除去可能な基板処理方法及び基板処理システムを提供することができる。
本実施形態にかかる基板処理システムとしてのウェハ処理システムの構成の概略を模式的に示す図である。 図1のウェハ処理システムで行われるウェハ処理の一例を説明するためのフローチャートである。 ウェハ処理の各工程におけるウェハWの状態を示す模式部分断面図である。 ウェハ処理中に形成される膜の構造を模式的に示す図である。 第1加熱装置及び第2加熱装置での加熱後のウェハWにおける、チタン原子、酸素原子、炭素原子及びシリコン原子の質量密度の割合の深さ方向分布を示す図である。 紫外線照射処理がウェットエッチング処理に及ぼす影響を示す図である。
半導体デバイス等の製造工程では、半導体ウェハ(以下、「ウェハ」という。)に対して、フォトリソグラフィー処理が行われ、ウェハ上にレジストパターンが形成される。そして、このレジストパターンをマスクとして、処理対象層のエッチングが行われ、当該処理対象層に所望のパターンが形成される。
ところで、半導体デバイスの微細化等に伴い、処理対象層のエッチングに際し、高アスペクト比でのエッチングが求められている。このための技術として、レジスト膜よりエッチング耐性の高いハードマスク層を、レジスト膜の下層に形成し、当該ハードマスク層をマスクとしてエッチングする技術が知られている。ハードマスク層としては、例えばTEOS(Tetra Ethyl Orthosilicate)を原料として形成された酸化シリコン膜(以下、「TEOS膜」という。)が用いられる。しかし、3D NANDデバイスの出現等に伴い、よりエッチング耐性が高いハードマスク層が求められている。
特許文献1には、CVDプロセスを使用して堆積されたナノ結晶ダイヤモンド層をエッチマスクとして用いることが開示されている。しかし、ナノ結晶ダイヤモンド層はスループットが低いCVD法により形成されている。したがって、ナノ結晶ダイヤモンド層は、TEOS膜よりエッチング耐性が高いとしても、高コストである。ハードマスク層は、当該ハードマスク層をマスクとしたエッチング後に除去されるものであるため、そのようなものに高いコストをかけるのは好ましくない。
また、ハードマスク層は、当該ハードマスク層をマスクとしたエッチング後は、スループット等の観点から、容易に除去可能であることが求められる。
そこで、本開示にかかる技術は、エッチング耐性が高く低コストで形成可能なマスクを用いてエッチングを行い、エッチング後に当該マスクを容易に除去可能な基板処理方法及び基板処理システムを提供する。エッチング耐性が高く低コストで形成可能なマスクであって当該マスクを用いたエッチング後に容易に除去可能なものを用いて、エッチングを行う、基板処理方法及び基板処理システムを提供する。なお、本明細書において、「マスクのエッチング耐性」とは、当該マスクを用いてドライエッチングしたときの当該マスクの耐性を意味する。
以下、本実施形態にかかる基板処理方法及び基板処理システムについて、図面を参照しながら説明する。なお、本明細書及び図面において、実質的に同一の機能構成を有する要素については、同一の符号を付することにより重複説明を省略する。
図1は、本実施形態にかかる基板処理システムとしてのウェハ処理システムの構成の概略を模式的に示す図である。
図示するように、ウェハ処理システムKは、基板としてのウェハWに所望の処理を行う3つの処理システム1~3を有している。また、ウェハ処理システムKには、制御装置4が設けられている。制御装置4は、例えばCPUやメモリ等を備えたコンピュータであり、プログラム格納部(図示せず)を有している。プログラム格納部には、ウェハ処理システムKにおける各種処理を制御するプログラムが格納されている。なお、上記プログラムは、コンピュータに読み取り可能な非一時的な記憶媒体に記録されていたものであって、当該記憶媒体から制御装置4にインストールされたものであってもよい。プログラムの一部または全ては専用ハードウェア(回路基板)で実現してもよい。
第1処理システム1では、ウェハWに対してフォトリソグラフィー処理等が行われる。第1処理システム1は、レジスト塗布装置11と、現像装置12と、液膜形成装置13と、第1~第5加熱装置21~25と、を有する。
レジスト塗布装置11は、レジスト液をウェハWに供給し、ウェハW上にレジスト液の液膜を形成する。
現像装置12は、現像液を用いて、ウェハWを現像処理する。
液膜形成装置13は、有機金属錯体、溶媒及び添加物を含む塗布液としてのマスク層形成用液をウェハWに塗布し、ウェハW上に当該マスク層形成用液の液膜を形成する。液膜形成装置13は、レジスト塗布装置11によるレジスト液膜の形成の前に、マスク層形成用液の液膜の形成を行う。マスク層用塗布液に含まれる有機金属錯体は、金属原子と炭素原子との結合を含む錯体であり、本実施形態では、有機チタン錯体であるものとする。溶媒には、例えば、プロピレングリコールメチルエーテル(PGME)、プロピレングリコールモノメチルエーテルアセテート(PGMEA)またはこれらを混合した溶媒を用いることができる。添加物には、濡れ性向上や乾燥抑制を目的とするものの他、後述の有機成分含有金属酸化膜の膜密度を向上させることを目的とした有機物(以下、「密度向上用有機物」という。)が含まれている。密度向上用有機物は、第1加熱装置21及び第2加熱装置22での加熱温度では分解せず膜内に残る有機化合物が用いられる。特に、第2加熱装置22では、後述のように、有機チタン錯体の加水分解及び脱水縮合のため、高温で加熱が行われるが、密度向上用有機物は、このような第2加熱装置22での高い加熱温度でも分解しない有機化合物が用いられる。
レジスト塗布装置11及び液膜形成装置13は、スピン塗布法によりウェハWに処理液を塗布し各種膜を形成するスピン塗布装置である。レジスト塗布装置11及び液膜形成装置13は、公知のスピン塗布装置と同様、ウェハWが載置される載置台(図示せず)や、各種処理液を吐出する吐出ノズル(図示せず)、載置台を回転させることでウェハWを回転させて処理液をウェハWの表面に拡散させる回転機構(図示せず)等を有する。
第1加熱装置21は、液膜形成装置13によるマスク層形成用液の液膜形成後、ウェハWを加熱する。第1加熱装置21による加熱により、マスク層形成用液の液膜から溶媒等を除去する。
第2加熱装置22は、第1加熱装置21による加熱後、ウェハを加熱する。第2加熱装置22による加熱により、後述の有機成分含有金属酸化膜としての有機成分含有酸化チタン膜が形成される。
第3加熱装置23は、レジスト塗布装置11によるレジスト液膜の形成後且つ露光装置(図示せず)による露光前に、ウェハWを加熱する。つまり、第3加熱装置23は、PAB(Pre-applied bake)処理を行う。上述の露光装置は、例えば第1処理システム1に隣接する位置に設けられている。
第4加熱装置24は、上記露光後且つ現像装置12による現像前に、ウェハWを加熱する。つまり、第4加熱装置24は、PEB(Post exposure bake)処理を行う。
第5加熱装置25は、上記現像後、ウェハWを加熱する。つまり、第5加熱装置25はポストベイク処理を行う。
第1~第5加熱装置21~25は、公知の加熱装置と同様、ウェハWが載置され当該ウェハWを所定の温度で加熱する熱板(図示せず)等を有する。
その他、第1処理システム1には、装置間でウェハを搬送するためのウェハ搬送装置(図示せず)等が設けられている。
第2処理システム2では、ウェハWに対してプラズマを用いたプラズマ処理、具体的には、プラズマを用いた、ウェハWに対するドライエッチングが行われる。第2処理システム2は、第1ドライエッチング装置31と、第2ドライエッチング装置32とを有する。
第1ドライエッチング装置31は、現像装置12による現像によって形成されたレジストパターンをマスクとして、後述の有機成分含有酸化チタン膜をドライエッチングし、有機成分含有酸化チタン膜のパターンを形成する。
第2ドライエッチング装置32は、上記有機成分含有酸化チタン膜のパターンをマスクとして、処理対象層をドライエッチングする。処理対象層は例えば有機成分含有酸化チタン膜の直下に形成されている、アモルファスシリコン層や、タングステン(W)を含むWBC層等の金属炭化層である。
第1ドライエッチング装置31及び第2ドライエッチング装置32には、公知のエッチング装置を用いることができ、例えばRIE(Reactive Ion Etching)装置が用いられる。
その他、第2処理システム2には、装置間でウェハを搬送するためのウェハ搬送装置(図示せず)等が設けられている。
第3処理システム3では、ウェハWに対して、有機成分含有金属酸化膜のパターンの除去処理等が行われる。第3処理システム3は、除去装置41と、ウェットエッチング装置42とを有する。
除去装置41は、第2ドライエッチング装置32によるドライエッチング後、有機成分含有酸化チタン膜中の有機成分を除去する。具体的には、除去装置41は、上記ドライエッチング後、有機成分含有酸化チタン膜のパターン中の、密度向上用有機物を除去する。例えば、除去装置41は、エネルギー線としての紫外線をウェハWに照射しながら当該ウェハWを加熱することで、密度向上用有機物を除去し、有機成分含有酸化チタン膜のパターンを酸化チタン膜とする。この場合、除去装置41は、例えば、ウェハWが載置される載置台(図示せず)や、ピーク波長が172nmの紫外線を載置台上のウェハWに照射するキセノンフラッシュランプ又は重水素ランプ等のUV光源(図示せず)、載置台に埋設された抵抗加熱ヒータ等の加熱機構(図示せず)を有する。なお、除去装置41が、載置台が内部に配設される処理容器(図示せず)をさらに有し、UV光源が処理容器の外部に設けられ当該処理容器に設けられた光学窓を介して紫外線をウェハWに照射するようにしてもよい。上述のUV光源は、ウェハWの全面に対して紫外線を照射可能に構成される。なお、照射する紫外線のピーク波長は、172nmに限定されず、例えば150nm~200nmであればよい。
ウェットエッチング装置42は、有機成分含有酸化チタン膜のパターンから有機成分すなわち密度向上用有機物を除去した膜を、ウェットエッチングにより除去する。
ウェットエッチング装置42には、公知の装置を用いることができる。
その他、第3処理システム3には、装置間でウェハWを搬送するためのウェハ搬送装置(図示せず)等が設けられている。
続いて、以上のように構成されたウェハ処理システムKで行われるウェハ処理の一例について説明する。図2は、ウェハ処理の一例を説明するためのフローチャートである。図3は、ウェハ処理の各工程におけるウェハWの状態を示す模式部分断面図である。図4は、ウェハ処理中に形成される膜の構造を模式的に示す図である。なお、ウェハ処理が行われるウェハWの表面には、図3(A)に示すように、処理対象層としてのアモルファスシリコン膜(以下、「a-Si膜」という。)F1が予め形成されている。
(マスク層形成用液の液膜形成)
まず、図2及び図3(A)に示すように、第1処理システム1の液膜形成装置13において、有機チタン錯体等を含むマスク層形成用液がウェハWの表面に回転塗布され、a-Si膜F1を覆うようにマスク層形成用液の液膜F2が形成される(ステップS1)。図4(A)に示すように、マスク層形成用液の液膜F2の状態では、チタン原子Mとリガンド(R)Lとを含む有機チタン錯体Cは互いに独立しており、チタン原子M同士は結合していない。また、マスク層形成用液の液膜F2には、添加物Aが含有されている。なお、図示は省略するが、マスク層形成用液の液膜F2中には溶媒も含有されている。
(有機成分含有酸化チタン膜の形成)
次いで、マスク層形成用液の液膜F2が形成されたウェハWが加熱され、図3(B)に示すように、有機成分含有金属酸化膜としての有機成分含有酸化チタン膜F3が形成され、具体的には、a-Si膜F1上の液膜F2が、有機成分含有酸化チタン膜F3となる(ステップS2)。有機成分含有金属酸化膜とは、マスク形成用液の添加物に含まれていた密度向上用有機物を含有する金属酸化膜であり、具体的には、密度向上用有機物が金属酸化膜構造の中に入り込んだような形態で存在する膜である。
ステップS2の工程では、より具体的には、まず、第1加熱装置21において、マスク層形成用液の液膜F2が形成されたウェハWが第1温度T1で加熱され、当該液膜F2内の溶媒が除去される。このとき、不要な添加物、具体的には密度向上用有機物以外の添加物も除去される。第1温度T1は、マスク層形成用液中の溶媒の沸点より高く後述の加水分解及び脱水縮合が生じない温度、例えば150℃~300℃である。
続いて、第2加熱装置22において、溶媒等が除去されたウェハWが、例えば大気ガス雰囲気下で、第1温度T1より高い第2温度T2で加熱される。この加熱の結果、大気中の水分と酸素により、図4(B)に示すように有機チタン錯体Cが加水分解されると共に、加水分解された有機チタン錯体Cが脱水縮合され、図4(C)に示すように、チタン原子M同士が酸素(O)を介して結合され、酸化チタン膜構造MSが形成される。加水分解及び脱水縮合の過程において、密度向上用有機物Yは、除去されず、酸化チタン膜構造MSの中に入り込んだような形態で膜中に残る。有機成分含有酸化チタン膜F3は、このように、密度向上用有機物Yが酸化チタン膜構造MSの中に入り込んだような形態で存在する膜である。
なお、第2加熱装置22での加熱後の有機成分含有酸化チタン膜F3の厚さは、例えば20~500nmである。また、上述の第2温度T2は例えば350~600℃である。
有機成分含有酸化チタン膜F3に含まれる密度向上用有機物Yは、前述のように、第1加熱装置21及び第2加熱装置22での加熱温度では分解しない有機化合物である。具体的には、密度向上用有機物Yは、例えば、容易に分解することがない高分子の有機物である。ただし、炭素原子間が単結合のみである鎖式化合物である場合、分子量が高すぎると、金属酸化膜構造が正常に形成されない場合がある。その場合は、密度向上用有機物Yとして、分子量が比較的小さくても容易に分解することがない、環式有機化合物や炭素原子間に不飽和結合を含む鎖式化合物等が用いられる。
図5は、第1加熱装置21及び第2加熱装置22での加熱後のウェハWにおける、チタン原子、酸素原子、炭素原子及びシリコン原子の原子組成百分率(atom%)の深さ方向分布を示す図である。図には、ベアシリコンウェハ上に厚さが約400nmの有機成分含有酸化チタン膜F3を形成したときの例が示されている。
図示するように、第1加熱装置21及び第2加熱装置22で加熱された後の有機成分含有酸化チタン膜F3において、チタン原子、酸素原子及び炭素原子の原子組成百分率は深さ方向に偏りがなく、略一様であり、言い換えると、組成比が深さ方向に関して略一様である。また、第1加熱装置21及び第2加熱装置22で加熱された後の有機成分含有酸化チタン膜F3中の炭素原子の割合は約50%であり比較的高い。
これらのことから、第1加熱装置21及び第2加熱装置22での加熱後の膜には、マスク層形成用液に含まれる有機成分が除去されずに残っていることが分かる。
なお、原子組成百分率からみると、有機成分含有酸化チタン膜F3は一酸化チタンの構造に近いと思われる。しかし、有機成分含有酸化チタン膜F3の構造は、加熱により反応が進み形成されたチタン原子と酸素原子との結合(Ti-O結合)の部分と、未反応のチタン原子とリガンドとの結合(Ti-R結合)の部分とが混在しており、その全体が純粋な一酸化チタンの構造、二酸化チタンの構造等を成すものではない、と推測される。
また、本発明者らは、第2加熱装置22での加熱温度がある温度(例えば600℃)以上になると、有機成分含有酸化チタン膜F3の膜厚が下がることを確認している。これは、第2加熱装置22での加熱温度がある温度以上になると、密度向上用有機物が分解し始めるから、と考えられる。
ウェハ処理の説明に戻る。
(レジストパターンの形成)
有機成分含有酸化チタン膜F3の形成後、レジストパターンが形成される(ステップS3)。
具体的には、まず、レジスト塗布装置11において、ウェハWの表面にレジスト液が回転塗布され、有機成分含有酸化チタン膜F3を覆うように、レジスト液の液膜が形成される。
続いて、第3加熱装置23において、ウェハWがPAB処理され、図3(C)に示すように、有機成分含有酸化チタン膜F3上にレジスト膜F4が形成される。
次に、露光装置(図示せず)において、ウェハが所望のパターンで露光処理される。
次いで、第4加熱装置24において、ウェハWがPEB処理される。
そして、現像装置12において、ウェハWが現像処理され、図3(D)に示すように、レジストパターンF5が形成される。その後、第5加熱装置25において、ウェハWがボスとベーク処理される。
(レジストパターンの転写)
レジストパターンF5の形成後、当該レジストパターンF5が有機成分含有酸化チタン膜F3に転写され、図3(E)に示すように、有機成分含有酸化チタン膜のパターンF6が形成される(ステップS4)。
具体的には、例えば、ウェハWが、第2処理システム2に搬入され、第1ドライエッチング装置31において、レジストパターンF5をマスクとして、有機成分含有酸化チタン膜F3がドライエッチングされ、レジストパターンF5が有するパターンが有機成分含有酸化チタン膜F3に転写される。そして、アッシング装置(図示せず)において、レジストパターンF5が除去され、図3(E)に示すように、有機成分含有酸化チタン膜のパターンF6が形成される。有機成分含有酸化チタン膜F3のドライエッチングには、例えば塩素(Cl)ガスや三塩化ホウ素(BCl)ガスが用いられる。
(処理対象層のドライエッチング)
次いで、第2ドライエッチング装置32において、有機成分含有酸化チタン膜のパターンF6をマスクとして、処理対象層であるa-Si膜F1がドライエッチングされる(ステップS5)。処理対象層のドライエッチングには、Cl系ガスが用いられる。Cl系ガスとは、Cl(塩素)を含むガスであり、例えば、塩化水素(HCl)ガス等である。また、Cl系ガスに代えて、フッ素(F)を含む六フッ化硫黄(SF)ガス等のF系ガスを用いてもよい。
(有機成分の除去)
その後、有機成分含有酸化チタン膜のパターンF6から密度向上用有機物Yが除去される(ステップS6)。これにより、有機成分含有酸化チタン膜のパターンF6は、図3(F)に示すように、密度向上用有機物Yを含まない酸化チタン膜のパターンF7となる。
ステップS6では、具体的には、ウェハWが、第3処理システム3に搬入され、除去装置41において、ウェハWが第3温度T3で加熱され、その状態で、当該ウェハWに紫外線が照射され、密度向上用有機物Yが除去される。紫外線の照射は、例えば大気ガス雰囲気下で行われる。大気ガス雰囲気下での紫外線照射により生じたオゾンや活性酸素によって密度向上用有機物Yが酸化される。酸化した密度向上用有機物Yは揮発され除去されるところ、加熱することで揮発速度を高めることができる。なお、第3温度T3を高くするほど、密度向上用有機物Yの除去を高速で行うことができる。第3温度T3は例えば200~600℃である。
(ウェットエッチング)
そして、ウェットエッチング装置42において、密度向上用有機物Yを含まない酸化チタン膜のパターンF7がウェットエッチングにより除去される(ステップS7)。エッチング液には、例えば希フッ酸を用いることができる。希フッ酸の代わりに、SPM(Sulfuric acid Peroxide Mixture:硫酸過水)を用いてもよい。
酸化チタン膜のパターンF7が厚い場合等においては、ステップS6の有機成分の除去処理とステップS7のウェットエッチング処理とを交互に繰り返すようにしてもよい。
以上で、ウェハ処理システムKで行われるウェハ処理が完了する。
図6は、紫外線照射処理がウェットエッチング処理に及ぼす影響を示す図である。図には、ベアシリコンウェハ上に全面に亘って形成された有機成分含有酸化チタン膜に、紫外線照射処理を行った後に、0.5%希フッ酸でウェットエッチングを行った場合と、紫外線照射処理を行わずに同ウェットエッチングを場合の結果が示されている。0.5%希フッ酸は、CVD法等により形成された酸化チタン膜のウェットエッチングに用いられるものである。図において、横軸は処理時間を示し、縦軸はウェットエッチング後の残膜厚を示している。なお、紫外線照射処理では、ピーク波長が172nmの紫外線を照射した。
図に示すように、紫外線照射処理を行わない場合、ウェットエッチングの処理時間が300秒では30nm程度しか減少しない。それに対し、紫外線照射処理を行った場合、ウェットエッチングの処理時間が同じ300秒でも、有機成分含有酸化チタン膜の厚さは250nm程度と、紫外線照射処理を行わなかった場合より、約8倍減少する。
このことから、有機成分含有酸化チタン膜は、紫外線照射処理を行うことで、短時間で容易に剥離することができることが分かる。なお、紫外線照射処理により、有機成分含有酸化チタン膜のウェットエッチングの速度が高くなる理由としては、密度向上用有機物によって希フッ酸と酸化チタン構造との反応が阻害されるのに対し、紫外線照射処理により密度向上用有機物が減少し上記反応が阻害されなくなったことが考えられる。
図に示した結果では、紫外線照射処理した場合において、ウェットエッチングの処理時間が300秒を超えると、ウェットエッチングの処理時間によらず残膜厚はほとんど変わらず、ウェットエッチングの処理時間が900秒でも有機成分含有酸化チタン膜は80nm程度残っている。ただし、有機成分含有酸化チタン膜を薄くしたり、紫外線照射量を調整したり、紫外線の波長を変更することで、有機成分含有酸化チタン膜はウェットエッチングにより除去可能である。
以上のように、本実施形態にかかる基板処理方法は、有機金属錯体、溶媒及び添加物を含むマスク層形成用液をウェハWに塗布し、マスク層形成用液の液膜を形成する工程と、上記液膜が形成されたウェハWを加熱し、添加物に含まれる有機成分を含有する金属酸化膜である有機成分含有金属酸化膜を形成する工程と、有機成分含有金属酸化膜をマスクとしてドライエッチングを行う工程と、を有する。つまり、本実施形態では、処理対象層のドライエッチングを行う際に有機成分含有金属酸化膜をマスクとしている。有機成分含有金属酸化膜は、マスク層形成用液の塗布と加熱のみで形成可能であり、高スループットで形成可能であるため、低コストである。また、有機成分含有金属酸化膜は、TEOS膜のシリコン原子が金属原子に置き換わったような金属酸化膜を骨格としており、且つ、シリコン原子に比べて金属原子は揮発しにくいため、TEOS膜よりエッチング耐性が高い。さらに、有機成分含有金属酸化膜は、有機成分を含有しているため密度が高いので、さらに高いエッチング耐性を有する。実際に、本発明者らが行った試験によれば、Cl系ガスを用いたa-Si膜F1をドライエッチングするときの、有機成分含有金属酸化膜の一例である有機成分含有酸化チタン膜の選択比は、ドライエッチング時のウェハWの温度が400℃のときに、TEOS膜の4.9倍であり、同様に、500℃のときに5.9倍、600℃のときに5.7倍であった。したがって、本実施形態によれば、エッチング耐性が高いマスクを用いてドライエッチングを行う基板処理方法を提供することができる。
また、本実施形態にかかる基板処理方法は、有機成分含有金属酸化膜をマスクとしたドライエッチング後、当該有機成分含有金属酸化膜中の有機成分を除去する工程と、有機成分含有金属酸化膜から有機成分を除去した膜をウェットエッチングにより除去する工程と、を有する。この二つの工程で、マスクとして用いられた、高エッチング耐性の有機成分含有金属酸化膜を容易に除去することができる。
よって、本実施形態によれば、エッチング耐性が高く低コストで形成可能なマスクを用いてエッチングを行い、エッチング後に当該マスクを容易に除去可能な基板処理方法を提供することができる。
本実施形態では、上述のようにマスク用の金属酸化膜中にあえて有機物を残している。このように有機物を残すと、当該金属酸化膜が不要になったときに除去が困難である。そこで、エッチング後に金属酸化膜中から上述の有機物を除去するようにしている。
また、本実施形態では、有機成分含有金属酸化膜のパターンを除去するためのウェットエッチングの処理時間が短くても、当該パターンを除去することができる。したがって、有機成分含有金属酸化膜のパターンより下層の構造物が上記ウェットエッチングによって受けるダメージを低減することができる。
さらに、有機成分含有金属酸化膜は、エッチング耐性が高いため、薄く形成してもよい。薄く形成すれば、有機成分含有金属酸化膜へのレジストパターンの転写をより正確に行うことができ、また、有機成分含有金属酸化膜の除去をより容易に行うことができる。
以上の説明では、密度向上用有機物の除去処理は、紫外線照射しながら加熱する処理であった。紫外線の代わりに、電子線等の他のエネルギー線を照射してもよい。また、密度向上用有機物の除去処理は、エネルギー線は照射せずに単純にウェハWを高温で加熱する処理であってもよい。この場合は、第2加熱装置22での加熱温度である第2温度T2より高温で加熱することが好ましい。
以上では、紫外線照射処理は、大気ガス雰囲気下で行われるものとしたが、紫外線照射処理時に処理空間にオゾンガス等の酸化ガスを供給するようにしてもよい。また、上述のように、紫外線は照射せずに高温で加熱する場合にも、酸化ガスを供給するようにしてもよい。酸化ガスを供給することにより、密度向上用有機物の除去処理を短時間で行うことができる。
このように、密度向上用有機物の除去処理として種々の方法が採用できるため、密度向上用有機物として用いる有機化合物の選択肢が広い。そのため、有機チタン錯体や、密度向上用有機物以外の添加物の選択肢が広がる。つまり、本実施形態によれば、マスク層形成用液の選択肢が広い。
なお、密度向上用有機物の除去処理に用いる紫外線として、ピーク波長が150~200nm以外の紫外線、例えば、ピーク波長が222nmや254nmの紫外線を用いてもよい。この場合、紫外線照射処理時の処理空間にオゾンガス等の酸化ガスが供給される。
本実施形態における処理対象層である、アモルファスシリコン層等は、これ自体ハードマスクであり、実際のエッチング対象層は例えばアモルファスシリコン層等より下層のONO膜である。有機成分含有金属酸化膜のパターンを除去せずに、ONO膜等のエッチング対象層のエッチングを、有機成分含有金属酸化膜のパターンとアモルファスシリコンのパターンが積層された積層パターンをマスクとして行ってもよい。また、ONO膜等のエッチング対象層上に直接、有機成分含有金属酸化膜を形成し、ONO膜等のドライエッチングを有機成分含有金属酸化膜のパターンをマスクとして行ってもよい。
以上の例では、第1温度T1での加熱と、第2温度T2での加熱を異なる装置で行っていたが、同一の装置で行ってもよい。言い換えると、第1加熱装置21と第2加熱装置22とは一体化されていてもよい。
以上の例では、有機成分含有金属酸化膜の骨格を成す金属酸化膜は、酸化チタン膜であるものとしたが、酸化ジルコニウムや、酸化アルミニウム、酸化スズ膜等であってもよい。
以上の例では、密度向上用有機物が除去された後の有機成分含有金属酸化膜のウェットエッチングに、希フッ酸やSPMを用いていたが、他のエッチング液を用いてもよく、有機成分含有金属酸化膜の膜種に応じてエッチング液を変更してもよい。
今回開示された実施形態はすべての点で例示であって制限的なものではないと考えられるべきである。上記の実施形態は、添付の請求の範囲及びその主旨を逸脱することなく、様々な形態で省略、置換、変更されてもよい。
13 液膜形成装置
21 第1加熱装置
22 第2加熱装置
31 第1ドライエッチング装置
32 第2ドライエッチング装置
41 除去装置
42 ウェットエッチング装置
F2 液膜
F3 有機成分含有酸化チタン膜
A 添加物
C 有機チタン錯体
K ウェハ処理システム
W ウェハ
Y 密度向上用有機物

Claims (7)

  1. 基板を処理する基板処理方法であって、
    有機金属錯体、溶媒及び添加物を含む塗布液を基板に塗布し、前記塗布液の液膜を形成する工程と、
    前記塗布液の液膜が形成された基板を加熱し、前記添加物に含まれる有機成分を含有する金属酸化膜である有機成分含有金属酸化膜を形成する工程と、
    前記有機成分含有金属酸化膜をマスクとしてドライエッチングを行う工程と、
    前記ドライエッチング後、前記有機成分含有金属酸化膜中の前記有機成分を除去する工程と、
    前記有機成分含有金属酸化膜から前記有機成分を除去した膜をウェットエッチングにより除去する工程と、を有する、基板処理方法。
  2. 前記有機成分は、前記有機成分含有金属酸化膜の膜密度を向上させるためのものである、請求項1に記載の基板処理方法。
  3. 前記有機成分を除去する工程は、前記有機成分含有金属酸化膜が形成された基板を加熱しながら当該基板に紫外線を照射して、前記有機成分を除去する、請求項1または2に記載の基板処理方法。
  4. 前記有機成分含有金属酸化膜を形成する工程は、前記有機金属錯体を加水分解させ且つ加水分解した前記有機金属錯体同士を脱水縮合させ、前記有機成分含有金属酸化膜を形成する、請求項1~3のいずれか1項に記載の基板処理方法。
  5. 前記有機成分含有金属酸化膜を形成する工程は、
    前記塗布液の液膜が形成された基板を第1温度で加熱し、前記塗布液の液膜内の溶媒を除去する工程と、
    前記塗布液の液膜から前記溶媒が除去された基板を前記第1温度より高い第2温度で加熱し、前記有機金属錯体を加水分解させ且つ加水分解した前記有機金属錯体同士を脱水縮合させ、前記有機成分含有金属酸化膜を形成する工程と、を有する、請求項4に記載の基板処理方法。
  6. 前記有機成分含有金属酸化膜上にレジストパターンを形成する工程と、
    前記レジストパターンを前記有機成分含有金属酸化膜に転写する工程と、をさらに有し、
    前記ドライエッチングを行う工程は、前記レジストパターンが転写された前記有機成分含有金属酸化膜をマスクとして前記ドライエッチングを行う、請求項1~5のいずれか1項に記載の基板処理方法。
  7. 基板を処理する基板処理システムであって、
    有機金属錯体、溶媒及び添加物を含む塗布液を基板に塗布し、前記塗布液の液膜を形成する液膜形成装置と
    前記塗布液の液膜が形成された基板を加熱し、前記添加物に含まれる有機成分を含有する金属酸化膜である有機成分含有金属酸化膜を形成する加熱装置と、
    前記有機成分含有金属酸化膜をマスクとしてドライエッチングを行うドライエッチング装置と、
    前記ドライエッチング後、前記有機成分含有金属酸化膜中の前記有機成分を除去する除去装置と、
    前記有機成分含有金属酸化膜から前記有機成分を除去した膜をウェットエッチングにより除去するウェットエッチング装置と、を有する、基板処理システム。
JP2022501788A 2020-02-19 2021-02-05 基板処理方法及び基板処理システム Active JP7341309B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2020026134 2020-02-19
JP2020026134 2020-02-19
PCT/JP2021/004234 WO2021166674A1 (ja) 2020-02-19 2021-02-05 基板処理方法及び基板処理システム

Publications (3)

Publication Number Publication Date
JPWO2021166674A1 JPWO2021166674A1 (ja) 2021-08-26
JPWO2021166674A5 JPWO2021166674A5 (ja) 2022-10-21
JP7341309B2 true JP7341309B2 (ja) 2023-09-08

Family

ID=77392058

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2022501788A Active JP7341309B2 (ja) 2020-02-19 2021-02-05 基板処理方法及び基板処理システム

Country Status (6)

Country Link
US (1) US20230077937A1 (ja)
JP (1) JP7341309B2 (ja)
KR (1) KR20220143055A (ja)
CN (1) CN115066742A (ja)
TW (1) TW202201483A (ja)
WO (1) WO2021166674A1 (ja)

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015156414A (ja) 2014-02-20 2015-08-27 東京エレクトロン株式会社 半導体装置の製造方法及び半導体製造装置
WO2016080217A1 (ja) 2014-11-19 2016-05-26 日産化学工業株式会社 湿式除去が可能なシリコン含有レジスト下層膜形成組成物
WO2019159761A1 (ja) 2018-02-15 2019-08-22 東京エレクトロン株式会社 基板処理システム、基板処理装置及び基板処理方法

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4978748B2 (ja) * 2011-12-20 2012-07-18 信越化学工業株式会社 エッチング方法
TWI670831B (zh) 2014-09-03 2019-09-01 美商應用材料股份有限公司 用於三維nand硬遮罩應用的奈米結晶鑽石碳膜

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015156414A (ja) 2014-02-20 2015-08-27 東京エレクトロン株式会社 半導体装置の製造方法及び半導体製造装置
WO2016080217A1 (ja) 2014-11-19 2016-05-26 日産化学工業株式会社 湿式除去が可能なシリコン含有レジスト下層膜形成組成物
WO2019159761A1 (ja) 2018-02-15 2019-08-22 東京エレクトロン株式会社 基板処理システム、基板処理装置及び基板処理方法

Also Published As

Publication number Publication date
CN115066742A (zh) 2022-09-16
TW202201483A (zh) 2022-01-01
WO2021166674A1 (ja) 2021-08-26
US20230077937A1 (en) 2023-03-16
JPWO2021166674A1 (ja) 2021-08-26
KR20220143055A (ko) 2022-10-24

Similar Documents

Publication Publication Date Title
JP7282830B2 (ja) 蒸着金属酸化物含有ハードマスクのeuvフォトパターニング
US8536068B2 (en) Atomic layer deposition of photoresist materials and hard mask precursors
CN114026501A (zh) 利用卤化物化学品的光致抗蚀剂显影
JP5851052B2 (ja) パターン平滑化及びインライン限界寸法のスリム化のための蒸気処理プロセス
TWI587390B (zh) 用以蝕刻有機硬遮罩之方法
JP7502545B2 (ja) 照射フォトレジストパターニングのための統合乾式プロセス
US7288483B1 (en) Method and system for patterning a dielectric film
JP2008098418A (ja) 基板処理方法および基板処理システム、ならびにコンピュータ読取可能な記憶媒体
KR20230028428A (ko) 포토레지스트의 건식 배면 및 베벨 에지 세정
KR20230113400A (ko) 유기 증기를 사용한 포토레지스트 현상
US9679770B2 (en) Semiconductor device manufacturing method and semiconductor device manufacturing apparatus
JP7341309B2 (ja) 基板処理方法及び基板処理システム
CN105988284A (zh) 双掩膜自对准图案化的方法
TWI845848B (zh) 用於圖案化輻射光阻圖案化的整合型乾式處理系統
JP2803335B2 (ja) レジストのアッシング方法及びその装置
US20240053684A1 (en) Cyclic Method for Reactive Development of Photoresists
TW202420411A (zh) 藉由化學氣相聚合作用形成感光性有機金屬氧化物的方法
TW202422221A (zh) 用於euv圖案化的金屬氧化物阻劑及其顯影方法
JP2024522485A (ja) 極端紫外線パターニングのための有機金属膜
WO2024076679A1 (en) Dry chamber clean using thermal and plasma processes
TW202407473A (zh) 在氧化鹵素供給環境中有機金屬阻劑之基於氣體的顯影
JP2024514454A (ja) 金属含有フォトレジストからの金属汚染の制御
TW202422244A (zh) 用於圖案化輻射光阻圖案化的整合型乾式處理系統
JPH04302424A (ja) パターン形成方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220805

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220805

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230801

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230829

R150 Certificate of patent or registration of utility model

Ref document number: 7341309

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150