JP7323626B2 - エッジリングの温度及びバイアスの制御 - Google Patents

エッジリングの温度及びバイアスの制御 Download PDF

Info

Publication number
JP7323626B2
JP7323626B2 JP2021544124A JP2021544124A JP7323626B2 JP 7323626 B2 JP7323626 B2 JP 7323626B2 JP 2021544124 A JP2021544124 A JP 2021544124A JP 2021544124 A JP2021544124 A JP 2021544124A JP 7323626 B2 JP7323626 B2 JP 7323626B2
Authority
JP
Japan
Prior art keywords
ring
bias
substrate support
baseplate
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2021544124A
Other languages
English (en)
Other versions
JP2022523069A (ja
Inventor
ジェームズ ロジャーズ,
リンイン サイ,
ラジンダー ディンサ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2022523069A publication Critical patent/JP2022523069A/ja
Priority to JP2023076469A priority Critical patent/JP7516611B2/ja
Priority to JP2023122102A priority patent/JP2023159093A/ja
Application granted granted Critical
Publication of JP7323626B2 publication Critical patent/JP7323626B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2001Maintaining constant desired temperature

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)
  • Physical Vapour Deposition (AREA)
  • Thermistors And Varistors (AREA)
  • Mechanical Operated Clutches (AREA)

Description

技術分野
[0001]本書に記載の実施形態は、概して半導体デバイス製造に関し、詳細には、基板のプラズマ処理中に、この基板の周縁エッジ及び周縁エッジの近位で処理プロファイルを制御するために使用される、方法及び装置に関する。
関連技術の説明
[0002]プラズマ支援型エッチングプロセスは、周知のものであり、かつ、高密度集積回路の製造において、基板の材料層に開口を形成することによってかかる材料層をパターニングするために一般に使用されるプロセスオブレコード(POR)である。典型的なプラズマ支援型エッチングプロセスでは、処理チャンバ内に配置された基板支持体上に基板が位置付けられ、基板の上方でプラズマが形成される。次いでプラズマからのイオンが、基板に向けて、かつ基板上に配置されたマスク層に形成された開口に向けて加速されて、マスク表面の下に配置された材料層に、マスク層の開口に対応する開口をエッチングする。
[0003]多くの場合、基板支持体は基板支持アセンブリの一部であり、この基板支持アセンブリは、基板支持体上の周縁の近位に配置された環状リング(本書ではエッジリング)を更に含む。エッジリングは、基板に外接しており、基板支持体の誘電体材料を、エッジリングがなければプラズマによって引き起こされる浸食から保護する。残念ながら、基板エッジと、エッジリングの基板エッジの近位に配置された部分との間の電気的及び熱的な不連続性により、基板エッジにおける望ましくない処理結果の変動が引き起こされうる。プラズマ支援型エッチングプロセスでは、望ましくない処理結果の変動とは、基板のエッジ又は縁部よりも径方向内側に配置された基板領域にエッチングされた開口のプロファイルと比較した、基板の縁部又はエッジの開口にエッチングされた開口の開口プロファイルの不均一性(すなわち、これらのプロファイル間の差異)を含む。基板エッジの表面にわたる処理結果の変動が過度になることは、デバイスの歩留まり(基板上に製造されるデバイスの総数のうちの、性能仕様に適合しているデバイスの割合)に悪影響を与え、それを低下させうる。
[0004]したがって、当該技術分野において、基板のプラズマ支援型処理中に、基板のエッジにおける処理結果の変動を制御するための装置及び方法が、必要とされている。
[0005]本書に記載の実施形態は、基板のプラズマ支援型処理中に、基板の周縁エッジの近位で処理結果プロファイルを制御するために使用される、方法及び装置を提供する。
[0006]一実施形態では、基板支持アセンブリは、第1ベースプレートと、第1ベースプレートに外接している第2べースプレートとを特徴とする。第1ベースプレートと第2ベースプレートは各々、内部に配置された一又は複数の第1冷却チャネルと第2冷却チャネルをそれぞれ有する。基板支持アセンブリは更に、第1ベースプレート上に配置され、かつ第1ベースプレートに熱的に連結された基板支持体と、第2ベースプレート上に配置され、かつ第2ベースプレートに熱的に連結されたバイアスリングとを、特徴とする。ここでは、基板支持体とバイアスリングは各々、1つの誘電体材料で形成される。基板支持アセンブリは、バイアスリングの誘電体材料に埋め込まれたエッジリングバイアス電極と、バイアスリング上に配置されたエッジリングとを更に含む。
[0007]別の実施形態では、基板を処理する方法は、基板支持アセンブリの基板支持体を第1温度まで加熱することと、基板支持体に外接しているエッジリングを第2温度まで加熱することと、基板支持体上に基板を位置付けることと、を含む。ここでは、基板支持アセンブリは、処理チャンバの処理空間内に配置されており、第1ベースプレートと、第1ベースプレートに外接している第2ベースプレートとを特徴とする。第1ベースプレートと第2ベースプレートは各々、内部に配置された一又は複数の第1冷却チャネルと第2冷却チャネルをそれぞれ有する。基板支持アセンブリは、第1ベースプレート上に配置され、かつ第1ベースプレートに熱的に連結された基板支持体と、第2ベースプレート上に配置され、かつ第2ベースプレートに熱的に連結されたバイアスリングとを、更に含む。基板支持体とバイアスリングは各々、1つの誘電体材料で形成される。基板支持アセンブリは、バイアスリングの誘電体材料に埋め込まれたエッジリングバイアス電極と、バイアスリング上に配置されたエッジリングとを更に含む。この方法は、処理ガスを処理空間に流入させることと、処理ガスのプラズマを点火(igniting)し、維持することと、第1バイアス電圧を使用して、基板をバイアスすることと、第2バイアス電圧を使用して、エッジリングをバイアスすることと、を更に含む。
[0008]別の実施形態では、処理チャンバは、処理チャンバの処理空間内に配置された基板支持アセンブリと、プロセッサによって実行された時に基板を処理する方法を実施するための命令が記憶されている、コンピュータ可読媒体とを、含む。ここでは、基板支持アセンブリは、第1ベースプレートと、第1ベースプレートに外接している第2べースプレートとを含む。第1ベースプレートと第2ベースプレートは各々、内部に配置された一又は複数の第1冷却チャネルと第2冷却チャネルをそれぞれ有する。基板支持アセンブリは、第1ベースプレート上に配置され、かつ第1ベースプレートに熱的に連結された基板支持体と、第2ベースプレート上に配置され、かつ第2ベースプレートに熱的に連結されたバイアスリングとを、更に含む。ここでは、基板支持体とバイアスリングは各々、1つの誘電体材料で形成される。基板支持アセンブリは、バイアスリングの誘電体材料に埋め込まれたエッジリングバイアス電極と、バイアスリング上に配置されたエッジリングとを更に含む。基板を処理する方法は、基板支持体を第1温度まで加熱することと、基板に外接しているエッジリングを第2温度まで加熱することと、基板支持体上に基板を位置付けることとを、含む。この方法は、処理ガスを処理空間に流入させることと、処理ガスのプラズマを点火し、維持することと、第1バイアス電圧を使用して、基板をバイアスすることと、第2バイアス電圧を使用して、エッジリングをバイアスすることと、を更に含む。
[0009]本開示の上述の特徴を詳しく理解しうるように、上記で簡単に要約された本開示のより詳細な説明が、実施形態を参照することによって得られ、一部の実施形態は付随する図面に示されている。しかし、本開示は他の等しく有効な実施形態も許容しうることから、付随する図面はこの開示の典型的な実施形態のみを例示しており、したがって、本開示の範囲を限定すると見なすべきではないことに、留意されたい。
[0010]一実施形態による、本書に記載している方法を実施するよう構成された基板支持アセンブリを特徴とする処理チャンバの概略断面図である。 [0011]図1に記載しているプラズマ処理チャンバ内で使用されうる、他の実施形態による基板支持アセンブリの一部分の概略断面図である。 図1に記載しているプラズマ処理チャンバ内で使用されうる、他の実施形態による基板支持アセンブリの一部分の概略断面図である。 図1に記載しているプラズマ処理チャンバ内で使用されうる、他の実施形態による基板支持アセンブリの一部分の概略断面図である。 [0012]図1に記載している処理チャンバ内で使用されうる、他の実施形態による基板支持アセンブリの一部分の概略断面図である。 図1に記載している処理チャンバ内で使用されうる、他の実施形態による基板支持アセンブリの一部分の概略断面図である。 [0013]本書に記載している方法を使用して形成されたプラズマシースプロファイルを概略的に示している、図1の一部分の拡大図である。 本書に記載している方法を使用して形成されたプラズマシースプロファイルを概略的に示している、図1の一部分の拡大図である。 本書に記載している方法を使用して形成されたプラズマシースプロファイルを概略的に示している、図1の一部分の拡大図である。 [0014]本書に記載している方法を使用したエッジリング温度の変動の影響を概略的に示している、図1の一部分の拡大図である。 本書に記載している方法を使用したエッジリング温度の変動の影響を概略的に示している、図1の一部分の拡大図である。 [0015]図1に記載している処理チャンバ内で使用されうる、別の実施形態による基板支持アセンブリの一部分の概略断面図である。 [0016]図1に記載している処理チャンバ内で使用されうる、別の実施形態による基板支持アセンブリの一部分の概略断面図である。 [0017]本書に記載している方法の実施形態を使用して処理された基板の、エッジの近位での正規化限界寸法(CD)収縮の比較測定値を示す。 本書に記載している方法の実施形態を使用して処理された基板の、エッジの近位での正規化限界寸法(CD)収縮の比較測定値を示す。 [0018]図6Aに記載しているのと同じ処理条件を使用して処理された基板のエッジの近位での、正規化エッチング速度の比較測定値を示す。 図6Bに記載しているのと同じ処理条件を使用して処理された基板のエッジの近位での、正規化エッチング速度の比較測定値を示す。 [0019]本書に記載の実施形態による、基板を処理する方法を記載しているフロー図である。
[0020]理解を容易にするために、可能であれば、複数の図に共通する同一の要素を指し示すのに、同一の参照番号を使用した。1つの実施形態で開示されている要素及び特徴は、かかる要素及び特徴が具体的に列挙されずとも、他の実施形態に有益に組み込まれうると想定される。
[0021]本書に記載の実施形態は、基板のプラズマ支援型処理中に、基板の周縁エッジの近位で処理結果プロファイルを制御するために使用される、方法及び装置を提供する。詳細には、本書の方法及び装置は、基板支持アセンブリであって、その上に未処理基板が支持される基板支持アセンブリの表面の周縁に沿って配置された環状リング(本書ではエッジリング)を選択的かつ電気的にバイアスすること、及びかかる環状リングを温度制御することを提供する。エッジリングをバイアスし、温度制御することは、基板支持体であって、その上に未処理基板が配置されている基板支持体をバイアスし、温度制御することとは独立して行われる。
[0022]基板支持体とエッジリングとの間で行われるように、バイアス印加及び温度制御が独立していることにより、基板のエッジの近位での処理結果プロファイルの微細なチューニングが可能になる。例えば、プラズマ支援型エッチングプロセスでは、基板エッジの近位での(例えば、エッジから15mmの範囲内での)基板上の処理結果は、基板エッジよりも径方向内側の場所における基板上の処理結果とは、異なることが多い。処理結果のこの不均一性は、多くの場合、これに対応する、基板上でプロセスを実施するために使用されるプラズマであって、基板の上方で形成される(この場合、基板の主表面の平面は水平位置で処理されている)プラズマの特性における不連続性又は変化に起因しうる。処理プラズマの特性における不連続性又は変化は、基板上方のプラズマ領域の形状の変化、及び、プラズマ全体における、プラズマを形成する処理ガスのイオン化の程度の変化を含む。典型的には、処理プラズマにおけるかかる不連続性又は変化は、基板のエッジの内側の基板上方の領域と比較して、基板のエッジ領域及びエッジ領域の近位のエッジリングの上方に配置された領域において、より顕著である。したがって、本書で提示している装置及び方法により、エッジリングのバイアス印加とエッジリングの温度の両方の微細な制御が可能になり、上述した差異が改善される。
[0023]多くの場合、材料堆積工程(CVD、PVD、及びALDのプロセスなど)、又は材料除去工程(化学機械研磨(CMP)及び湿式若しくは乾式のエッチング処理など)といった既存の基板処理工程は、基板の中心領域とエッジ領域との間に、フィーチャ(特徴部)プロファイルのばらつき又は材料厚さのばらつきを引き起こしうる。かかる状況において、既存の基板処理工程による中心-エッジ間の不均一性を補償するために、本書に記載の実施形態によって可能になるエッジリングのバイアス印加とエッジリングの温度の両方の微細な制御が使用されうる。
[0024]エッジリングのバイアス印加は、図1及び図4Aから図4Cで図示し、説明しているように、プラズマシースの形状を制御することによって、基板エッジの近位の上方に配置された領域内のプラズマの形状をチューニングすることを容易にする。プラズマシースが湾曲している場合、バイアスされた基板に向かって牽引されるイオンの軌道は、シースが基板表面に平行である時にプラズマから基板に向かって牽引されるイオンの軌道とは異なる。エッジリング温度を制御することにより、処理ガス中の反応性中性種(例えばラジカル及び活性分子)の濃度が影響を受け、ひいては、基板エッジの近位の上方に配置された領域において、反応性中性物質に関与する化学反応がチューニングされる。
[0025]図1は、一実施形態による、本書に記載している方法を実践するよう構成されたプラズマ処理チャンバの概略断面図である。この実施形態では、処理チャンバはプラズマエッチング処理チャンバ(反応性イオンエッチング(RIE)プラズマチャンバなど)である。他の実施形態では、処理チャンバは、プラズマ堆積チャンバ(例えば、プラズマ化学気相堆積(PECVD)チャンバ、プラズマ物理的気相堆積(PEPVD)チャンバ、又はプラズマ原子層堆積(PEALD)チャンバ)である。他の実施形態では、処理チャンバは、プラズマ処理チャンバ又はプラズマベースのイオン注入チャンバ(例えば、プラズマドーピング(PLAD)チャンバ又は物理的気相堆積(PVD)チャンバ)である。本書では、処理チャンバは、高周波(RF)電源に電気的に連結された誘導結合プラズマ(ICP)源を含む。他の実施形態では、プラズマ源は容量結合プラズマ(CCP)源(例えば、処理空間内に配置されたプラズマ電極)であり、この場合、プラズマ電極は、RF電源に電気的に連結され、かつチャンバ内でエネルギー及び電力を容量結合させてプラズマにする。
[0026]処理チャンバ100は、集合的に処理空間105を画定するチャンバリッド102と、一又は複数の側壁103と、チャンバベース104とを含む、チャンバ本体101を特徴とする。処理空間105は、処理空間105内に処理ガスを供給する処理ガス源106に流体連結される。処理チャンバ100は、処理ガス源を通じて処理空間に導入された処理ガス(複数可)のプラズマ108を点火して維持するよう構成された、プラズマ生成装置107を更に含む。プラズマ生成装置107は、処理空間105の外部の場所の、チャンバリッド102の近位に配置された、一又は複数の誘導コイル109を含む。一又は複数の誘導コイル109は、RF電源110に電気的に連結されている。プラズマ生成装置107は、RF電源110によって電力供給された誘導コイル109からのエネルギーを処理ガス(複数可)と誘導結合させることによってプラズマ108を点火し、維持するために、使用される。ここでは、処理空間105は、処理空間105を大気圧より低い圧力に維持し、処理空間105から処理ガス及びその他のガスを排出するために、真空源(一又は複数の専用真空ポンプなど)に流体連結される。典型的には、処理チャンバは、処理チャンバの動作を制御し、本書に記載している方法を実装するために使用される、システムコントローラ111を含む。
[0027]本書では、システムコントローラ111は、メモリ113(例えば不揮発性メモリ)及びサポート回路114と共に動作可能な、プログラマブル中央処理ユニット(本書ではCPU112)を含む。サポート回路114は、従来的に、CPU112に連結されており、処理チャンバ100の制御を容易にするためにその様々な構成要素に連結された、キャッシュ、クロック回路、入/出力サブシステム、電源など(及びこれらの組み合せ)を備える。CPU112は、処理チャンバ100の様々な構成要素及びサブプロセッサを制御するための、工業環境で使用される任意の形態の汎用コンピュータプロセッサのうちの1つ(プログラマブルロジックコントローラ(PLC)など)である。CPU112に連結されたメモリ113は、非一過性であり、典型的には、ランダムアクセスメモリ(RAM)、読み出し専用メモリ(ROM)、フロッピーディスクドライブ、ハードディスク、又は、他の任意の形態のローカル若しくはリモートのデジタル記憶装置といった、容易に入手可能なメモリのうちの一又は複数である。
[0028]典型的には、メモリ113は、命令を包含するコンピュータ可読記憶媒体(例えば不揮発性メモリ)の形態であり、この命令は、CPU112によって実行されると、処理チャンバ100の動作を促進する。メモリ113内の命令は、プログラム製品(例えば、本開示の方法を実装するプログラム)の形態である。プログラムコードは、いくつかの異なるプログラミング言語のうちのいずれかに適合しうる。一例では、本開示は、コンピュータシステムと共に使用されるコンピュータ可読記憶媒体に記憶されたプログラム製品として、実装されうる。プログラム製品のプログラム(複数可)が、実施形態の機能(本書に記載の方法を含む)を規定する。
[0029]例示的なコンピュータ可読記憶媒体は、(i)情報を恒久的に記憶する、書き込み不能な記憶媒体(例えば、CD-ROMドライブによって可読なCD-ROMディスク、フラッシュメモリ、ROMチップ、又は、任意の種類のソリッドステート不揮発性半導体メモリといった、コンピュータ内の読み出し専用メモリデバイス)、及び、(ii)変更可能な情報を記憶する、書き込み可能な記憶媒体(例えば、ディスケットドライブ若しくはハードディスクドライブ内のフロッピーディスク、又は、任意の種類のソリッドステートランダムアクセス半導体メモリ)
を含むが、これらに限定されるわけではない。かかるコンピュータ可読記憶媒体は、本書に記載の方法の機能を指示するコンピュータ可読命令を保有している場合には、本開示の実施形態となる。一部の実施形態では、本書に記載の方法又はその部分は、一又は複数の特定用途向け集積回路(ASIC)、フィールドプログラマブルゲートアレイ(FPGA)、又は他の種類のハードウェアの実行形態によって実施される。他の一部の実施形態では、本書に記載のプロセスは、ソフトウェアルーチン、ASIC(複数可)、FPGA、及び/又は他の種類のハードウェアの実行形態、を組み合わせることによって実施される。
[0030]処理チャンバ100は、処理空間105内に配置された基板支持アセンブリ115を更に含む。基板支持アセンブリ115は、チャンバベース104の下方の領域、又は基板支持アセンブリ115とチャンバベース104との間に配置された領域に、チャンバベース104を通って密封状態で延在する(例えば、ベローズ(図示せず)に囲まれている)支持シャフト116上に、配置される。典型的には、基板117は、一又は複数の側壁103のうちの1つにある、従来的には基板処理中にドア又はバルブ(図示せず)により密封される開口(図示せず)を通って、処理空間105内にローディング(搬入)される。基板支持アセンブリ115を通るように可動に配置された複数のリフトピン118により、基板支持アセンブリ115との間での基板117の移送が容易になる。リフトピン118は、上昇位置では、基板支持アセンブリ115の表面の上方に延在して、この表面から基板117を上昇させ、ロボットハンドラ(図示せず)による基板117へのアクセスを可能にする。下降位置では、リフトピン118の上面(図示せず)は、基板支持アセンブリ115の表面と同一平面にあるか、又はかかる表面の下に配置され、基板117は基板支持アセンブリ115の表面上に載置される。
[0031]ここでは、基板支持アセンブリ115は、第1ベースプレート120上に配置され、かつ第1ベースプレート120に熱的に連結された基板支持体119と、第1ベースプレート120に外接している第2ベースプレート121とを含む。基板支持体119は、誘電体材料(例えば、炭化ケイ素(SiC)などのバルク焼結セラミック材料、又は、酸化アルミニウム(Al)、窒化アルミニウム(AlN)、酸化チタン(TiO)、窒化チタン(TiN)、酸化イットリウム(Y)、これらの混合物、及びこれらの組み合わせといった、金属酸化物セラミック材料若しくは金属窒化物セラミック材料)から形成される。基板支持体119は、第1ベースプレート120と基板支持体119との間に配置された、熱伝導性接着剤によって、又は熱伝導性材料(例えば、熱伝導性であり物理的に適合しているガスケット若しくはその他の導電性材料)を含む機械的手段によって、第1ベースプレート120に熱的に連結されている。一部の実施形態では、第1ベースプレート120と第2ベースプレート121の一方又は両方が、耐腐食性で熱伝導性の材料(例えば、アルミニウム、アルミニウム合金、ステンレス鋼、又は窒化アルミニウム(AlN)といった、耐腐食性のセラミック又は金属)で形成される。
[0032]基板支持アセンブリ115は、第2ベースプレート121上に配置されたバイアスリング122と、バイアスリング122の上に配置されたエッジリング123とを更に含む。バイアスリング122は、典型的には、電極が内部に埋め込まれた誘電体材料で作製される。誘電体材料は、上述した、基板支持体119を形成するために使用されうるセラミック材料のうちの1つ又はかかるセラミック材料の組み合わせでありうる。典型的には、エッジリング123は、熱伝導性で導電性の材料(例えばシリコン、グラファイト、炭化ケイ素、又はこれらの組み合わせ)で形成される。一部の実施形態では、エッジリング123は、エッジリング123とバイアスリング122との間に介在する熱伝導性材料124(シリコーンガスケットなど)によって、バイアスリング122に熱的に連結される。他の実施形態では、熱伝導性材料124は、シリコーンベース又はアクリルベースのテープ又はペーストを含む。
[0033]一部の実施形態では、熱伝導性材料124を、処理ガス及びプラズマへの曝露を防止することによって処理ガス及びプラズマが引き起こす腐食から保護するために、エッジリング123とバイアスリング122の間の、熱伝導性材料124の内周及び外周に、保護リング125a~bが配置される。第1保護リング125aは、熱伝導性材料124に外接し、ゆえに、熱伝導性材料124の近位の、径方向外側に配置される。第2保護リング125bは、熱伝導性材料124の近位の、径方向内側に配置される。ここでは、保護リング125a~bは、処理ガス及びプラズマに耐性のあるポリマーで形成される。好適なポリマーの例は、一又は複数のフッ素含有ポリマー(フルオロポリマー)(例えばパーフルオロアルコキシ(PFA)、フッ化エチレンプロピレン(FEP)、デュポン社からTEFLON(登録商標)として市販されているポリテトラフルオロエチレン(PTFE)、又はこれらの組み合わせ)を含む。
[0034]他の実施形態では、エッジリング123は、バイアスリング122の直上に配置され、バイアスリング122に直接接触している。典型的には、かかる実施形態では、エッジリング123とバイアスリング122の一方又は両方の界面は、製造中に研磨される。エッジリング123とバイアスリング122との界面が研磨されることにより、研磨されていない表面と比べて接触表面積が増大し、ゆえに、エッジリング123とバイアスリング122との間の熱伝達率が所望にしたがって上昇する。一部の実施形態では、DC電源141は、バイアスリング122内に埋め込まれた電極(エッジリングバイアス電極136又は第2電極(図示せず)など)に電気的に連結される。かかる実施形態では、エッジリングバイアス電極136又は第2電極は、エッジリング123とエッジリングバイアス電極136又は第2電極の間に電位を提供し、これにより、これらの間に静電(ESC)誘引力をもたらすことによって、バイアスリング122とエッジリング123との間の密接な接触、ひいては高い熱伝達率を確保するために、使用される。
[0035]ここでは、基板支持体119は、その誘電体材料内に埋め込まれた一又は複数のチャック電極126を含む。一又は複数のチャック電極126は、基板117とチャック電極(複数可)126との間に電位を提供することによりこれらの間に静電(ESC)誘引力をもたらすことによって、基板117を基板支持体119に固定するために使用される。ここでは、一又は複数のチャック電極126は、チャック電極126にチャック電圧を提供するチャック電源127(DC電源など)に連結される。
[0036]基板支持アセンブリ115は更に、エッジリング123と基板117の一方又は両方を、それらの上方に形成されたプラズマ108に対してバイアスするよう構成される。典型的には、基板117は、基板バイアス電圧が印加される電極との容量結合を通じてバイアスされる。バイアスを提供するために使用される電極は、基板支持体119の誘電体材料内又は誘電体材料の下に配置されうる。一部の実施形態では、基板117をバイアスすることは、基板支持体119の誘電体材料内に配置されたチャック電極126に基板バイアス電圧を印加することを含む。かかる実施形態では、チャック電極126は、チャック電源127及びバイアス電源134の両方に電気的に連結される(バイアス電源134とチャック電極126との間の電気的連結は仮想線で示している)。かかる実施形態では、チャック電極126が、基板バイアスとDCチャック電位の両方を提供するために使用される。一部の実施形態では、基板117をバイアスすることは、バイアス電源134からの基板バイアス電圧を、バイアス電源134に電気的に連結されている第1ベースプレート120に印加することを含む。他の実施形態では、基板117をバイアスすることは、基板支持体119の誘電体材料内に埋め込まれた基板バイアス電極(図示せず)にバイアス電圧を印加することを含む。基板バイアス電極は、使用されるのであれば、典型的には、基板バイアス電極とチャック電極126との間に配置された基板支持体119の誘電体材料によって、チャック電極126から電気絶縁される。典型的には、バイアス電源134は、連続波(CW)RF電力、パルスRF電力、CW DC電力、又はパルスDC電力のうちの1つ、又はこれらの組み合わせを提供する。
[0037]エッジリング123をバイアスすることは、基板117をバイアスすることとは独立に、エッジリングバイアス電極136にエッジリングバイアス電圧を印加することを含む。ここでは、エッジリングバイアス電極136は、バイアスリング122の誘電体材料内に埋め込まれており、エッジリングバイアス電極136とバイアス電源134との間に配置された同調回路137を通じて、バイアス電源134に電気的に連結される。他の実施形態では、エッジリングバイアス電極136は、バイアス電源134とは異なる第2バイアス電源140に電気的に連結される(エッジリングバイアス電極136と第2バイアス電源140との間の電気的連結は仮想線で示している)。エッジリング123をバイアスすることと基板117をバイアスすることが独立していることで、基板117の周縁エッジの近位でのプラズマシース138aの形状の微細な制御が可能になる。プラズマシース138aは、典型的には、プラズマ108のバルクと、プラズマ108に対向している基板117及びエッジリング123の表面と間に配置されるダークスペース(dark-space)境界領域を画定する。プラズマシース138aの形状を制御することについては、図4Aから図4Cに関連して下記に記載する説明で後述する。
[0038]一部の実施形態では、第1ベースプレート120と第2ベースプレート121の一方又は両方は、金属窒化物セラミック材料(窒化アルミニウム(AlN)など)といった、熱伝導性で電気絶縁性の材料で形成される。熱伝導性で電気絶縁性の材料で第1ベースプレート120と第2ベースプレート121の一方又は両方を形成することで、エッジリングバイアス電極136と、基板にバイアス電力を提供するのに使用される電極(例えば、上述したが図示していない基板バイアス電極)又はチャック電極126との間のクロストークが、(バイアス電源134がそれらに電気的に連結されていても)所望にしたがって、防止されるか又は実質的になくなる。本書で使用される場合、「クロストーク(cross-talk)」とは、各電極に提供される電力間の望ましくない電気的干渉であり、これは、望ましくないことに、各電極に対するバイアス電力を独立して制御する能力に干渉しうる。典型的には、クロストークは、電極と、導電性の一又は複数のベースプレート(例えばアルミニウムのベースプレート)とが、それらの間に配置された基板支持体又はバイアスリングの比較的薄い誘電体材料を通じて容量結合されることにより生じる。
[0039]ここでは、基板支持アセンブリ115は、基板支持体119の誘電体材料内に埋め込まれた一又は複数の第1加熱素子128と、第1ベースプレート120内に配置された一又は複数の第1冷却チャネル129とを、更に含む。一又は複数の第1加熱素子128は、基板支持体119、ひいてはその上に配置された基板117を、処理前に望ましい温度まで加熱し、処理中に基板117を望ましい温度に維持するために使用される。一又は複数の第1冷却チャネル129は、相対的に高い電気抵抗を有する冷媒又は改質水のソースといった、冷却剤(coolant)源(図示せず)に流体連結され、それと流体連通している。第1ベースプレート120は、基板処理中に、基板支持体119の温度、ひいては、基板支持体119上に配置された基板117の温度を調節するために使用される。基板支持体119の温度の微細な制御、ひいては、その上に配置された基板117の温度の微細な制御を容易にするために、第1加熱素子(複数可)128と、第1冷却チャネル129を通る冷却剤の流れとは、単独で又は組み合わされて使用されうる。
[0040]エッジリング123の温度は、基板117の温度とは独立して、第2加熱素子130と第2冷却チャネル131の一方又は両方を使用して維持され、制御される。この実施形態では、第2加熱素子130は、バイアスリング122の誘電体材料内に埋め込まれた抵抗加熱素子を含む。第2加熱素子130は、第2冷却チャネル131を通って流れる冷却剤と組み合わされて、バイアスリング122を、ひいてはバイアスリング122に熱的に連結されたエッジリング123を、基板処理前に望ましい温度まで加熱し、基板処理中にはエッジリングを望ましい温度に維持するために使用される。ここでは、バイアスリング122は、バイアスリング122と基板支持体119との間に介在する第1絶縁体リング132によって、基板支持体119から断熱される。
[0041]ここでは、第2ベースプレート121内に配置された第2冷却チャネル131は、冷却剤源(図示せず)に流体連結され、これと流体連通しており、この冷却剤源は、第1冷却チャネル(複数可)に冷却流体を供給するために使用される冷却剤源と同じであっても、かかる冷却剤源とは異なるものであってもよい。同一の冷却剤源が使用される実施形態では、第1冷却チャネルを通る冷却剤の流量と第2冷却チャネルを通る冷却剤の流量とは、第1ベースプレート120と第2ベースプレート121のそれぞれの独立した温度制御を容易にするために、独立して制御される。典型的には、第2ベースプレート121は、第2ベースプレート121と第1ベースプレート120との間に介在する第2絶縁体リング133によって、第1ベースプレート120から断熱される。第1絶縁体リング132と第2絶縁体リング133は、典型的には、基板支持体119とバイアスリング122との間、及び第1ベースプレート120と第2ベースプレート121との間のそれぞれに、熱伝達を抑制するのに適した材料で形成される。断熱体リング132、133に適している可能性がある好適な材料の例は、PTFE、石英、シリコーン、及びこれらの組み合わせを含む。一部の実施形態では、断熱体リングは使用されず、第1ベースプレートと第2ベースプレートとは、それらの間の空間に設けられた真空によって互いにから離間され、断熱される。
[0042]ここでは、基板支持アセンブリ115は、バイアスリング122の誘電体材料内に又はかかる誘電体材料の近位に配置された一又は複数の温度センサ(例えば熱電対135)を更に含む。熱電対135は、バイアスリング122の温度、ひいては、それに熱的に連結されたエッジリング123の温度をモニタするために使用される。他の一部の実施形態では、基板支持体119とエッジリング123の一方又は両方は、図2Aに示しているように、それらの下に配置された、それら専用のヒータを使用して加熱される。他の一部の実施形態では、基板支持体119とエッジリング123の両方が、図3に示しているように、共通のベースプレート上に配置される。
[0043]図2Aは、図1に記載している処理チャンバ100内で基板支持アセンブリ115の代わりに使用されうる、一実施形態による基板支持アセンブリ200aの一部分の概略断面図である。ここでは、基板支持体119と第1ベースプレート120との間には第1ヒータ(例えば第1加熱プレート201)が介在し、バイアスリング122と第2ベースプレート121との間には第2ヒータ(例えば第2加熱プレート202)が介在している。第1加熱プレート201は、第1加熱プレート201と第2加熱プレート202との間に配置された第1絶縁体リング132によって、第2加熱プレート202から断熱される。第2ベースプレート121は、第2ベースプレート121と第1ベースプレート120との間に介在する第2絶縁体リング133によって、第1ベースプレート120から断熱される。
[0044]図2Bは、図1に記載している処理チャンバ100内で基板支持アセンブリ115の代わりに使用されうる、一実施形態による基板支持アセンブリ200bの一部分の概略断面図である。ここでは、基板支持アセンブリ200bは、図1に記載した基板支持アセンブリ115に類似しているが、第1電気絶縁プレート203及び第2電気絶縁プレート204を更に含む。第1電気絶縁プレート203は、基板支持体119と第1ベースプレート120との間に介在する。第2電気絶縁プレート204は、バイアスリング122と第2ベースプレート121との間に介在する。ここでは、第2電気絶縁プレート204は、第1電気絶縁プレート203に外接しており、それらの間に第1絶縁体リング132と第2絶縁体リング133の一方又は両方が介在することによって、第1電気絶縁プレート203から断熱される。
[0045]典型的には、第1電気絶縁プレート203と第2電気絶縁プレート204の一方又は両方は、金属窒化物セラミック材料(窒化アルミニウム(AlN)など)といった、熱伝導性で電気絶縁性の材料で形成される。電気絶縁プレート203及び204により、エッジリングバイアス電極136と、基板にバイアス電力を提供するのに使用される電極(例えば、上述したが図示していない基板バイアス電極)又はチャック電極126との間のクロストークが、(バイアス電源134がそれらに電気的に連結されていても)所望にしたがって防止されるか、又は実質的になくなる。一部の実施形態では、電気絶縁プレート203と204の一方又は両方は、それらの上に配置されたそれぞれの電極と、それらの下に配置されたそれぞれのベースプレートとの容量結合を実質的になくすか、又は著しく低減するのに十分な、厚さを有する。例えば、一部の実施形態では、電気絶縁プレート203と204の一方又は両方は、約5mmを上回る(例えば約10mmを上回る)厚さ、又は、例としては約5mmと約50mmとの間の厚さを有する。
[0046]図2Cは、図1に記載している処理チャンバ100内で基板支持アセンブリ115の代わりに使用されうる、一実施形態による基板支持アセンブリ200cの一部分の概略断面図である。ここでは、基板支持アセンブリ200cは、図1に記載した基板支持アセンブリ115に類似しているが、第2ベースプレート121とバイアスリング122との間に介在する電気絶縁プレート204を更に含む。基板支持アセンブリ200cは、図2Bに記載している電気絶縁プレート203を含まず、第1ベースプレート120は、設備プレート205の少なくとも一部分によって支持されている。設備プレート205は、基板支持アセンブリ200cに構造的支持を提供するものであり、本書に記載の実施形態のうちの1つ又はそれらの任意の組み合わせと共に使用されうる。他の実施形態では、基板支持アセンブリは、電気絶縁プレート203を含み、電気絶縁プレート204を含まないこともある。電気絶縁プレートの一方を含み、他方を含まないことで、バイアス電極同士の間のクロストークが更に低減されるか、実質的になくなると共に、基板支持アセンブリの製造コストが削減される。
[0047]図3Aは、図1に記載している処理チャンバ100内で基板支持アセンブリ115の代わりに使用されうる、別の実施形態による基板支持アセンブリ300bの一部分の概略断面図である。ここでは、基板支持体119とバイアスリング122の両方が、共通のベースプレート301上に配置されている。ベースプレート301の温度は、内部に配置された一又は複数の冷却チャネル302を使用して調節される。一又は複数の冷却チャネル302は、相対的に高い電気抵抗を有する冷媒又は改質水のソースといった、冷却剤源(図示せず)に流体連結され、それと流体連通している。ベースプレート301は、基板処理中に、基板支持体119及びバイアスリング122の温度、ひいては、基板支持体119とバイアスリング122の上にそれぞれ配置された基板117とエッジリング123の温度を、調節するために使用される。ここでは、基板支持体119とバイアスリング122とは、それらの間に介在する絶縁体リング303によって互いから断熱されている。この実施形態では、基板支持体119とバイアスリング122の各々は、それらの誘電体材料内に埋め込まれた、対応する加熱素子128、130を含む。他の実施形態では、基板支持体119及びバイアスリング122は、加熱プレート(例えば、図2に示している加熱プレート201、202)を使用して加熱される。
[0048]典型的には、バイアスリング122、ひいてはその上に配置されたエッジリング123は、一又は複数の熱電対135によって提供された測定値を使用して、望ましい温度に又は望ましい温度範囲内に維持される。測定された温度(複数可)はシステムコントローラ111に通信され、システムコントローラ111は、測定された温度(複数可)を望ましい温度設定点又は望ましい温度範囲と比較する。測定された温度が望ましい温度設定値を下回っているか、又は望ましい温度範囲を下回っている場合、システムコントローラ111は、エッジリング123への熱流を増大させる。典型的には、エッジリング123への熱流は、図1及び図3に示している第2加熱素子130、若しくは図2に示している第2加熱プレート202に電力を印加するか、又はそれらに提供される電力を増加させることによって、増大される。測定された温度が望ましい温度設定値を上回っているか、又は望ましい温度範囲を上回っている場合、システムコントローラ111は、エッジリング123から熱を除去する。典型的には、バイアスリング122に印加される電力をオフにするか若しくは低下させること、図1及び図2に示している第2ベースプレート121若しくは図3Aに示している一体型のベースプレートに至る冷却剤流量を変化させること、又はこれらを組み合わせることによって、エッジリング123から熱が除去される。一部の実施形態では、基板支持アセンブリ300aは、ベースプレート301と基板支持体119との間又はベースプレート301とのバイアスリング122との間にそれぞれ介在する、第1電気絶縁プレート203と第2電気絶縁プレート204(図2B参照)の一方又は両方を更に含む。
[0049]図3Bは、図1に記載している処理チャンバ100内で基板支持アセンブリ115の代わりに使用されうる、一実施形態による基板支持アセンブリ300bの一部分の概略断面図である。ここでは、基板支持アセンブリ300bは、共通のベースプレート301と基板支持体119及びバイアスリング122との間に介在する、共通の電気絶縁プレート304を更に含む。電気絶縁プレート304は、第1電気絶縁プレート203及び第2電気絶縁プレート204に関連して上述したのと同じ材料で形成されてよく、同じ厚さを有しうる。
[0050]図4Aから図4Cは、図1に示している処理チャンバ100の一部分の概略断面図であり、基板117のエッジの近位でのプラズマシース138a~cの形状をそれぞれ示している。ここでは、プラズマシース138a~cの形状は、本書に記載していれる方法を使用して、基板117に印加されるバイアス電圧と、エッジリング123に印加されるバイアス電圧との比率を調整することによって制御される。典型的には、エッジリング123に提供されるバイアス電圧を増大させることにより、エッジリング123に印加されるバイアス電圧が低いか、又は全くない場合と比較すると、エッジリング123の上方には相対的に厚いプラズマシース138bが生じる。
[0051]図4Aでは、プラズマシース138aは、基板117の上方に配置された領域と、エッジリング123の基板117の近位の部分の上方に配置された領域とにわたって、均一な厚さを有している。プラズマシース138aが均一な厚さであることにより、軌道401でプラズマ108から基板117の表面に向かうイオンの加速が促進される。軌道401は、実質的に、均一であり、かつ基板117のエッジの近位でも基板117の表面と直交している。
[0052]図4Bでは、プラズマシース138bは、基板117の上方に配置された領域よりも、エッジリング123の上方に配置された領域において厚くなっている。図4Bに示しているように、プラズマシース138bは、基板117のエッジの上方に配置された領域において、基板117のエッジから離れるように曲がっている。ここでは、プラズマ108からその下に配置された基板117のエッジへのイオンの軌道401は、エッジリング123に向かって傾斜している。ゆえに、図4Bにおける基板のエッジの近位のイオン軌道401は、図4A及び4Cにおけるものほど集束されておらず、基板のエッジの近位でのエッチング速度は比較的遅くなる。
[0053]図4Cでは、プラズマシース138cは、基板117の上方に配置された領域よりも、エッジリング123の上方に配置された領域において薄くなっている。図4Cに示しているように、プラズマシースは、基板117のエッジの上方に配置された領域において、基板117のエッジに向かって曲がっている。ここでは、プラズマ108から基板117に向かうイオンの軌道401は、基板117の周縁の周りで、エッジリング123から離れるように傾斜している。ゆえに、図4Cにおける基板のエッジの近位のイオン軌道401は、図4A及び4Bにおけるものよりも集束されており、基板をボンバードするイオンの濃度、ひいては、基板のエッジの近位でのエッチング速度が、相対的に増大する。基板117のエッジの近位でのイオン軌道401の焦束を減少又は増大させることによって、エッジにおけるエッチング速度は、それぞれ、エッジよりも径方向内側に配置された基板の残部と比較して、遅くなるか又は速くなるようチューニングされうる。
[0054]基板エッジの近位の基板表面をボンバードするイオンの焦束を増減させることに加えて、プラズマシースの曲率をチューニングすることで、基板の材料層(複数可)にエッチングされる開口の方向付けが変更される。例えば、図4Aでは、基板のエッジの近位で基板表面に衝突するイオンの軌道401は、基板表面に対して実質的に垂直であり、基板表面に形成される開口(図示せず)も、基板表面に対して実質的に垂直となる。図4B及び図4Cでは、イオンの軌道401はそれぞれ、基板117の中心から離れるように又は中心に向かって傾斜しており、これによって得られる開口は、イオンの軌道401の傾斜に応じて傾斜する。ゆえに、エッチングされた開口の角度は、他のチャンバ部品が基板のエッジでのフィーチャのチルトをもたらす場合の影響(例えば、プラズマ源若しくはバイアスによる不均一なプラズマ分布や、基板支持体の耐用製造寿命にわたるエッジリングの摩耗)を補償するように、エッジリングバイアス電力によってチューニングされうる。
[0055]図4D及び4Eは、基板のエッジの近位の上方での、中性反応種濃度に対するエッジリング温度の影響を概略的に示している。ここでは、図4Dのエッジリング123は、図4Eのエッジリング123よりも低い温度に維持されている。基板のエッジにおける場所から基板のエッジよりも径方向内側に配置された場所までの、エッチング速度プロファイルとポリマー堆積プロファイルとを、矢印405と407によってそれぞれ概略的に表現している。エッジリング温度が相対的に低い場合(図4D)、エッジリング123上にはより多くの重合種(polymerizing species)403が堆積される傾向があり、結果として、基板エッジ付近のエッジリング123の上方では、重合種の濃度が低くなる。ゆえに、基板エッジ上に堆積されるポリマーは比較的少なくなるが、その結果、エッジで速くなるエッチング速度プロファイル(an edge-high etch rate profile)がもたらされる(すなわち、基板のエッジよりも径方向内側に配置された領域と比較して、基板のエッジにおけるエッチング速度が速くなる)。その一方、エッジリング温度が相対的に高い場合(図4E)、エッジリング123上で発生するポリマー堆積は少なくなり、基板エッジ付近での重合種濃度は高くなる。ゆえに、基板エッジ上には比較的多くのポリマーが堆積され、その結果、エッジで遅くなるエッチング速度プロファイルがもたらされる(すなわち、基板のエッジよりも径方向内側に配置された領域と比較して、基板のエッジにおけるエッチング速度が遅くなる)。
[0056]他の実施形態では、エッジリングに印加される大バイアス電力は、部分的には、エッジリング上へのポリマー堆積を低減し、ひいては基板エッジの上方の重合種濃度を増大させるために、エッジリング温度を上昇させる役割を果たしうる。他の実施形態では、エッジリングに印加されるバイアス電力は、エッジリング温度に対する基板エッジ付近のプロセス感度を増減させるためのチューニングノブとなりうる。
[0057]図5A及び5Bは、一部の実施形態による基板支持アセンブリの一部分の概略断面図であり、本書に記載の基板支持アセンブリの他の実施形態のうちの1つ又はかかる他の実施形態の組み合わせと組み合わされうる。図5Aでは、基板支持アセンブリ500aは、図1から図4に示している基板支持アセンブリ、又はかかる図についての説明に記載している基板支持アセンブリののうちのいずれであってもよく、エッジリング123上に配置された誘電体材料(石英や金属酸化物セラミックなど)で作製された電気絶縁体リング502を更に含む。典型的には、電気絶縁体リング502のプラズマに対向する面は、(電気絶縁体リング502が上部に配置されない場合の)エッジリング123のプラズマに対向する面よりも低いエッチング速度を有し、ゆえに、基板支持アセンブリ500aの基板処理寿命が所望にしたがって延長される。他の実施形態では、電気絶縁体リング502は、不具合制御のために、チャンバ内で粒子を生成しにくい材料で形成される。
[0058]図5Bは、図1に記載している処理チャンバ内で使用されうる、別の実施形態による基板支持アセンブリの一部分の概略断面図である。図5Bでは、基板支持アセンブリ500bは、図1から図3に図示し、説明している基板支持アセンブリのいずれであってもよく、又は、かかる基板支持アセンブリの特徴のどのような組み合わせを含んでもよい。ここでは、エッジリング123は、基板のプラズマに対向する面の平面の上方に、高さHだけ延在する。(すなわち、エッジリング123のプラズマに対向する面は、基板117のプラズマに対向する面よりも高くなっている。)典型的には、処理条件及びバイアス条件が同じである場合、エッジリングの上方に配置されるシースの厚さは同じになる。図4Aに示している処理条件及びバイアス条件を前提とすると、エッジリング123のプラズマに対向する面を基板117のプラズマに対向する面の平面の上方に延在させることで、シースが押し上げられて、図4Bのプラズマプロファイルに類似したプラズマプロファイルが生成される。ゆえに、エッジリング123のプラズマに対向する面を基板117のプラズマに対向する面の平面の上方に延在させることにより、バイアスリングと基板表面とが同一平面である構成と比べると、比較的低いエッジバイアス電力で図4Bのプラズマプロファイルの形成が可能になる。他の実施形態では、エッジリング123は、基板の表面の平面よりも凹んでおり、図4Aに記載したのと同じ処理条件では、結果として、図4Cのプラズマプロファイルの方に非常に類似したプラズマプロファイルが生じる。
[0059]図6Aから図6Bのグラフ600a~bはそれぞれ、本書に記載している方法の実施形態を使用して処理された基板の、エッジの近位での正規化限界寸法(CD)収縮の比較測定値を示している。図7Aから図7Bのグラフ700a~bはそれぞれ、図6Aから図6Bにそれぞれ記載している、同じ処理条件を使用して処理された基板の、エッジの近位での正規化エッチング速度の比較測定値を示している。
[0060]CD収縮により、基板の表面に開口をパターニングすることが可能になり、この場合、開口は、その上方に配置されたフォトレジスト層におけるリソグラフィ画定寸法(a lithography defined dimension)を下回る寸法を有する。典型的には、フォトレジスト層は、未処理基板のエッチングされるべき表面に配置された多層マスク上に形成される。フォトレジスト層及び多層マスクにおける開口は、エッチャント及び重合ガスを含む処理ガスのプラズマを形成し、このプラズマに、多層マスク及びフォトレジスト層が形成された基板を暴露することによって、形成される。フィーチャが多層積層体内に形成される際に、エッチングされたフィーチャのCDを減少させるために、重合ガスは、多層積層体にエッチングされた開口の側壁に重合パッシベーション層を形成する。ゆえに、基板の表面に形成された開口の寸法は、フォトレジスト層内にパターニングされたリソグラフィ画定寸法と比べると減少し、つまり縮小する。典型的には、重合パッシべーション層は、比較的冷たい表面上では、温かい表面上よりも速く形成される。これは、冷たい表面上では重合種の付着係数が、ひいては吸収度が、高くなるからである。
[0061]図6Aから図6B及び図7Aから図7Bでは、各基板は、エッチャントガス及び重合ガスのプラズマを形成すること、基板をバイアスすること、及びプラズマに基板を曝露することによって、処理された。ここでは、エッチャント及び重合ガスは、流量が100sccmのCHF、50sccmのCF、100sccmのN、100sccmのArを含んでいた。基板は、基板表面積に対して0.14W/cmのバイアス電力を使用してバイアスされ、エッジリングバイアスは、約10Wと約60Wとの間で変動した。処理される各基板の半径は150mmだった。図6Aから6Bに示しているCD収縮は、基板の中心から約105mmと約145mmとの間に配置された、複数の径方向位置で測定された。図7Aから図7Bに示しているエッチング速度例は、基板の中心から約100mmと約150mmとの間に配置された、複数の径方向位置で測定された。
[0062]エッジリングは、図6Aでは、図6Bでエッジリングに印加されたバイアス電圧よりも低いバイアス電圧を使用してバイアスされた。図6A及び6Bではそれぞれ、基板601a~b、602a~b、及び603a~bが、基板が配置された基板支持体の温度に対して3つの異なる温度に維持されたエッジリングを使用して、処理された。基板601a、bでは、エッジリングの温度は、エッジリングと基板支持体との間にΔ-30℃の温度差を提供するよう、基板支持体の温度よりも約30℃低い温度に維持された。基板602a、bでは、エッジリングの温度は、エッジリングと基板支持体との間にΔ+40℃の温度差を提供するよう、基板支持体の温度よりも約40℃高い温度に維持された。基板603a、bでは、エッジリングの温度は、エッジリングと基板支持体との間にΔ0℃の温度差を提供するよう、基板支持体とほぼ同じ温度に維持された。
[0063]図6Aと図6Bの両方において、基板601a、bに対してエッジリングの温度を低下させることにより、基板のエッジにおけるCD収縮が、基板のエッジよりも径方向内側に配置された箇所で測定されたCD収縮と比べて減少している。図6Aと図6Bの両方において、基板602a、bに対してエッジリングの温度を上昇させることにより、基板のエッジにおけるCD収縮が、基板のエッジよりも径方向内側に配置された箇所で測定されたCD収縮と比べて増大している。図6A及び6Bに示しているように、エッジリング温度をチューニングすることは、基板のエッジにおけるCDプロファイルをチューニングするための、有効なチューニングノブとなる。
[0064]留意すべきは、エッジリングが高いエッジリングバイアス電圧を使用してバイアスされる場合(図6B参照)よりも、相対的に低いバイアス電圧を使用してエッジリングがバイアスされる場合(図6A参照)に、基板のエッジにおけるCD収縮の減少と増大の両方に対して、エッジリング温度の変動の影響はより顕著な影響を有する。このことは、エッジリングのバイアス印加を制御することで、エッジリングの上方に形成されるプラズマシースの厚さを制御することにより、エッジリングの温度チューニングの影響が拡大又は低減されうることを示唆している。
[0065]図7Aから図7Bは、本書に記載している方法の実施形態を使用して処理された基板の、エッジの近位でのエッチング速度の例の比較測定値を示している。図7Aでは、エッジリングは、図7Bのエッジリングに印加されるバイアス電圧よりも低いバイアス電圧を使用してバイアスされた。ここでは、基板701a~b、702a~b、及び703a~は、図6A及び図6Bの基板601a~b、602a~b、及び603a~bについてそれぞれ記載しているのと同じ処理条件を使用して処理された。基板701a、bでは、エッジリングの温度は、エッジリングと基板支持体との間にΔ-30℃の温度差を提供するよう、基板支持体の温度よりも約30℃低い温度に維持された。基板702a、bでは、エッジリングの温度は、エッジリングと基板支持体との間にΔ+40℃の温度差を提供するよう、基板支持体の温度よりも約40℃高い温度に維持された。基板703a、bでは、エッジリングの温度は、エッジリングと基板支持体との間にΔ0℃の温度差を提供するよう、基板支持体とほぼ同じ温度に維持された。
[0066]図7Aと図7Bの両方において、基板601a、bの温度に対してエッジリングの温度を低下させることにより、基板のエッジで測定されたエッチング速度が、基板のエッジよりも径方向内側で測定されたエッチング速度と比べて、概して増大している。図7Aと図7Bの両方において、基板702a、bの温度に対してエッジリングの温度を上昇させることにより、基板のエッジで測定されたエッチング速度が、基板のエッジよりも径方向内側で測定されたエッチング速度と比べて減少している。
[0067]図6A及び図6Bに記載しているCD収縮測定値と同様に、エッジリングが高いエッジリングバイアス電圧を使用してバイアスされる場合(図7B参照)よりも、相対的に低いバイアス電圧を使用してエッジリングがバイアスされる場合(図7A参照)に、基板のエッジに向かってエッチング速度を減少させることと増大させることの両方において、エッジリング温度の変動の影響はより顕著になる。上記と同様に、このことは、エッジリングのバイアス印加を制御することで、エッジリングの上方に形成されるプラズマシースの厚さを制御することにより、エッジリングの温度チューニングの影響が拡大又は低減されうることを示唆している。
[0068]図8は、本書に記載の実施形態にしたがって構成された基板支持アセンブリを使用して基板を処理する方法800を記載している、フロー図である。アクティビティ801において、この方法は、処理チャンバ(図1に記載の処理チャンバなど)の処理空間内に配置された基板支持アセンブリ上に、基板を位置付けることを含む。ここでは、基板支持アセンブリは、第1ベースプレートと、第1ベースプレートに外接している第2ベースプレートとを特徴とする。第1ベースプレートと第2ベースプレートの各々は、内部に配置された一又は複数の第1チャネルと第2チャネルとをそれぞれ含む。基板支持アセンブリは、第1ベースプレート上に配置され、かつ第1ベースプレートに熱的に連結された基板支持体と、第2ベースプレート上に配置され、かつ第2ベースプレートに熱的に連結されたバイアスリングとを、更に含む。ここでは、基板支持体とバイアスリングは各々、1つの誘電体材料で形成される。バイアスリングは、バイアスリングの誘電体材料に埋め込まれたエッジリングバイアス電極と、バイアスリング上に配置されたエッジリングとを更に含む。
[0069]方法800は、アクティビティ802と803において、基板を第1温度まで加熱することと、基板に外接しているエッジリングを第2温度まで加熱することと、をそれぞれ含む。典型的には、基板支持体、ひいてはその上に配置された基板は、基板支持体と第1ベースプレートとの間に配置されているか又は基板支持体の誘電体材料内に埋め込まれている第1ヒータを使用して、第1温度まで加熱される。バイアスリング、ひいてはその上に配置されたエッジリングは、バイアスリングと第2ベースプレートとの間に配置されているか又はバイアスリングの誘電体材料内に埋め込まれている第2ヒータを使用して、第2温度まで加熱される。一部の実施形態では、方法800は、基板を望ましい温度に又は望ましい温度範囲内に維持することと、エッジリングを望ましい温度に又は望ましい温度範囲内に維持することと、を更に含む。ここでは、エッジリングの温度と基板支持体の温度とは、互いとは独立して制御される。エッジリング温度を制御することで、プラズマ中の、エッジリングの付近及び基板のエッジの近位の重合種濃度をチューニングすること、ひいてはプロセスプロファイルをチューニングすることが可能になる。
[0070]アクティビティ804と805において、方法800は、処理チャンバの処理空間に処理ガスを流入させることと、処理ガスのプラズマを点火し、維持することと、をそれぞれ含む。
[0071]アクティビティ806と807において、方法800は、第1バイアス電圧を使用して、基板をバイアスすることと、
第2バイアス電圧を使用して、エッジリングをバイアスすることと、をそれぞれ含む。ここでは、基板をバイアスすることは、第1ベースプレート、基板支持体の誘電体材料内に埋め込まれたチャック電極、又は基板支持体の誘電体材料内に埋め込まれたバイアス電極、のうちの1つに、第1バイアス電圧を印加することを含む。エッジリングをバイアスすることは、バイアスリングの誘電体材料内に埋め込まれたエッジリングバイアス電極に第2バイアス電圧を印加することを含む。
[0072]アクティビティ808において、方法800は、第1バイアス電圧と第2バイアス電圧との比を決定することを含む。アクティビティ809において、方法800は、目標電圧比を実現するよう、第2バイアス電圧を調整することを含む。一部の実施形態では、第1バイアス電圧がある一定の電圧に維持される一方、第2バイアス電圧は、目標電圧比を実現するよう調整される。
[0073]本書に記載の方法により、処理されている基板のエッジの近位の上方に配置された領域におけるプラズマ特性の微細な制御及びチューニングが、有益に可能になる。プラズマ特性を微細に制御し、チューニングすることで、基板エッジにおける処理結果プロファイルの微細な制御及びチューニングが可能になる。本書の実施形態の利点は、処理チャンバがアイドル状態に達した後にも温度制御を有さないエッジリングの温度が上昇し続けること(例えば第1ウエハ効果)に起因しうる、基板間の処理変動をなくすか、又は実質的に減少させることを、更に含む。
[0074] 上記の説明は本開示の実施形態を対象としているが、本開示の基本的な範囲を逸脱しなければ、本開示の他の実施形態及び更なる実施形態が考案されてよく、本開示の範囲は以下の特許請求の範囲によって決まる。

Claims (19)

  1. 基板支持アセンブリであって、
    一又は複数の第1冷却チャネルが内部に配置された第1ベースプレートと、
    前記第1ベースプレートの最外径に外接している第2ベースプレートであって、一又は複数の第2冷却チャネルが内部に配置された、第2ベースプレートと、
    前記第1ベースプレート上に配置され、かつ前記第1ベースプレートに熱的に連結された基板支持体と、
    前記第2ベースプレート上に配置され、かつ前記第2ベースプレートに熱的に連結されたバイアスリングであって、誘電体材料で形成されているバイアスリングと、
    前記バイアスリングの前記誘電体材料に埋め込まれたエッジリングバイアス電極と、
    前記バイアスリング上に配置されたエッジリングと、を備える、
    基板支持アセンブリ。
  2. 前記エッジリングが、前記エッジリングと前記バイアスリングとの間に介在する熱伝導性材料によって前記バイアスリングに熱的に連結される、請求項1に記載の基板支持アセンブリ。
  3. 基板支持アセンブリであって、
    一又は複数の第1冷却チャネルが内部に配置された第1ベースプレートと、
    前記第1ベースプレートに外接している第2ベースプレートであって、一又は複数の第2冷却チャネルが内部に配置された、第2ベースプレートと、
    前記第1ベースプレート上に配置され、かつ前記第1ベースプレートに熱的に連結された基板支持体と、
    前記第2ベースプレート上に配置され、かつ前記第2ベースプレートに熱的に連結されたバイアスリングであって、誘電体材料で形成されているバイアスリングと、
    前記バイアスリングの前記誘電体材料に埋め込まれたエッジリングバイアス電極と、
    前記バイアスリング上に配置されたエッジリングと、を備え、
    前記第2ベースプレートが、前記第2ベースプレートと前記第1ベースプレートとの間に介在する第1絶縁体リングによって、前記第1ベースプレートから断熱される、基板支持アセンブリ。
  4. 前記バイアスリングが、前記バイアスリングと前記基板支持体との間に介在する第2絶縁体リングによって、前記基板支持体から断熱される、請求項3に記載の基板支持アセンブリ。
  5. 前記基板支持体を加熱するための第1ヒータと、前記エッジリングを加熱するための第2ヒータとを更に備える、請求項1に記載の基板支持アセンブリ。
  6. 前記第2ヒータが、前記バイアスリングと前記第2ベースプレートとの間に配置される、請求項5に記載の基板支持アセンブリ。
  7. 前記第2ヒータが、前記バイアスリングの前記誘電体材料内に埋め込まれる、請求項5に記載の基板支持アセンブリ。
  8. 基板を処理する方法であって、
    基板支持アセンブリの基板支持体を第1温度まで加熱することと、
    前記基板支持体に外接しているエッジリングを第2温度まで加熱することと、
    前記基板支持体上に前記基板を位置付けることと、を含み、前記基板支持アセンブリが処理チャンバの処理空間内に配置され、
    前記基板支持アセンブリは、
    一又は複数の第1冷却チャネルが内部に配置された第1ベースプレートと、
    前記第1ベースプレートの最外径に外接している第2ベースプレートであって、一又は複数の第2冷却チャネルが内部に配置された、第2ベースプレートと、
    前記第1ベースプレート上に配置され、かつ前記第1ベースプレートに熱的に連結された前記基板支持体と、
    前記第2ベースプレート上に配置され、かつ前記第2ベースプレートに熱的に連結されたバイアスリングであって、誘電体材料で形成されているバイアスリングと、
    前記バイアスリングの前記誘電体材料に埋め込まれたエッジリングバイアス電極と、
    前記バイアスリング上に配置された前記エッジリングと、を備え、
    前記方法は更に、
    処理ガスを前記処理空間に流入させることと、
    前記処理ガスのプラズマを点火し、維持することと、
    第1バイアス電圧を使用して、前記基板をバイアスすることと、
    第2バイアス電圧を使用して、前記エッジリングをバイアスすることと、を含む
    方法。
  9. 前記エッジリングが、前記エッジリングと前記バイアスリングとの間に介在する熱伝導性材料によって前記バイアスリングに熱的に連結される、請求項8に記載の方法。
  10. 基板を処理する方法であって、
    基板支持アセンブリの基板支持体を第1温度まで加熱することと、
    前記基板支持体に外接しているエッジリングを第2温度まで加熱することと、
    前記基板支持体上に前記基板を位置付けることと、を含み、前記基板支持アセンブリが処理チャンバの処理空間内に配置され、
    前記基板支持アセンブリは、
    一又は複数の第1冷却チャネルが内部に配置された第1ベースプレートと、
    前記第1ベースプレートに外接している第2ベースプレートであって、一又は複数の第2冷却チャネルが内部に配置されており、前記第2ベースプレートと前記第1ベースプレートとの間に介在する第1絶縁体リングによって、前記第1ベースプレートから断熱される、第2ベースプレートと、
    前記第1ベースプレート上に配置され、かつ前記第1ベースプレートに熱的に連結された前記基板支持体と、
    前記第2ベースプレート上に配置され、かつ前記第2ベースプレートに熱的に連結されたバイアスリングであって、誘電体材料で形成されているバイアスリングと、
    前記バイアスリングの前記誘電体材料に埋め込まれたエッジリングバイアス電極と、
    前記バイアスリング上に配置された前記エッジリングと、を備え、
    前記方法は更に、
    処理ガスを前記処理空間に流入させることと、
    前記処理ガスのプラズマを点火し、維持することと、
    第1バイアス電圧を使用して、前記基板をバイアスすることと、
    第2バイアス電圧を使用して、前記エッジリングをバイアスすることと、を含む
    法。
  11. 前記バイアスリングが、前記バイアスリングと前記基板支持体との間に介在する第2絶縁体リングによって、前記基板支持体から断熱される、請求項10に記載の方法。
  12. 前記基板支持体は第1ヒータを使用して加熱され、前記エッジリングは第2ヒータを使用して加熱される、請求項8に記載の方法。
  13. 前記第2ヒータが、前記バイアスリングと前記第2ベースプレートとの間に配置される、請求項12に記載の方法。
  14. 前記第2ヒータが、前記バイアスリングの前記誘電体材料内に埋め込まれる、請求項12に記載の方法。
  15. 処理チャンバであって、
    前記処理チャンバの処理空間内に配置された基板支持アセンブリを備え、
    前記基板支持アセンブリが、
    一又は複数の第1冷却チャネルが内部に配置された第1ベースプレートと、
    前記第1ベースプレートに外接している第2ベースプレートであって、一又は複数の第2冷却チャネルが内部に配置されており前記第2ベースプレートと前記第1ベースプレートとの間に介在する第1絶縁体リングによって、前記第1ベースプレートから断熱される、第2ベースプレートと、
    前記第1ベースプレート上に配置され、かつ前記第1ベースプレートに熱的に連結された基板支持体と、
    前記第2ベースプレート上に配置され、かつ前記第2ベースプレートに熱的に連結されたバイアスリングであって、誘電体材料で形成されているバイアスリングと、
    前記バイアスリングの前記誘電体材料に埋め込まれたエッジリングバイアス電極と、
    前記バイアスリング上に配置されたエッジリングと、を備え、
    前記処理チャンバが更に、
    プロセッサによって実行された時に基板を処理する方法を実施するための命令が記憶されている、非一過性のコンピュータ可読媒体を備え、
    前記方法は、
    前記基板支持体を第1温度まで加熱することと、
    前記基板支持体に外接しているエッジリングを第2温度まで加熱することと、
    前記基板支持体上に前記基板を位置付けることと、
    処理ガスを前記処理空間に流入させることと、
    前記処理ガスのプラズマを点火し、維持することと、
    第1バイアス電圧を使用して、前記基板をバイアスすることと、
    第2バイアス電圧を使用して、前記エッジリングをバイアスすることと、を含む
    処理チャンバ。
  16. 前記エッジリングが、前記エッジリングと前記バイアスリングとの間に介在する熱伝導性材料によって前記バイアスリングに熱的に連結される、請求項15に記載の処理チャンバ。
  17. 前記バイアスリングが、前記バイアスリングと前記基板支持体との間に介在する第2絶縁体リングによって、前記基板支持体から断熱される、請求項15に記載の処理チャンバ。
  18. 前記基板支持体は第1ヒータを使用して加熱され、前記エッジリングは第2ヒータを使用して加熱される、請求項15に記載の処理チャンバ。
  19. 前記第2ヒータが、前記バイアスリングと前記第2ベースプレートとの間に配置されるか、又は前記バイアスリングの前記誘電体材料内に埋め込まれる、請求項18に記載の処理チャンバ。
JP2021544124A 2019-02-01 2020-01-07 エッジリングの温度及びバイアスの制御 Active JP7323626B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2023076469A JP7516611B2 (ja) 2019-02-01 2023-05-08 エッジリングの温度及びバイアスの制御
JP2023122102A JP2023159093A (ja) 2019-02-01 2023-07-27 エッジリングの温度及びバイアスの制御

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/265,186 2019-02-01
US16/265,186 US10784089B2 (en) 2019-02-01 2019-02-01 Temperature and bias control of edge ring
PCT/US2020/012503 WO2020159674A1 (en) 2019-02-01 2020-01-07 Temperature and bias control of edge ring

Related Child Applications (2)

Application Number Title Priority Date Filing Date
JP2023076469A Division JP7516611B2 (ja) 2019-02-01 2023-05-08 エッジリングの温度及びバイアスの制御
JP2023122102A Division JP2023159093A (ja) 2019-02-01 2023-07-27 エッジリングの温度及びバイアスの制御

Publications (2)

Publication Number Publication Date
JP2022523069A JP2022523069A (ja) 2022-04-21
JP7323626B2 true JP7323626B2 (ja) 2023-08-08

Family

ID=71835755

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2021544124A Active JP7323626B2 (ja) 2019-02-01 2020-01-07 エッジリングの温度及びバイアスの制御
JP2023122102A Pending JP2023159093A (ja) 2019-02-01 2023-07-27 エッジリングの温度及びバイアスの制御

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2023122102A Pending JP2023159093A (ja) 2019-02-01 2023-07-27 エッジリングの温度及びバイアスの制御

Country Status (6)

Country Link
US (4) US10784089B2 (ja)
JP (2) JP7323626B2 (ja)
KR (3) KR20230066664A (ja)
CN (2) CN118335584A (ja)
TW (1) TW202044319A (ja)
WO (1) WO2020159674A1 (ja)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10784089B2 (en) * 2019-02-01 2020-09-22 Applied Materials, Inc. Temperature and bias control of edge ring
US11894255B2 (en) * 2019-07-30 2024-02-06 Applied Materials, Inc. Sheath and temperature control of process kit
JP7308767B2 (ja) * 2020-01-08 2023-07-14 東京エレクトロン株式会社 載置台およびプラズマ処理装置
CN115605989A (zh) * 2020-06-29 2023-01-13 住友大阪水泥股份有限公司(Jp) 静电吸盘
US11781212B2 (en) * 2021-04-07 2023-10-10 Applied Material, Inc. Overlap susceptor and preheat ring
WO2022224795A1 (ja) * 2021-04-23 2022-10-27 東京エレクトロン株式会社 プラズマ処理装置及び基板処理方法
KR20230034452A (ko) * 2021-09-02 2023-03-10 주식회사 템네스트 반도체 웨이퍼 제조 장치 및 그 내식성 향상 방법

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017228526A (ja) 2016-06-22 2017-12-28 ラム リサーチ コーポレーションLam Research Corporation 結合リング内に電極を使用することによってエッジ領域におけるイオンの方向性を制御するためのシステム及び方法
JP2018186263A (ja) 2017-04-26 2018-11-22 東京エレクトロン株式会社 プラズマ処理装置

Family Cites Families (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6039836A (en) 1997-12-19 2000-03-21 Lam Research Corporation Focus rings
US6232236B1 (en) 1999-08-03 2001-05-15 Applied Materials, Inc. Apparatus and method for controlling plasma uniformity in a semiconductor wafer processing system
US20070032081A1 (en) * 2005-08-08 2007-02-08 Jeremy Chang Edge ring assembly with dielectric spacer ring
JP5317424B2 (ja) * 2007-03-28 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置
US7758764B2 (en) 2007-06-28 2010-07-20 Lam Research Corporation Methods and apparatus for substrate processing
US8563619B2 (en) 2007-06-28 2013-10-22 Lam Research Corporation Methods and arrangements for plasma processing system with tunable capacitance
US20140034239A1 (en) 2008-07-23 2014-02-06 Applied Materials, Inc. Differential counter electrode tuning in a plasma reactor with an rf-driven workpiece support electrode
US20100018648A1 (en) 2008-07-23 2010-01-28 Applied Marterials, Inc. Workpiece support for a plasma reactor with controlled apportionment of rf power to a process kit ring
US20140069584A1 (en) 2008-07-23 2014-03-13 Applied Materials, Inc. Differential counter electrode tuning in a plasma reactor with an rf-driven ceiling electrode
US8734664B2 (en) 2008-07-23 2014-05-27 Applied Materials, Inc. Method of differential counter electrode tuning in an RF plasma reactor
US8449679B2 (en) 2008-08-15 2013-05-28 Lam Research Corporation Temperature controlled hot edge ring assembly
KR101559913B1 (ko) 2009-06-25 2015-10-27 삼성전자주식회사 플라즈마 건식 식각 장치
US20130107415A1 (en) 2011-10-28 2013-05-02 Applied Materials, Inc. Electrostatic chuck
US10825708B2 (en) 2011-12-15 2020-11-03 Applied Materials, Inc. Process kit components for use with an extended and independent RF powered cathode substrate for extreme edge tunability
US9412579B2 (en) 2012-04-26 2016-08-09 Applied Materials, Inc. Methods and apparatus for controlling substrate uniformity
US9997381B2 (en) 2013-02-18 2018-06-12 Lam Research Corporation Hybrid edge ring for plasma wafer processing
US9449797B2 (en) 2013-05-07 2016-09-20 Lam Research Corporation Component of a plasma processing apparatus having a protective in situ formed layer on a plasma exposed surface
US9666466B2 (en) 2013-05-07 2017-05-30 Applied Materials, Inc. Electrostatic chuck having thermally isolated zones with minimal crosstalk
US10832931B2 (en) 2014-05-30 2020-11-10 Applied Materials, Inc. Electrostatic chuck with embossed top plate and cooling channels
US20170263478A1 (en) 2015-01-16 2017-09-14 Lam Research Corporation Detection System for Tunable/Replaceable Edge Coupling Ring
US10017857B2 (en) * 2015-05-02 2018-07-10 Applied Materials, Inc. Method and apparatus for controlling plasma near the edge of a substrate
US10163610B2 (en) * 2015-07-13 2018-12-25 Lam Research Corporation Extreme edge sheath and wafer profile tuning through edge-localized ion trajectory control and plasma operation
US9761459B2 (en) 2015-08-05 2017-09-12 Lam Research Corporation Systems and methods for reverse pulsing
US10854492B2 (en) 2015-08-18 2020-12-01 Lam Research Corporation Edge ring assembly for improving feature profile tilting at extreme edge of wafer
US9620376B2 (en) 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10062599B2 (en) 2015-10-22 2018-08-28 Lam Research Corporation Automated replacement of consumable parts using interfacing chambers
US9881820B2 (en) 2015-10-22 2018-01-30 Lam Research Corporation Front opening ring pod
US20170115657A1 (en) 2015-10-22 2017-04-27 Lam Research Corporation Systems for Removing and Replacing Consumable Parts from a Semiconductor Process Module in Situ
US10124492B2 (en) 2015-10-22 2018-11-13 Lam Research Corporation Automated replacement of consumable parts using end effectors interfacing with plasma processing system
US9601319B1 (en) 2016-01-07 2017-03-21 Lam Research Corporation Systems and methods for eliminating flourine residue in a substrate processing chamber using a plasma-based process
US10109464B2 (en) * 2016-01-11 2018-10-23 Applied Materials, Inc. Minimization of ring erosion during plasma processes
US10685862B2 (en) 2016-01-22 2020-06-16 Applied Materials, Inc. Controlling the RF amplitude of an edge ring of a capacitively coupled plasma process device
WO2017131927A1 (en) * 2016-01-26 2017-08-03 Applied Materials, Inc. Wafer edge ring lifting solution
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US9966231B2 (en) 2016-02-29 2018-05-08 Lam Research Corporation Direct current pulsing plasma systems
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
JP2018006299A (ja) * 2016-07-08 2018-01-11 東芝メモリ株式会社 プラズマ処理装置用処理対象支持台、プラズマ処理装置及びプラズマ処理方法
US20190122870A1 (en) * 2016-07-14 2019-04-25 Tokyo Electron Limited Focus ring replacement method and plasma processing system
US9947517B1 (en) * 2016-12-16 2018-04-17 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
JP6826955B2 (ja) 2017-06-14 2021-02-10 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US10763081B2 (en) 2017-07-10 2020-09-01 Applied Materials, Inc. Apparatus and methods for manipulating radio frequency power at an edge ring in plasma process device
JP6974088B2 (ja) * 2017-09-15 2021-12-01 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
KR101980203B1 (ko) * 2017-10-30 2019-05-21 세메스 주식회사 지지 유닛 및 그를 포함하는 기판 처리 장치
PL3711080T3 (pl) * 2017-11-17 2023-12-11 Aes Global Holdings, Pte. Ltd. Zsynchronizowane pulsowanie źródła przetwarzania plazmy oraz polaryzacji podłoża
JP7033441B2 (ja) 2017-12-01 2022-03-10 東京エレクトロン株式会社 プラズマ処理装置
JP7033907B2 (ja) * 2017-12-21 2022-03-11 東京エレクトロン株式会社 プラズマエッチング装置及びプラズマエッチング方法
JP7149068B2 (ja) * 2017-12-21 2022-10-06 株式会社日立ハイテク プラズマ処理装置およびプラズマ処理方法
CN109994355B (zh) * 2017-12-29 2021-11-02 中微半导体设备(上海)股份有限公司 一种具有低频射频功率分布调节功能的等离子反应器
JP6995008B2 (ja) 2018-04-27 2022-01-14 東京エレクトロン株式会社 基板処理装置
US10784089B2 (en) * 2019-02-01 2020-09-22 Applied Materials, Inc. Temperature and bias control of edge ring

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017228526A (ja) 2016-06-22 2017-12-28 ラム リサーチ コーポレーションLam Research Corporation 結合リング内に電極を使用することによってエッジ領域におけるイオンの方向性を制御するためのシステム及び方法
JP2018186263A (ja) 2017-04-26 2018-11-22 東京エレクトロン株式会社 プラズマ処理装置

Also Published As

Publication number Publication date
TW202044319A (zh) 2020-12-01
US11232933B2 (en) 2022-01-25
CN113039626A (zh) 2021-06-25
US11810768B2 (en) 2023-11-07
US20210313156A1 (en) 2021-10-07
KR20210087547A (ko) 2021-07-12
CN118335584A (zh) 2024-07-12
KR102616707B1 (ko) 2023-12-20
KR20230066664A (ko) 2023-05-16
US20200402776A1 (en) 2020-12-24
CN113039626B (zh) 2024-04-26
JP2023159093A (ja) 2023-10-31
US20200251313A1 (en) 2020-08-06
US20230360892A1 (en) 2023-11-09
JP2022523069A (ja) 2022-04-21
KR20240005210A (ko) 2024-01-11
US10784089B2 (en) 2020-09-22
WO2020159674A1 (en) 2020-08-06
JP2023109801A (ja) 2023-08-08

Similar Documents

Publication Publication Date Title
JP7323626B2 (ja) エッジリングの温度及びバイアスの制御
KR102451669B1 (ko) 플라즈마 프로세싱을 위한 가변하는 두께를 갖는 상부 전극
JP5974054B2 (ja) 温度制御式ホットエッジリング組立体
JP4913603B2 (ja) プラズマ反応器のエッチング速度ドリフトを低減するための温度制御されたホットエッジリングアセンブリ
JP4499567B2 (ja) 基板上のポリマーの堆積を減少させるためのデバイスを備えたプラズマ装置及びポリマーの堆積を減少させるための方法
JP5255936B2 (ja) フォーカスリング及び基板載置台、並びにそれらを備えたプラズマ処理装置
US20090206058A1 (en) Plasma processing apparatus and method, and storage medium
JP7382329B2 (ja) 基板支持体のためのプロセスキット
US20100101729A1 (en) Process kit having reduced erosion sensitivity
CN116457931A (zh) 高温双极静电卡盘
JP7516611B2 (ja) エッジリングの温度及びバイアスの制御
US11810792B2 (en) Etching method and substrate processing apparatus
JP7446176B2 (ja) 載置台及びプラズマ処理装置
TWI824512B (zh) 用於邊緣非均勻調諧的低阻抗電流路徑
WO2024015187A1 (en) Process kit for a substrate support

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20211007

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20211007

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20221129

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20221206

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20230306

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230508

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230627

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230727

R150 Certificate of patent or registration of utility model

Ref document number: 7323626

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150