JP7305792B2 - フォトリソグラフィ結像の方法及び装置 - Google Patents

フォトリソグラフィ結像の方法及び装置 Download PDF

Info

Publication number
JP7305792B2
JP7305792B2 JP2021561848A JP2021561848A JP7305792B2 JP 7305792 B2 JP7305792 B2 JP 7305792B2 JP 2021561848 A JP2021561848 A JP 2021561848A JP 2021561848 A JP2021561848 A JP 2021561848A JP 7305792 B2 JP7305792 B2 JP 7305792B2
Authority
JP
Japan
Prior art keywords
source
radiation
mask
pattern
pattern shift
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2021561848A
Other languages
English (en)
Other versions
JP2022531551A (ja
Inventor
フランケ,ジョーン-ホルガー
ヘンドリックス,エリック,アンリ,ジャン
シフェラーズ,グイド,コンスタント,サイモン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from EP19171770.1A external-priority patent/EP3734365A1/en
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of JP2022531551A publication Critical patent/JP2022531551A/ja
Application granted granted Critical
Publication of JP7305792B2 publication Critical patent/JP7305792B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70125Use of illumination settings tailored to particular mask patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70091Illumination settings, i.e. intensity distribution in the pupil plane or angular distribution in the field plane; On-axis or off-axis settings, e.g. annular, dipole or quadrupole settings; Partial coherence control, i.e. sigma or numerical aperture [NA]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70091Illumination settings, i.e. intensity distribution in the pupil plane or angular distribution in the field plane; On-axis or off-axis settings, e.g. annular, dipole or quadrupole settings; Partial coherence control, i.e. sigma or numerical aperture [NA]
    • G03F7/70116Off-axis setting using a programmable means, e.g. liquid crystal display [LCD], digital micromirror device [DMD] or pupil facets
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70516Calibration of components of the microlithographic apparatus, e.g. light sources, addressable masks or detectors

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Description

関連出願の相互参照
[0001] 本出願は、2019年4月30日に出願された欧州特許出願公開第19171770.1号、及び2020年3月20日に出願された欧州特許出願公開第20164386.3号の優先権を主張するものであり、これらの出願は、その全体が参照により本明細書に組み込まれる。
[0002] 本明細書は、一般的に、フォトリソグラフィ結像に関する。より具体的には、3次元マスク(M3D)の影響に起因する結像誤差を低減するための装置、方法、及びコンピュータプログラムについて説明する。
[0003] リソグラフィ投影装置は、例えば、集積回路(IC)の製造において使用され得る。このような場合、パターニングデバイス(例えば、マスク)は、ICの個々の層に対応するパターン(「設計レイアウト」)を含むこと、又は提供することができる。このパターンは、パターニングデバイス上のパターンを通してターゲット部分を照射するなどの方法により、放射感応性材料(「レジスト」)の層でコートされた基板(例えば、シリコンウェーハ)上のターゲット部分(例えば、1つ又は複数のダイを含む)上に転写され得る。一般に、単一の基板は、パターンがリソグラフィ投影装置によって連続して転写される複数の隣接するターゲット部分(一度に1つのターゲット部分)を含む。あるタイプのリソグラフィ投影装置においては、パターニングデバイス全体上のパターンが、一つの動作で1つのターゲット部分上に転写され、このような装置は、一般にステッパと呼ばれる。一般にステップアンドスキャン装置と呼ばれる代替装置では、投影ビームが、所与の基準方向(「スキャン」方向)にパターニングデバイスをスキャンすることに同期して、この基準方向に平行又は逆平行に基板を移動させる。パターニングデバイス上のパターンの異なる部分が、1つのターゲット部分に漸進的に転写される。一般的に、リソグラフィ投影装置は、縮小率M(例えば、4)を有し、縮小率はx及びy方向で異なることがあるので、基板を移動させる速度Fは、投影ビームがパターニングデバイスを走査する速度の1/M倍となる。本明細書に記載するようなリソグラフィ機器に関するさらなる情報を、例えば、本明細書に参照により組み込まれる米国特許第6,046,792号から収集することができる。
[0004] パターニングデバイスから基板にパターンを転写する前に、基板は、プライミング、レジストコーティング、及びソフトベークなどの様々なプロシージャを経てもよい。露光後に、基板は、ポストベーク(PEB)、現像、ハードベーク、及び転写されたパターンの測定/インスペクションなどの他のプロシージャ(「露光後プロシージャ」)を受けてもよい。この多数のプロシージャは、デバイス、例えばICの個々の層を作るための基礎として使用される。基板は、次に、エッチング、イオン注入(ドーピング)、メタライゼーション、酸化、化学機械研磨など(全て、デバイスの個々の層を仕上げることを意図したもの)の様々なプロセスを経てもよい。デバイスに幾つかの層が必要とされる場合、プロシージャ全体又はそれの異形が、各層に対して繰り返される。最終的に、基板上の各ターゲット部分にデバイスが存在する。これらのデバイスは、次に、ダイシング又はソーイングなどの技術によって互いに分離され、個々のデバイスがキャリア上に取り付けられること、ピンに接続されることなどが可能である。
[0005] このように、半導体デバイスなどの製造デバイスは、一般的に、デバイスの様々なフィーチャ及び複数の層を形成するための多数の製作プロセスを用いて、基板(例えば半導体ウェーハ)を処理することを含む。このような層及びフィーチャは、一般的に、例えば、堆積、リソグラフィ、エッチング、化学機械研磨、及びイオン注入を用いて、製造及び処理される。複数のデバイスが、基板上の複数のダイ上で製作され、その後、個々のデバイスに分離されてもよい。このデバイス製造プロセスは、パターニングプロセスと見なすことができる。パターニングプロセスは、パターニングデバイス上のパターンを基板に転写するために、リソグラフィ装置においてパターニングデバイスを用いる光及び/又はナノインプリントリソグラフィなどのパターニングステップを含み、及び一般的に(但し任意選択的に)、現像装置によるレジスト現像、ベークツールを用いた基板のベーク、エッチング装置を用いたパターンのエッチングなどの1つ又は複数の関連のパターン処理ステップを含む。
[0006] 上述の通り、リソグラフィは、基板上に形成されたパターンが、マイクロプロセッサ、メモリチップなどのデバイスの機能素子を定義する、ICなどのデバイスの製造における中心的ステップである。フラットパネルディスプレイ、微小電子機械システム(MEMS)、及び他のデバイスの形成においても、類似のリソグラフィ技術が使用される。
[0007] 半導体製造プロセスが進歩し続けるにつれて、機能素子の寸法は、継続的に小さくなっている一方で、一般に「ムーアの法則」と呼ばれる傾向に従って、1つのデバイス当たりのトランジスタなどの機能素子の量は、何十年にもわたり、着実に増加している。現在の技術状況では、デバイスの層は、深紫外線照明源からの照明を用いて、設計レイアウトを基板上に投影し、100nmをはるかに下回る(すなわち、照明源(例えば、193nm照明源)からの放射の波長の半分未満)寸法を有する個々の機能素子を生成するリソグラフィ投影装置を用いて製造される。
[0008] リソグラフィ投影装置の古典的限界解像度未満の寸法を持つフィーチャが印刷されるこのプロセスは、一般に、解像度式CD=k1×λ/NAによる低k1リソグラフィとして知られ、式中、λは、用いられた放射の波長(現在、ほとんどの場合、248nm又は193nm)であり、NAは、リソグラフィ投影装置における投影光学系の開口数であり、CDは、「クリティカルディメンジョン」(一般に、印刷される最小のフィーチャサイズ)であり、及びk1は、経験的解像度係数である。一般に、k1が小さいほど、特定の電気的機能性及び性能を達成するために設計者によって計画された形状及び寸法に酷似するパターンを基板上に再現することがより難しくなる。これらの困難を克服するために、最新式の微調整ステップが、リソグラフィ投影装置、設計レイアウト、又はパターニングデバイスに適用される。これらは、例えば、限定されないが、NA及び光学コヒーレンス設定の最適化、カスタマイズ照明方式、位相シフトパターニングデバイスの使用、設計レイアウトにおける光近接効果補正(OPC、「光学及びプロセス補正(optical and process correction)」とも呼ばれることがある)、又は一般に「解像度向上技術」(RET)と定義される他の方法も含む。本明細書で使用する「投影光学系」という用語は、例えば、屈折光学系、反射光学系、アパーチャ、及び反射屈折光学系を含む、様々なタイプの光学システムを網羅すると広く解釈されるものとする。「投影光学系」という用語は、まとめて、又は単独で、放射の投影ビームの誘導、整形、又は制御を行うためにこれらの設計タイプの何れかに従って動作するコンポーネントも含み得る。「投影光学系」という用語は、光学コンポーネントがリソグラフィ投影装置の光路上のどこに位置するかにかかわらず、リソグラフィ投影装置内の何れの光学コンポーネントも含み得る。投影光学系は、ソースからの放射がパターニングデバイスを通過する前に、放射を整形、調節、及び/又は投影するための光学コンポーネント、及び/又は放射がパターニングデバイスを通過した後に、放射を整形、調節、及び/又は投影するための光学コンポーネントを含み得る。投影光学系は、一般に、ソース及びパターニングデバイスを除く。
[0009] 一実施形態によれば、フォトリソグラフィシステムを使用してフィーチャを基板に結像するためのパターンを最適化する方法が提供され、この方法は、回折次数間の非対称的な位相効果に起因するフォトマスクを離れる光の回折から生じるパターンシフトに関連した、フォトリソグラフィシステムの放射源平面内のポイントを特定することと、特定された放射源平面ポイントに関連したパターンシフトを決定することと、放射源を修正して決定されたパターンシフトを減らすことと、を含む。
[0010] 一実施形態では、この方法は、特定されたポイントについて、複数の回折次数のそれぞれに関係した領域を決定することと、決定された領域のオーバーラップを減らすように放射源を分離することと、放射源に適用されたときに、決定されたパターンシフトを低減する、波面調節を決定することと、決定された波面調節を使用して、放射源マスク最適化を実施することと、を含む。
[0011] 別の実施形態によれば、この方法は、複数の極を有する1つの放射源を、それぞれが1つの極を有する複数の放射源に分解することと、それらの複数の放射源のそれぞれについてパターンシフト値を選択することと、を含む。
[0012] 本明細書に記載するような開示される要素の組み合わせ及び副次的組み合わせにより、別個の実施形態が構成される。例えば、決定されたパターンシフトとは反対の非対称的なパターンシフトを生成する収差を選択することは、放射源平面内のポイントを削除すること、及び/又は回転された双極子を含む修正された放射源を使用すること及び回転された非点収差を使用することとあわせて使用されることがある。それぞれが、一緒に又は別々に使用されることがある。同様に、この方法は、基板に結像するステップを含むことがあるか、又は、最適化されたパターンを生成して終了することがある。記載される各方式は、任意選択的に結像ステップを含むことがある。
[0013] 本明細書に組み込まれ、及び本明細書の一部を構成する添付の図面は、1つ又は複数の実施形態を示し、発明の詳細な説明と共に、これらの実施形態を説明する。単なる例として、対応する参照記号が対応する部分を示す添付の模式図を参照して、本発明の実施形態をこれより説明する。
[0014]リソグラフィシステムの様々なサブシステムのブロック図を示す。 [0015]結像性能に対する入射角の影響を示す。 [0016]一実施形態による、基板に印刷されることになるパターニングデバイスパターン又はターゲットパターンを決定するための方法のフローチャートである。 [0017]M3Dの影響に起因する像の中心位置のシフトを示す。 [0018]異なるピッチについてのM3Dの影響に起因する位置シフトを示す。 [0019]0次と回折次数との間の位相オフセットを示す。 [0020]本発明の一実施形態による、4極の像と収差を追加した2極の像の、結像性能を比較した図である。 [0021]本発明の一実施形態による、回折パターンを分離するために注入された位相差の一例を示す。 [0022]本発明の一実施形態により生成される中心位置のシフトが低減される様子を示す。 [0022]本発明の一実施形態により生成される中心位置のシフトが低減される様子を示す。 [0023]本発明の一実施形態によるワークフローを示すフローチャートである。 [0024]本発明の一実施形態によるワークフローを示すフローチャートである。 [0025]票決方式を使用した結像の効果を示す図である。 [0026]本発明の様々な実施形態による方法、ワークフローで、又は装置の動作で使用するためのコンピュータシステムの概略図である。 [0027]一実施形態による、リソグラフィ投影装置の概略図である。 [0028]一実施形態による、図14の装置のより詳細な図である。
[0029] ダイナミック・ランダム・アクセス・メモリ・ストレージノード・ハーフピッチ(DRAM SN HP)のスケーリングの継続により、リソグラフィ動作中の回折パターンのオーバーラップがより小さくなっている。この回折パターンのオーバーラップの縮小及び/又は他の要因により、回折パターンの形をした典型的な瞳及びレンダリング後の瞳は、低照明効率を呈し、スキャナのスループットを低下させる。
[0030] 本明細書においてICの製造に対して言及される場合があるが、本明細書の記載は、多くの他の可能な適用例を有することが明白に理解されるものとする。例えば、それは、集積光学システム、磁気ドメインメモリ用のガイダンス及び検出パターン、液晶ディスプレイパネル、薄膜磁気ヘッドなどの製造において用いられ得る。当業者は、このような代替適用例の文脈において、本明細書における「レチクル」、「ウェーハ」、又は「ダイ」という用語の使用が、それぞれ、より一般的な用語である「マスク」、「基板」、及び「ターゲット部分」と同義であると見なされるべきであることを認識するだろう。
[0031] 本明細書では、「放射線」及び「ビーム」という用語は、EUV(例えば、約3~100nmの範囲の波長を有する、極端紫外線)放射線を含むものとして、使用される。しかしながら、紫外線(例えば、365、248、193、157、又は126nmの波長を有する)を含む、他の種類の電磁放射線を用いた本方法の他の用途も考えられる。そのような放射線には、一般的に、ある程度の偏光が存在することがある。
[0032] パターニングデバイスは、1つ又は複数の設計レイアウトを含み、又は形成することができる。設計レイアウトは、CAD(コンピュータ支援設計)プログラムを利用して生成することができる。このプロセスは、EDA(電子設計自動化)と呼ばれることが多い。ほとんどのCADプログラムは、機能設計レイアウト/パターニングデバイスを生成するために、所定の設計ルールセットに従う。これらのルールは、処理及び設計の限界に基づいて設定される。例えば、設計ルールは、デバイス(ゲート、キャパシタなど)又は相互接続ライン間のスペース公差を、デバイス又はラインが望ましくない形で互いに作用しないことを確実にするように定義する。設計ルール限界の1つ又は複数は、「クリティカルディメンジョン」(CD)と呼ばれ得る。デバイスのクリティカルディメンジョンは、ライン若しくは孔の最小幅、又は2つのライン若しくは2つの孔間の最小のスペースと定義することができる。従って、CDは、設計されたデバイスの全体のサイズ及び密度を制御する。デバイス製作における目標の1つは、基板上に(パターニングデバイスを用いて)元の設計意図を忠実に再現することである。
[0033] 本明細書で用いられる「マスク」、「レチクル」又は「パターニングデバイス」という用語は、入ってくる放射ビームに、基板のターゲット部分に生成されるパターンに対応したパターン付き断面を与えるために使用することができる一般的パターニングデバイスを指すと広く解釈することができ、「ライトバルブ」という用語も、この文脈で使用され得る。従来のマスク(透過型又は反射型;バイナリ、位相シフト、ハイブリッドなど)に加えて、他のこのようなパターニングデバイスの例には、以下が含まれる:
-プログラマブルミラーアレイ。このようなデバイスの一例は、粘弾性制御層及び反射面を有するマトリックスアドレス可能面である。このような装置の背後にある基本原理は、(例えば)反射面のアドレスエリアが、入射放射を回折放射として反射し、非アドレスエリアが、入射放射を非回折放射として反射することである。適宜のフィルタを使用して、上記非回折放射が反射ビームから除去され、回折放射のみを後に残すことができ、このようにして、ビームが、マトリックスアドレス可能面のアドレッシングパターンに従ってパターン付けされる。必要とされるマトリックスアドレッシングは、適宜の電子手段を使用して行うことができる。他のこのようなパターニングデバイスの例には、プログラマブルLCDアレイも含まれる。このような構造の一例は、本明細書に援用される米国特許第5,229,872号によって与えられる。
[0034] 簡単な導入部として、図1は、例示的リソグラフィ投影装置10Aを示す。主なコンポーネントは、極端紫外線(EUV)源又は他のタイプの放射源でもよい放射源12A(上記に説明するように、リソグラフィ投影装置自体は、放射源を有する必要がない)と、部分コヒーレンス(シグマで表す)をたとえば定義し、並びにソース12Aからの放射を整形する光学系14A、16Aa、及び16Abを含み得る照明光学系と;パターニングデバイス(又はマスク)18Aと;パターニングデバイスパターンの像を基板面22A上に投影する透過光学系16Acとである。
[0035] 瞳20Aは、透過光学系16Acに含まれることがある。実施形態によっては、マスク18Aの前及び/又は後に、1つ又は複数の瞳が存在することがある。本明細書で更に詳細に説明するように、瞳20Aは、基板平面22Aに最終的に到達する光のパターニングを提供することができる。投影光学系の瞳面における調節可能フィルタ又はアパーチャは、基板面22Aに衝突するビーム角の範囲を制限することができ、ここで、可能な最大角が、投影光学系の開口数NA=n sin(Θmax)を定義し、式中、nは、基板と投影光学系の最後の素子との間の媒体の屈折率であり、及びΘmaxは、まだ基板面22Aに衝突し得る投影光学系から出るビームの最大角である。
[0036] リソグラフィ投影装置では、ソースは、照明(すなわち、放射)をパターニングデバイスに提供し、並びに投影光学系は、パターニングデバイスを介して、基板上へと照明の誘導及び整形を行う。投影光学系は、コンポーネント14A、16Aa、16Ab、及び16Acの少なくとも幾つかを含み得る。空間像(AI)は、基板レベルにおける放射強度分布である。レジストモデルを使用して、空間像からレジスト像を計算することができ、その一例は、その開示内容が全体として本明細書に援用される米国特許出願公開第2009-0157630号に見つけることができる。レジストモデルは、レジスト層の特性(例えば、露光、露光後ベーク(PEB)、及び現像中に生じる化学プロセスの影響)のみに関係する。リソグラフィ投影装置の光学特性(例えば、照明、パターニングデバイス、及び投影光学系の特性)が、空間像を決定付け、光学モデルで定義できる。リソグラフィ投影装置で使用されるパターニングデバイスは変更され得るので、パターニングデバイスの光学特性を、少なくともソース及び投影光学系を含む、リソグラフィ投影装置の残りの部分の光学特性から切り離すことが望ましい。技法及びモデルを用いて光近接効果補正(OPC)を適用し、並びに(例えば、プロセスウィンドウの観点から)性能を評価して、設計レイアウトを様々なリソグラフィ像(例えば、空間像、レジスト像など)に変換するために使用される、上記技法及びモデルの詳細は、米国特許出願公開第2008-0301620号、同第2007-0050749号、同第2007-0031745号、同第2008-0309897号、同第2010-0162197号、及び同第2010-0180251号に記載されており、各開示内容は、本明細書に全体として援用される。
[0037] リソグラフィ装置の様々な光学コンポーネントは、一般的に収差を呈する。収差を含む波面は、ゼルニケ多項式によって説明することができる。ゼルニケ多項式は、直交多項式の組である。ゼルニケ多項式は、円形の瞳を有する光学系の波面関数を展開したものである。ゼルニケ多項式は直交しているので、また、任意の波面関数はゼルニケ多項式の組み合わせとして表すことができるので(即ち、それらは両方とも直交であり完全である)、ゼルニケ多項式は、波面関数を表すための基底関数系を構成する。ゼルニケ多項式の中でも、Z2/Z3は、印刷されることになるフィーチャとは無関係に固定したパターンシフトをもたらす位相傾斜を表し、より高次の収差は、例えばZ5/Z6を含み、これらは、当業者によって理解されるように、回転非点収差を表す。収差を、他の基底関数系によって表すことも可能であり、レンズモデルは、ゼルニケ記述子の代わりに又はゼルニケ記述子に加えて、そのような基底関数系を利用することもできる。特に、中心オブスキュレーションを含む特定の光学設計の場合には、ゼルニケ多項式は非直交になり、従って、光学収差を表すのには適さなくなり、他の基底関数系が必要になる。
[0038] 典型的なフォトリソグラフィ機械では、様々な機械パラメータを修正するために、調節ノブ(実際の又は仮想の制御ノブであり得る)が利用可能である。パラメータの中でもとりわけ、ゼルニケ多項式で表された収差を、ノブを使用して修正することができる。ノブは、リソグラフィ装置の制御システムの一部を形成し、例えば、コンピュータソフトウェアとして実装されることがある。
[0039] ノブを使用して、波面を修正することができ、一実施形態では、結像性能を向上させるために、特定のあるゼルニケ多項式又は複数の多項式を、修正するように選択することができる。各制御ノブが1つ又は複数のゼルニケ多項式の値に影響を及ぼすことがあり、従って、特定のゼルニケ多項式を調節するには、1つ又は複数のノブの操作が必要であることがある。原則として、ノブは、1つ又は複数の光学素子(反射光学系におけるミラー、又は屈折光学系におけるレンズ、又は反射屈折光学系における組み合わせ)の位置及び/又は向きを変更することができる。例えば、Z5及びZ6を制御するためのノブを設けることが知られている。調節は、結像光の波長の分数によって特徴付けられることがある。例えば、Z6に対する調節は-70mλであることがあり、これは、引き起こされる位相シフトが、結像波長の0.070倍であることを意味する。
[0040] リソグラフィプロセスを理解する1つの態様は、放射とパターニングデバイスとの相互作用を理解することである。放射がパターニングデバイスを通過した後の放射の電磁場は、放射がパターニングデバイスに到達する前の放射の電磁場と、相互作用を特徴付ける関数とから、決定することができる。この関数は、マスク透過関数と呼ばれることもある(この関数を使用して、透過型パターニングデバイス及び/又は反射型パターニングデバイスによる相互作用を説明することができる)。
[0041] マスク透過関数は、様々な異なる形式を有することがある。1つの形式は、バイナリである。バイナリマスク透過関数は、パターニングデバイス上の所与の位置において2つの値(例えば、ゼロ及び正の定数)のいずれかを有する。バイナリ形式のマスク透過関数は、バイナリマスクと呼ばれることがある。別の形式は、連続式である。即ち、パターニングデバイスの透過率(又は反射率)は、パターニングデバイスの位置の連続的な関数である。透過率(又は反射率)の位相も、パターニングデバイスの位置の連続的な関数であり得る。連続的な形式のマスク透過関数は、連続トーンマスク又は連続透過マスク(CTM)と呼ばれることがある。例えば、CTMは、ピクセル化された像として表わされることがあり、各ピクセルは、0又は1のバイナリ値の代わりに、0~1の間の値(例えば、0.1、0.2、0.3、等)を割り当てられることがある。一実施形態では、CTMは、ピクセル化されたグレースケール像であることがあり、各ピクセルは様々な値を有する(例えば、[-255、255]の範囲内、[0、1]若しくは[-1、1]の範囲内に正規化された値、又は他の適切な範囲で)。
[0042] 放射とパターニングデバイスとの相互作用の決定を単純化するために、薄いマスク近似、例えば、キルヒホッフ境界条件が、広く使用されている。薄いマスク近似では、パターニングデバイス上の構造物の厚さは、波長と比較すると非常に小さく、マスク上の構造物の幅は、波長と比較すると非常に大きい、と仮定する。従って、薄いマスク近似は、パターニングデバイスの後の電磁場は、入射電磁場とマスク透過関数との乗算であると仮定する。しかしながら、リソグラフィプロセスは、ますます短くなる波長の放射を使用しており、パターニングデバイス上の構造物はますます小さくなっているので、薄いマスク近似での仮定が崩れることがある。例えば、有限の厚さに起因して、放射と構造物(例えば、上面と側壁との間のエッジ)との相互作用(「マスク3D効果」又は「M3D」)が、重大になることがある。更に、結像放射線が部分的に又は完全に偏光している限り、特に高いNA(例えば、NA>0.33)において、偏光効果は相関的になることがある。この散乱をマスク透過関数に含めることにより、マスク透過関数が、放射とパターニングデバイスとの相互作用をより良く取り込むことができることがある。薄いマスク近似の下でのマスク透過関数は、薄いマスクの透過関数と呼ばれることがある。M3Dを包含するマスク透過関数は、M3Dマスク透過関数と呼ばれることがある。
[0043] 特にEUV結像において、あまり望ましくなく且つ制御されていない、回折次数の位相変調が発生する。この変調は、入射角と強い相関関係を示し、EUV結像に固有の主光線角度のオフアクシス入射に起因して、より深刻になる。これにより、放射源の異なる領域から到来する像(即ち、マスクへのEUV光の異なる入射角によって生成される)の、互いに対して相対的なパターンシフトがもたらされる。これらの像は非干渉的に重なるので、結果的に、像のコントラスト(又はNILS)が低下する。NILSを高めると、プロセスウィンドウが広がり、ライン幅ラフネス(LWR)及び確率的欠陥が減少する傾向がある。これは、図2に示されており、図2は、異なる回折次数の捕捉に起因するパターンシフトの一例を示す。
[0044] 一般的な法則として、入射角の高い光(上側の極からの空間像)は、高密度のL/S格子の場合、像の下方へのシフトにつながることが、観察される。下側の極(入射角の低い光)は、上方へのシフトを引き起こす。上述したように、レジスト内の像は、全ての放射源の合計になるので、この作用に起因して、全体のコントラストは低下する。異なるジオメトリをした高密度のコンタクトホールアレイの場合にも、同様の、極に特有のシフトが観察される。原則として、上側の極からの空間像が上方にシフトされ、一方、下側の極からの像が下方にシフトされるような、逆の作用を示す異なるパターンが存在する可能性がある。以下で更に詳細に説明するように、放射源を最適化することにより、この作用を低減し、コントラストの改善を助けることができる。
[0045] 図3は、リソグラフィ投影装置においてリソグラフィをシミュレーションするための例示的フローチャートである。ソースモデル31は、ソースの光学特徴(放射強度分布及び/又は位相分布を含む)を表す。投影光学系モデル32は、投影光学系の光学特徴(投影光学系によって生じた放射強度分布及び/又は位相分布に対する変化を含む)を表す。設計レイアウトモデル35は、設計レイアウト(これは、パターニングデバイス上の、又はパターニングデバイスによって形成されるフィーチャの配置の表現である)の光学特徴(所与の設計レイアウト33によって生じた放射強度分布及び/又は位相分布に対する変化を含む)を表す。空間像36は、設計レイアウトモデル35、投影光学系モデル32、及び設計レイアウトモデル35からシミュレートされることが可能である。レジスト像38は、レジストモデル37を用いて空間像36からシミュレートされることが可能である。例えば、リソグラフィのシミュレーションは、レジスト像のコンター及びCDを予測することができる。
[0046] より具体的には、放射源モデル31は、これに限定するものではないが、NA-シグマ(σ)設定、及び任意の特定の照明放射源形状(例えば、環状、四極、及び双極子などのオフアクシス放射源)を含む放射源の光学特徴を表すことができることに留意されたい。投影光学系モデル32は、収差、ディストーション、屈折率、物理的サイズ、物理的寸法などを含む、投影光学系の光学特徴を表すことができる。設計レイアウトモデル35は、例えば、米国特許第7,587,704号に記載されているように、物理的パターニングデバイスの物理的特性を表すこともあり、該特許は、その全体が参照により組み込まれる。シミュレーションの目的は、例えば、エッジ配置、空間像強度傾き、及びCDを正確に予測することであり、これらは、その後、意図した設計と比較され得る。意図した設計は、一般に、GDSII、OASIS又は他のファイルフォーマットなどの標準デジタルファイルフォーマットで提供され得るプリOPC設計レイアウトとして定義される。
[0047] この設計レイアウトから、1つ又は複数の部分(これらは、「クリップ」と呼ばれる)を識別することができる。ある実施形態では、設計レイアウト内の複雑なパターンを表すクリップの一セットが抽出される(任意の数のクリップが使用され得るが、一般的に約50~1000個のクリップ)。当業者には理解されるように、これらのパターン又はクリップは、設計の小さな部分(即ち、回路、セル、又はパターン)を表し、特に、クリップは、特別な注意及び/又は検証が必要とされる小さな部分を表す。言い換えると、クリップは、設計レイアウトの部分でもよく、又は類似していてもよく、又はクリティカルフィーチャが、経験(顧客によって提供されたクリップを含む)によって、試行錯誤によって、若しくはフルチップシミュレーションを実行することによって識別される設計レイアウトの部分と類似の挙動をしてもよい。クリップは、通常、1つ又は複数のテストパターン又はゲージパターンを含む。
[0048] 特定の像最適化を必要とする設計レイアウト内の既知のクリティカルフィーチャエリアに基づいて、初期のより多数の組のクリップが、顧客によって先験的に提供されてもよい。代替的に、別の実施形態では、初期のより多数の組のクリップは、クリティカルフィーチャエリアを識別する、ある種の自動化された(マシンビジョンなど)又は手動のアルゴリズムを用いることにより、設計レイアウト全体から抽出されてもよい。
[0049] パターニングプロセス(例えば、レジストプロセス)の確率的変動により、例えば、フィーチャの縮小の可能性及び露光ドーズ量仕様の点で、リソグラフィ(例えば、EUVリソグラフィ)が制限される可能性があり、これにより、パターニングプロセスのウェーハスループットに影響が及ぶ。一実施形態では、レジスト層の確率的変動は、塞がった穴若しくはトレンチ、又は切れている線などの、確率的破損として現れることがある。そのようなレジスト関連の確率的変動は、例えば、パターニングプロセスの性能を測定し調節するために関心の持たれた従来の指標である確率的CD変動と比べて、より一層、大量生産(HVM)の成功に影響を与えこれを制限する。
[0050] パターニングプロセス(例えば、フォトリソグラフィ、電子ビームリソグラフィ、等)では、基板上に堆積されたエネルギー感度の高い材料(例えば、フォトレジスト)が、パターン転写ステップ(例えば、露光)を受ける。パターン転写ステップに続いて、レジストベーキングなどの様々なポストステップ、及びレジスト現像、エッチングなどのサブトラクティブプロセスが適用される。これらの露光後ステップ又はプロセスは、様々な影響を及ぼし、パターン付与された層又はエッチングされた基板が、目標とする寸法とは異なる寸法を有する構造を形成することにつながる。
[0051] 計算機リソグラフィでは、マスクモデル、光学モデル、レジストモデル、露光後モデルなどのパターニングプロセスの異なる側面に関連したパターニングプロセスモデル(例えば、図2で考察した)を使用して、基板に印刷されるパターンを予測することができる。パターニングプロセスモデルは、(例えば、印刷されたウェーハに関連した測定データを使用して)適切に較正されると、パターニングプロセスから出力されるパターン寸法の正確な予測を生成することができる。例えば、露光後プロセスのパターニングプロセスモデルは、経験的な測定に基づいて較正される。較正プロセスには、様々なプロセスパラメータ(例えば、ドーズ量、焦点など)を変化させて試験基板を露光すること、露光後プロセスの後で得られた印刷パターンのクリティカルディメンジョンを測定すること、及び、測定された結果にあわせてパターニングプロセスモデルを較正すること、が含まれる。実際には、高速で正確なモデルは、デバイス性能(例えば、歩留まり)の向上、プロセスウィンドウの強化、パターニングレシピ、及び/又は設計パターンの複雑さの増大という役割を果たす。
[0052] 一実施形態では、プロセスは、初期像(例えば、CTM像若しくは最適化されたCTM像、又はバイナリマスク像)を取得することを含むことがある。一実施形態では、初期像は、基板上に印刷されることになるターゲットパターンに基づいて、CTM生成プロセスによって生成されたCTM像であり得る。次いで、このCTM像はプロセスによって受け取られることがある。一実施形態では、プロセスは、CTM像を生成するように構成されることがある。例えば、CTM生成技術では、逆リソグラフィ問題が最適化問題として定式化されている。変数は、マスク像のピクセルの値に関連付けられ、EPE又はサイドローブプリンティングなどのリソグラフィ指標が、費用関数として使用される。最適化の反復においては、変数からマスク像が構築され、その後、プロセスモデルを適用して光学像又はレジスト像を取得し、費用関数を計算する。次いで、費用計算により、変数(例えば、ピクセル強度)を更新するために最適化解法で使用される勾配値が提供される。最適化中に何回か反復した後で、最終マスク像が生成され、これは、(例えばSMOプロセスで実装されるように)パターン抽出用のガイダンスマップとして更に使用される。そのような初期像(例えば、CTM像)には、パターニングプロセスを介して基板に印刷されることになるターゲットパターンに対応する、1つ又は複数のフィーチャ(例えば、ターゲットパターンのフィーチャ、SRAF、SRIF、等)が含まれることがある。
[0053] 典型的な放射源(ソース)マスク最適化プロセスの一例が、「Optimization Flows of Source, Mask and Projection Optics」と題された米国特許第9,588,438号に記載されており、該特許は、その全体が参照により組み込まれる。放射源マスク最適化は、マスク設計レイアウトの複数の位置に渡る結像変動を考慮に入れることができる。設計レイアウトは、設計レイアウト全体、クリップ、又は設計レイアウトの1つ若しくは複数のクリティカルフィーチャ、及び/又は他のレイアウト、のうちの1つ又は複数を含むことがある。例えば、設計レイアウトは、回折シグネチャ分析に基づくパターン選択手法又は任意の他の手法によって選択された、一組のクリップであり得る。或いは、フルチップシミュレーションを行ってもよく、フルチップシミュレーションから「ホットスポット」及び/又は「ウォームスポット」を識別することができ、その後、パターン選択ステップを行う。
[0054] リソグラフィ投影装置のリソグラフィをシミュレートするには、放射源の光学特徴(光強度分布及び/又は位相分布を含む)を表す放射源モデル、投影光学系の光学特徴(投影光学系によって引き起こされる光強度分布及び/又は位相分布の変化を含む)を表す投影光学系モデル(実施形態によっては、放射源モデルと投影光学系モデルを組み合わせて相互透過係数(TCC)モデルにすることがある)、設計レイアウトの光学特徴(所与の設計レイアウトによって引き起こされる光強度分布及び/又は位相分布の変化を含む)を表す設計レイアウトモデル(これは、マスク上のフィーチャの配置の表現である)、及び/又は他のモデル、を利用することができる。空間像は、相互透過係数及び設計レイアウトモデルからシミュレートすることができる。レジスト像は、レジストモデルを使用して空間像からシミュレートすることができる。リソグラフィのシミュレーションは、例えば、レジスト像のコンター及びCDを予測することができる。
[0055] 一実施形態では、放射源モデルは、これに限定するものではないが、NA-シグマ(σ)設定、及び任意の特定の照明放射源形状(例えば、環状、四極、及び双極子などのオフアクシス光源)を含む放射源の光学特徴を表すことができる。投影光学系モデルは、収差、ディストーション、屈折率、物理的サイズ、物理的寸法などを含む、投影光学系の光学特徴を表すことができる。設計レイアウトモデルは、例えば、米国特許第7,587,704号に記載されているように、物理的マスクの物理的特性を表すこともあり、該特許は、その全体が参照により組み込まれる。シミュレーションの目的は、例えば、エッジ配置及びCDを正確に予測することであり、これらは、その後、意図した設計と比較されることがある。意図した設計は、一般的に、GDSII又はOASIS又は他のファイルフォーマットなどの標準デジタルファイルフォーマットで提供され得るプレOPC設計レイアウトとして定義される。
[0056] 図4は、図2で示したのと同じ概念を示しており、高い入射角の光が下方にシフトされており、低い入射角の光が上方にシフトされており、中心位置で不一致が生じている。この例では、高い入射角の光及び低い入射角の光の中心位置は、焦点面で約5nmオフセットされている。
[0057] 同様に、図5はピッチを通る中心オフセットを示す。この関係は、32、64、96、及び128nmのピッチについてプロットされている。各ピッチについて、オフセット方向の同じ反転が観察される。即ち、やはり、より低い入射角(グラフの左側に示される)の中心位置は上方にシフトされており、一方、より高い入射角の光源(グラフの右側)ポイントの中心位置は下方にシフトされている。従って、必要な補正は反対方向にある。
[0058] この観察結果から、位相デルタは符号が逆になると推測することができ、これは、0次のオフセットが、結像に影響を与える主たる位相オフセットであるということに相当する。これは図6に示されており、図6では、位相曲線は、0次のオフセットが支配的になっている。
[0059] そこで、オフセットを補正するための方式の1つは、異なる放射源ポイントに異なる線形位相シフトを導入することである。例えば、下側の極から空間像に対してZ3を調節すると、中心位置を下方に移動させることができ、同時に、同様にしかし符号を逆にして、上側の極から空間像に対して調節をして中心位置を上方に移動させると、適切な補正がもたらされる。従って、放射源は、異なる極に対してこれらの反対の補正を行うように、修正されなければならない。
[0060] 図7は、Z6の調節に加えて、放射源に非対称性を導入する効果を示している。この例では、水平なライン及びスペースが、結像されることになる。図8に示すように、水平ラインの回折次数は垂直なカットライン上に位置するので、線形の位相傾斜を有する。右上の極と左下の極(図8の円)は、反対の符号の傾斜になり、パターンを反対方向にシフトさせる。図7の暗い線40は、調節された放射源のシミュレートされたNILSを表しており、一方、明るい線42は、当初のNILSを表している。はっきりと見てとれるように、Z6収差調節の適用に加えて、右下及び左上の極を除去することにより、放射源のジオメトリを変えた結果として、NILSが大幅に改善されている。
[0061] 図9A及び図9Bは、中心オフセットの観点で測定されたときと同じ調節を示す。図9Bから明らかなように、M3Dに起因するシフトは、-70mλという同じZ6調節を適用することにより、大幅に打ち消されている。
[0062] 図10は、一実施形態による、M3Dにより誘起されたパターンシフトを補償するための方法を示すフローチャートである。ステップ100は、結像にあたり重要となるフォトリソグラフィシステムの放射源平面内のポイントを特定することを含む。これは、例えば、NILS、MEEF(マスク・エラー・エンハンスメント・ファクター)、又は焦点深度情報を調べることにより、行われることがある。当業者であれば、マスク・エラー・エンハンスメント・ファクターとは、マスク上の誤差が空間像において増幅された量の測定値であることを理解する。即ち、マスク上の誤差は結像時の縮小に起因して、4分の1の大きさで結像されることが期待されるものの、実際には、空間像における誤差は、マスク誤差の大きさの4分の1よりも大きくなる場合が多い。通例、MEEFは1を超え、フィーチャサイズが縮小するにつれて、より大きくなる傾向がある。これは、MEEFが回折効果に左右されるせいであり、回折効果は、像の縮尺が減少するにつれて、支配的になる。
[0063] 理解されるように、放射源平面の特定の部分は、例えば、結像されるパターン、像の様々な部分の解像度、及び他の要因に応じて、結像全体にとって、より重要になったりあまり重要でなくなったりすることがある。一実施形態では、この判断は、極間のパターンシフトを最小化する、選択された焦点はずれ値で、行われることがある。
[0064] 次に、ステップ102は、特定されたポイントのパターンシフトを特定することを含む。特に、上述したように、そのようなパターンシフトは、結像放射ビームとマスク法線との間の入射角の下でフォトマスクを離れる光の回折から生じる(M3Dの影響)。
[0065] ステップ104は、特定されたポイントについて、複数の回折次数のそれぞれに関係する領域を判断し、判断された領域のオーバーラップを減らすように放射源を分けることである。これは、例えば、瞳面のシミュレーションにより行うことができる。原則的には、オーバーラップを完全に排除することが望ましいが、これは実行不可能であることが多く、その結果、低減が、達成可能な最良の結果である。
[0066] 一例では、水平のライン/スペースパターンについて、(図7に示すように)、左上の四半分及び右下の四半分を削除して、右上及び左下にある2つの極を残すことがある。高密度のコンタクトホールに対して同様の方式を適用することができ、この場合、シミュレーションは、Z6収差を適用することにより、同様にNILSの改善を示す。しかしながら、高密度のコンタクトホールには、著しい回折次数のオーバーラップがあるので、放射源ポイント間の完全な分離を得るのは、より困難である。実際には、この方法は、完全にオーバーラップを取り除くことはできないながらも、依然として適用されることがある。例えば、高密度のラインの結像において、パターンの大部分を一次元として扱うことができる場合であっても、ラインの端部は2D作用を生み出し、一部の回折次数のオーバーラップを除去するのが困難又は不可能になる。それでも、これらの作用は小さいものである傾向があり、パターンの通常の部分に適用される場合、依然として潜在的な利点がある。
[0067] 任意選択的に、除去する放射源の部分を決定する際、偏光に最も依存しており、従って偏光に関係した誤差に大きく寄与する傾向があるピクセルを、同様に取り去ることができる。
[0068] ステップ106は、放射源に適用されると、決定されるパターンシフトを低減することになる波面を決定することである。各瞳エリアについて(原則として、各ピクセルについて)、特に、シフトが上記の例と同様に線形である場合に、適切なシフトを生み出す位相を決定することができる。このようにして、パターンシフトを補正する所望の波面を取得することができる。この波面調節は、上記の例と同様に、ゼルニケ多項式によって記述することができるが、より複雑である場合もある。一旦決定されると、その波面を実際に生成するスキャナの機能を、例えばレンズモデルを使用して検査する必要がある。
[0069] スキャナが、放射源の部分に対して、決定された波面調節をもたらすことができ、他の部分に対してはもたらさない限り、任意選択的に、ステップ108は、位相を補償するのが困難である放射源の部分を取り除くことである。更に任意選択的に、位相を補償するのが非常に困難であり、放射源部分を取り除いてその動作を単純化することができない場合、焦点の変更を設定することができ、この方法はステップ102に戻って、各放射源ポイントのパターンシフトを再度決定する。
[0070] 特定の波面を生成できるかどうかを判断する方式の1つでは、第1のステップは、放射源を分解した後に残る放射源ポイントの位相シフトの点から見て、複数のゼルニケのうちの各ゼルニケ(例えば、Z5~Z20)が生成できるものを、計算することである。その後、放射源ポイントは、所望の位相シフトと取得された位相シフトとの間の差によって、ランク付けされることがある。次いで、閾値指標が達成されるまで、最下位にランク付けされたポイントを廃棄する。例えば、閾値として瞳充填率、即ち、明るい瞳対暗い瞳の比率、を使用して、放射源ピクセルの最小数に達する。即ち、許容可能な最小の瞳充填率を指定し、その比率に達するまで、放射源ポイントを廃棄する。閾値の瞳充填率に達する前に、低くランク付けされたポイントの全てが削除された場合、任意選択的に、追加のポイントを廃棄する必要はない。
[0071] 或いは、残された正味のパターンシフトの点から閾値を設定することができ、これを超えると、放射源ポイントは廃棄される。その後、全てのゼルニケについて結果を比較し、その中から最も良く補償された波面を選択する。他の方式では、第1のステップでゼルニケを使用するのではなく、残りの放射源ポイントのランク付けを続行する前に、レンズモデルを使用して、必要とされるものに最も近い同位相波面について解くように試みる(即ち、調節を行うために利用可能なノブの収差-誘導可能な収差-の最適な組み合わせを使用する)ことがある。
[0072] 最後に、ステップ110は、決定された波面を使用して放射源マスク最適化を行うことである。
[0073] 開示される方法、例えばオーバーレイマーカを含めて主にH/Vであるパターンのピッチを通じて、堅牢である。即ち、ピッチが変化すると、この方法は、この種のフィーチャに対して良好な性能を示し続ける。
[0074] 図11は、M3Dにより誘起されたパターンシフトを補償するための方法の第2の実施形態を示すフローチャートである。図10と同様に、第1のステップ130は、結像にとって重要である放射源平面内のポイントを特定することである。同様に、第2のステップ132は、特定されたポイントのパターンシフトを特定することである。
[0075] ステップ134では、この方法は分岐し、パターンシフトは、例えば極毎に「ある区間に入れられ」、その結果、放射源を、複数の単一の露光放射源に分解することができる。従って、オーバーラップを生じる極を削除するのではなく、オーバーラップする極を、異なる露光に分離する。
[0076] ステップ136では、前のステップで生成された異なる部分的な放射源のそれぞれについて、放射源及びマスクを最適化する。マスクと放射源は別個であるので、SMO操作を実施して、別々の結像操作のそれぞれについてバイアスを最適化することができる。これにより、前の実施形態でなされた上側及び下側の極に対する、同じ種類の反対のバイアスが可能になる。
[0077] ステップ138では、最終的な像に対する各極の寄与分に適切なバイアスが適用されるように、結像操作が、それぞれ最適化されたマスクと放射源との組み合わせを用いて、多重露光技術を使用して行われる。この方式ではスループットに対して幾らかのコストがかかるが、NILSの改善は、特に、精密さが要求される結像操作においては価値がある場合がある。
[0078] 一実施形態では、ステップ136及び138は、単一のマスクのみを使用して行われることがある。即ち、最適化の間に2つの異なる放射源が生成されるが、1つの共通のマスクが最適化される。次いで、露光と露光との間にウェーハをシフトさせて、是正効果を得ることにより、シフトを考慮に入れる。即ち、像シフトに起因するオーバーレイの差を考慮に入れるために、ウェーハをX及びYに移動させることがある。同様に、任意選択的に、焦点の変化を考慮に入れるために、ウェーハをZに移動させることがある。このようにして、下位の像は、最適な態様でオーバーラップするようになる。
[0079] この実施形態は複数の結像ステップを利用するので、結合に向けた「票決」方式を組み込むことができる。票決では、N個のマスク像がそれぞれ、分数(1/N)のドーズ量で露光される。即ち、別個のマスクパターンを使用して、複数の像が互いに積み重ねられる。各マスクにはランダムな独自の欠陥があるので、像を積み重ねると、それぞれの影響が減り、その結果、マスク欠陥の影響は減少する傾向がある。
[0080] 票決方式では、ワークフローは以下の通りであってもよい。第1のレチクルを用いてウェーハの1つのロットを、ドーズ量1/Nで露光する。レチクルを入れ替え、第2のレチクルを用いてそのロットを露光する。N個のレチクルについて繰り返す。その後、露光後ベークに進む。票決に向けた他の方式には、オーバーラップする態様で結像されるパターンの繰り返しを伴う単一のレチクルが含まれるものの、極毎に別々に最適化されたマスクを使用する票決式結像は、単一のレチクル票決には一般的に適していないことは明らかである。一方、極毎に最適化された別々のダイを有する単一のレチクルは、理論的には、票決式結像プロセスで使用することができる。
[0081] 図12は、欠陥150を緩和することへの票決の効果を示す。この場合には、欠陥は、暗くなる欠陥である(即ち、照射されるべき像の部分がされなかった)。像152は、全ドーズ量で欠陥のあるレチクルを使用して得られる像である。対照的に、像154は、1/4のドーズ量で欠陥のあるレチクルによって、それに加えて、それぞれ1/4のドーズ量で他の3つの(欠陥はないと想定される)レチクルによって、生成された像である。従って、像152とは異なり、暗くなる欠陥の位置にあるコンタクトホールは、完全にレンダリングされてはいないが、それでもなお存在している。
[0082] 一実施形態では、この方法は更に、この方法を実施するために使用することができる機械可読命令を生成することを含む。これには、ユーザによって起草されたプログラミングコードに基づいて機械可読命令を生成すること、その命令をストレージデバイス(例えば、非一時的なストレージデバイス)に書き込むこと、その命令をサーバに保存すること、及び/又は他の操作が含まれることがある。機械可読命令は、コード行、データベース、及び/又は、ファイル及び/又は他の電子フォーマットに保存された他の構成の情報、を含むことがある。これらの例は、限定することを意図したものではない。
[0083] 図13は、本明細書に開示する方法、フロー、装置の実施を支援し得るコンピュータシステム100を示すブロック図である。コンピュータシステム100は、バス102又は情報を通信するための他の通信機構と、情報を処理するためにバス102と結合されたプロセッサ104(又は複数のプロセッサ104及び105)とを含む。コンピュータシステム100は、ランダムアクセスメモリ(RAM)又は他の動的ストレージデバイスなどの、プロセッサ104によって実行される情報及び命令を保存するためにバス102に結合されたメインメモリ106も含む。メインメモリ106は、プロセッサ104によって実行される命令の実行中に、一時変数又は他の中間情報を保存するためにも使用されてもよい。コンピュータシステム100は、リードオンリーメモリ(ROM)108、又はプロセッサ104のための静的情報及び命令を保存するためにバス102に結合された他の静的ストレージデバイスをさらに含む。情報及び命令を保存するための磁気ディスク又は光ディスクなどのストレージデバイス110が設けられると共に、バス102に結合される。
[0084] コンピュータシステム100は、バス102を介して、情報をコンピュータユーザに表示するための、陰極線管(CRT)、フラットパネル、又はタッチパネルディスプレイなどのディスプレイ112に結合されてもよい。英数字及び他のキーを含む入力デバイス114が、情報及びコマンド選択をプロセッサ104に通信するためにバス102に結合される。別のタイプのユーザ入力デバイスは、プロセッサ104に方向情報及びコマンド選択を通信するため、及びディスプレイ112上でカーソルの移動を制御するための、マウス、トラックボール、又はカーソル方向キーなどのカーソル制御部116である。この入力デバイスは、一般的に、2つの軸(第1の軸(例えばx)及び第2の軸(例えばy))において、デバイスがある面内で位置を特定することを可能にする2つの自由度を有する。タッチパネル(スクリーン)ディスプレイが、入力デバイスとして使用されてもよい。
[0085] ある実施形態によれば、本明細書における1つ又は複数の方法の部分は、メインメモリ106に含まれる1つ又は複数の命令の1つ又は複数のシーケンスを実行するプロセッサ104に応答して、コンピュータシステム100によって行われてもよい。このような命令は、ストレージデバイス110などの別のコンピュータ可読媒体からメインメモリ106に読み込まれてもよい。メインメモリ106に含まれる命令のシーケンスの実行は、プロセッサ104に本明細書に記載のプロセスステップを行わせる。メインメモリ106に含まれる命令のシーケンスを実行するために、多重処理構成の1つ又は複数のプロセッサが用いられてもよい。ある代替実施形態では、ソフトウェア命令の代わりに、又はソフトウェア命令と一緒に、ハードワイヤード回路が用いられてもよい。従って、本明細書の記載は、ハードウェア回路及びソフトウェアの特定の組み合わせに限定されない。
[0086] 本明細書で使用される「コンピュータ可読媒体」という用語は、実行のためにプロセッサ104に命令を提供することに関与するあらゆる媒体を指す。このような媒体は、限定されないが、不揮発性媒体、揮発性媒体、及び伝送媒体を含む、多くの形態をとり得る。不揮発性媒体は、例えば、ストレージデバイス110などの光又は磁気ディスクを含む。揮発性媒体は、メインメモリ106などの動的メモリを含む。伝送媒体は、同軸ケーブル、銅線及び光ファイバ(バス102を含むワイヤを含む)を含む。伝送媒体は、無線周波数(RF)及び赤外線(IR)データ通信中に生成されるものなどの、音波又は光波の形態もとり得る。コンピュータ可読媒体の一般的形態は、例えば、フロッピーディスク、フレキシブルディスク、ハードディスク、磁気テープ、その他の磁気媒体、CD-ROM、DVD、その他の光媒体、パンチカード、紙テープ、孔のパターンを有したその他の物理媒体、RAM、PROM、及びEPROM、FLASH-EPROM、その他のメモリチップ又はカートリッジ、以下に記載されるような搬送波、又はコンピュータが読み取ることができるその他の媒体を含む。
[0087] 1つ又は複数の命令の1つ又は複数のシーケンスを、実行のためにプロセッサ104に運ぶのに、様々な形態のコンピュータ可読媒体が関わることがある。例えば、命令は、初めに、遠隔コンピュータ(例えば、サーバ及び/又は他のコンピュータ機器)の磁気ディスク上に、固体ストレージデバイス、及び/又は他の場所に運ばれることがある。遠隔コンピュータは、命令をダイナミックメモリにロードし、命令を、無線通信ネットワーク(例えば、インターネット、セルラー通信ネットワーク等)を介して、モデムを使用して電話回線を介して、及び/又は他の方法により、送信することができる。コンピュータシステム100に対してローカルなモデム及び/又は他のデータ受信部品は、無線通信ネットワークを介して、電話回線で、などによりデータを受信し、赤外線送信機を使用してデータを赤外線信号に変換することができる。バス102に結合された赤外線検出器が、赤外線信号で搬送されたデータを受信し、及びそのデータをバス102にのせることができる。バス102は、データをメインメモリ106に搬送し、そこからプロセッサ104が、命令の読み出し及び実行を行う。メインメモリ106によって受信された命令は、任意選択的に、プロセッサ104による実行の前又は後に、ストレージデバイス110に保存されてもよい。
[0088] コンピュータシステム100は、バス102に結合された通信インターフェース118も含み得る。通信インターフェース118は、ローカルネットワーク122に接続されたネットワークリンク120に結合する双方向データ通信も提供する。例えば、通信インターフェース118は、対応するタイプの電話回線にデータ通信接続を提供するデジタル総合サービス網(ISDN)カード又はモデムでもよい。別の例として、通信インターフェース118は、互換性のあるLANへのデータ通信接続を提供するローカルエリアネットワーク(LAN)カードでもよい。ワイヤレスリンクが実施されてもよい。このような実施において、通信インターフェース118は、様々なタイプの情報を表すデジタルデータストリームを搬送する電気、電磁、又は光信号の送信及び受信を行う。
[0089] ネットワークリンク120は、一般的に、1つ又は複数のネットワークを通して、他のデータデバイスにデータ通信を提供する。例えば、ネットワークリンク120は、ローカルネットワーク122を通して、ホストコンピュータ124又はインターネットサービスプロバイダ(ISP)126によって操作されるデータ機器への接続を提供することができる。ISP126は、次に、ワールドワイドパケットデータ通信ネットワーク(現在、一般に「インターネット」128と呼ばれる)によるデータ通信サービスを提供する。ローカルネットワーク122及びインターネット128は共に、デジタルデータストリームを搬送する電気、電磁、又は光信号を使用する。コンピュータシステム100に対して、及びコンピュータシステム100からデジタルデータを搬送する、様々なネットワークを通る信号、及びネットワークリンク120上の、及び通信インターフェース118を通る信号は、情報を運ぶ搬送波の形態例である。
[0090] コンピュータシステム100は、1つ又は複数のネットワーク、ネットワークリンク120、及び通信インターフェース118を通して、メッセージを送信すること、及びプログラムコードを含むデータを受信することができる。インターネット例では、サーバ130は、インターネット128、ISP126、ローカルネットワーク122、及び通信インターフェース118を通して、アプリケーションプログラムの要求コードを送信する場合がある。そのようなダウンロードされたあるアプリケーションは、本明細書における方法の全て又は一部を提供することができる。受信されたコードは、受信された際にプロセッサ104によって実行されてもよく、及び/又は後で実行するためにストレージデバイス110又は他の不揮発性ストレージに保存されてもよい。このようにして、コンピュータシステム100は、搬送波の形態のアプリケーションコードを取得してもよい。
[0091] 図14は、本明細書に記載する技術とあわせて利用することができる、例示的なリソグラフィ投影装置を概略的に示す。
[0092] リソグラフィ投影装置1000は、以下を含む:
-ソースコレクタモジュールSO;
-放射ビームB(例えば、EUV放射)を調節するように構成された照明システム(イルミネータ)IL;
-パターニングデバイス(例えば、マスク又はレチクル)MAを支持するように構築され、且つパターニングデバイスを正確に位置決めするように構成された第1のポジショナPMに接続されたサポート構造(例えば、パターニングデバイステーブル)MT;
-基板(例えば、レジストコートウェーハ)Wを保持するように構築され、且つ基板を正確に位置決めするように構成された第2のポジショナPWに接続された基板テーブル(例えば、ウェーハテーブル)WT;及び
-パターニングデバイスMAによって放射ビームBに付与されたパターンを基板Wのターゲット部分C1(例えば、1つ又は複数のダイを含む)上に投影するように構成された投影システム(例えば、反射型投影システム)PS。
[0093] 図14に描かれるように、装置は、反射型(例えば、反射型パターニングデバイスを用いる)である。ほとんどの材料が、EUV波長範囲内で吸収性であるので、パターニングデバイスは、例えば、モリブデン及びシリコンのマルチスタックを含む多層リフレクタを有し得ることに留意されたい。一例では、マルチスタックリフレクタは、各層の厚さが4分の1波長である、モリブデン及びシリコンの40層ペアを有する。さらに小さな波長が、X線リソグラフィを用いて生成され得る。ほとんどの材料が、EUV及びx線波長で吸収性であるので、パターニングデバイストポグラフィ上の薄い一片のパターン付き吸収材料(例えば、多層リフレクタ上のTaNアブゾーバ)は、どこにフィーチャが印刷され(ポジ型レジスト)、又は印刷されないか(ネガ型レジスト)を定義する。
[0094] イルミネータILが、ソースコレクタモジュールSOから極端紫外線放射ビームを受ける。EUV放射を生成する方法は、必ずしも限定されないが、EUV範囲において1つ又は複数の輝線を備えた少なくとも1つの元素(例えば、キセノン、リチウム、又はスズ)を有するプラズマ状態に材料を変換することを含む。レーザ生成プラズマ(「LPP」)と呼ばれることが多い、そのような1つの方法では、プラズマは、線発光元素を有する材料の小滴、ストリーム、又はクラスタなどの燃料をレーザビームで照射することによって生成され得る。ソースコレクタモジュールSOは、燃料を励起するレーザビームを提供するレーザ(図9では不図示)を含むEUV放射システムの一部でもよい。その結果生じるプラズマが、出力放射(例えば、EUV放射)を放出し、これが、ソースコレクタモジュールに配置される放射コレクタを用いて収集される。レーザ及びソースコレクタモジュールは、例えば、燃料励起用のレーザビームを提供するためにCO2レーザが使用される場合には、別個のエンティティでもよい。
[0095] このようなケースでは、レーザは、リソグラフィ装置の一部を形成するとは見なされず、及び放射ビームは、例えば、適宜の誘導ミラー及び/又はビームエキスパンダを含むビームデリバリシステムを用いて、レーザからソースコレクタモジュールへと渡される。他のケースでは、例えばソースが、DPPソースと呼ばれることが多い、放電生成プラズマEUVジェネレータである場合に、ソースは、ソースコレクタモジュールの一体化部分でもよい。ある実施形態では、DUVレーザ源が使用されてもよい。
[0096] イルミネータILは、放射ビームの角度強度分布を調節するためのアジャスタを含み得る。一般に、イルミネータの瞳面の強度分布の少なくとも外側及び/又は内側半径範囲(一般的に、それぞれσ-outer及びσ-innerと呼ばれる)が、調節され得る。さらに、イルミネータILは、ファセットフィールド及び瞳ミラーデバイスなどの様々な他のコンポーネントを含み得る。イルミネータを使用して、断面に所望の均一性及び強度分布を有するように放射ビームを調整することができる。
[0097] 放射ビームBは、サポート構造(例えば、パターニングデバイステーブル)MT上に保持されるパターニングデバイス(例えば、マスク)MAに入射し、及びパターニングデバイスによってパターン付けされる。放射ビームBは、パターニングデバイス(例えば、マスク)MAから反射された後、ビームの焦点を基板Wのターゲット部分Cに合わせる投影システムPSを通過する。第2のポジショナPW及び位置センサPS2(例えば、干渉デバイス、リニアエンコーダ、又は静電容量センサ)を用いて、例えば異なるターゲット部分Cを放射ビームBのパス内に位置決めするように、基板テーブルWTを正確に移動させることができる。同様に、第1のポジショナPM及び別の位置センサPS1を用いて、放射ビームBのパスに対してパターニングデバイス(例えば、マスク)MAを正確に位置決めすることができる。パターニングデバイス(例えば、マスク)MA及び基板Wは、パターニングデバイスアライメントマークM1、M2及び基板アライメントマークP1、P2を用いてアライメントされてもよい。
[0098] 描かれた装置は、以下のモードの少なくとも1つで使用され得る:
[0099] 1.ステップモードでは、放射ビームに付与されたパターン全体が、一回でターゲット部分C1上に投影される間に、サポート構造(例えば、パターニングデバイステーブル)MT及び基板テーブルWTは、基本的に静止したままである(すなわち、単一静的露光)。次いで、異なるターゲット部分C1が露光され得るように、基板テーブルWTが、X及び/又はY方向にシフトされる。
[00100] 2.スキャンモードでは、放射ビームに付与されたパターンが、ターゲット部分C1上に投影される間に、サポート構造(例えば、パターニングデバイステーブル)MT及び基板テーブルWTは、同期してスキャンされる(すなわち、単一動的露光)。サポート構造(例えば、パターニングデバイステーブル)MTに対する基板テーブルWTの速度及び方向は、投影システムPSの縮小及び像反転特性によって決定され得る。
[00101] 3.別のモードでは、放射ビームに付与されたパターンが、ターゲット部分C1上に投影される間に、サポート構造(例えば、パターニングデバイステーブル)MTは、プログラマブルパターニングデバイスを保持して基本的に静止したままであり、且つ基板テーブルWTは、移動又はスキャンされる。このモードでは、一般にパルス放射源が用いられ、及びプログラマブルパターニングデバイスが、基板テーブルWTの各移動後に、又はスキャン中の連続する放射パルスの合間に、必要に応じて更新される。この動作モードは、上記で言及したようなタイプのプログラマブルミラーアレイなどのプログラマブルパターニングデバイスを利用するマスクレスリソグラフィに容易に適用することができる。
[00102] 図15は、ソースコレクタモジュールSO、照明システムIL、及び投影システムPSを含む装置をより詳細に示す。ソースコレクタモジュールSOは、ソースコレクタモジュールSOの閉鎖構造220内で真空環境が維持され得るように構成される。EUV放射放出プラズマ210は、放電生成プラズマ源(及び/又は上記の他のソース)によって形成され得る。EUV放射は、ガス又は蒸気(例えば、電磁スペクトルのEUV範囲内の放射を放出するために、高温プラズマ210が作られるXeガス、Li蒸気、又はSn蒸気)によって生成され得る。高温プラズマ210は、例えば、少なくとも部分的にイオン化されたプラズマを生じさせる放電によって作られる。Xe、Li、Sn蒸気又は任意のその他の適宜のガス若しくは蒸気の例えば10Paの分圧が、放射の効率的生成に必要とされ得る。ある実施形態では、励起スズ(Sn)のプラズマは、EUV放射を生成するために提供される。
[00103] 高温プラズマ210によって放出された放射は、ソースチャンバ211から、ソースチャンバ211の開口内、又はその後ろに位置する任意選択的なガスバリア又は汚染物質トラップ230(場合によっては、汚染物質バリア又はフォイルトラップとも呼ばれる)を介して、コレクタチャンバ212内へと渡される。汚染物質トラップ230は、チャネル構造を含み得る。汚染物質トラップ230は、ガスバリア、又はガスバリア及びチャネル構造の組み合わせも含み得る。本明細書にさらに示される汚染物質トラップ又は汚染物質バリア230は、当該技術分野で知られているように、少なくともチャネル構造を含む。
[00104] コレクタチャンバ211は、いわゆる斜入射型コレクタでもよい放射コレクタCOを含み得る。放射コレクタCOは、上流放射コレクタ側251及び下流放射コレクタ側252を有する。コレクタCOを横断する放射は、格子スペクトルフィルタ240に反射して、一点鎖線「O」によって示される光軸に沿った仮想光源点IFに焦点を合わせることができる。仮想光源点IFは、一般的に中間焦点と呼ばれ、及びソースコレクタモジュールは、中間焦点IFが、閉鎖構造220の開口221に、又はその付近に位置するように配置される。仮想光源点IFは、放射放出プラズマ210の像である。
[00105] 続いて、放射は、パターニングデバイスMAにおいて放射ビーム21の所望の角度分布、及びパターニングデバイスMAにおいて放射強度の所望の均一性を提供するように配置されたファセットフィールドミラーデバイス22及びファセット瞳ミラーデバイス24を包含し得る照明システムILを横断する。サポート構造MTによって保持されたパターニングデバイスMAにおける放射ビーム21の反射時に、パターン付きビーム26が形成され、及びパターン付きビーム26は、投影システムPSによって、反射要素28、30を介して、基板テーブルWTによって保持される基板W上に結像される。
[00106] 一般に、図示されるよりも多くの要素が、照明光学系ユニットIL及び投影システムPS内に存在し得る。格子スペクトルフィルタ240が、リソグラフィ装置のタイプに応じて、任意選択的に存在してもよい。さらに、図面に示されるミラーよりも多くのミラーが存在してもよく、例えば、図10に示されるよりも1~10個又はそれ以上の追加の反射要素が、投影システムPSに存在してもよい。
[00107] 図10にさらに示されるようなコレクタ系COは、コレクタ(又はコレクタミラー)の単なる一例として、斜入射型リフレクタ253、254、及び255を備えた入れ子式コレクタとして描かれる。斜入射型リフレクタ253、254、及び255は、光軸Oに対して軸対称に配置され、及びこのタイプのコレクタ系COは、DPPソースと呼ばれることが多い、放電生成プラズマ源と組み合わせて使用され得る。
[00108] 或いは、ソースコレクタモジュールSOは、LPP放射システム(図示せず)の一部であり得る。この方式では、レーザは、キセノン(Xe)、スズ(Sn)、又はリチウム(Li)などの燃料にレーザエネルギーを堆積させるように構成され、数十eVの電子温度を有する非常にイオン化されたプラズマを生成する。これらのイオンの脱励起及び再結合中に生成されたエネルギーの放射線が、プラズマから放射され、近法線入射コレクタ系によって収集され、密閉構造の開口部に集束される。
[00109] 実施形態については、以下の条項を使用して更に説明することができる。
1.フォトリソグラフィシステムを使用してフィーチャを基板上に結像するためのパターンを最適化する方法であって、
回折次数間の非対称的な位相効果に起因するフォトマスクを離れる光の回折から生じるパターンシフトに関連した、上記フォトリソグラフィシステムの放射源平面内のポイントを特定することと、
上記特定された放射源平面ポイントに関連したパターンシフトを決定することと、
上記決定されたパターンシフトを減らすように上記放射源を修正することと、を含む方法。
2.上記修正することは、
上記特定されたポイントについて、複数の回折次数のそれぞれに関係した領域を決定することと、
上記決定された領域のオーバーラップを減らすために、上記放射源を分離することと、
上記放射源に適用されると、上記決定されたパターンシフトを低減することになる波面調節を決定することと、
上記決定された波面調節を使用して、放射源マスク最適化を行うことと、を含む、条項1に記載の方法。
3.上記放射源を上記分離することは、1D回折次数を分離しながら、2D回折次数がオーバーラップできるようにすることを含む、条項2に記載の方法。
4.収差を決定することは、上記マスクの上記回折によって与えられる上記決定されたパターンシフトとは反対の非対称的なパターンシフトを生成する収差を選択することを含む、条項2に記載の方法。
5.上記放射源平面内のポイントを削除することを更に含み、ここで、選択された許容誤差閾値内では上記決定された収差を生成することができない、条項2に記載の方法。
6.上記修正された放射源は、回転した双極子を含み、上記決定された収差は、適切に回転した非点収差である、条項2に記載の方法。
7.上記修正された放射源は、トリムされた葉状の双極子であり、上記決定された収差は、回転した非点収差である、条項2に記載の方法。
8.上記回転した非点収差は、Z6によって表わされる、条項7に記載の方法。
9.上記修正することは、
複数の極を有する1つの放射源を、それぞれが1つの極を有する複数の放射源に分解することと、
上記複数の放射源毎に、パターンシフト値を選択することと、を含む、条項1に記載の方法。
10.上記複数の放射源のそれぞれに割り当てられる総結像ドーズ量の比率を選択することを更に含む、条項9に記載の方法。
11.上記複数の放射源は、一対の対向する極を含み、上記一対の対向する極からの一方の極の上記選択されたパターンシフトは、上記一対の対向する極からの他方の極の選択されたパターンシフトとは方向が逆になる、条項9に記載の方法。
12.上記複数の放射源は、2つ以上の極を含み、上記極は、2つ以上の組にグループ分けすることができ、各組について、上記選択されたパターンシフトは互いの組で異なっている、条項9に記載の方法。
13.票決式結像手順を使用して結像することを更に含む、条項9に記載の方法。
14.上記票決式結像は、単一のマスクの同じ領域からの、又は単一のマスクからのシフトされたエリアからの、又は異なるマスクからの、シフトされた複数像のうちの1つ又は複数を用いて各露光を行うことを含む、条項13に記載の方法。
15.上記特定することは、単一放射源ポイント走査、及びシミュレートされた像の品質の性能指数の比較、を含む、条項1に記載の方法。
16.上記性能指数は、正規化像対数勾配、焦点深度、マスク・エラー・エンハンスメント関数のうちの1つ又は複数を含む、条項15に記載の方法。
17.上記フォトリソグラフィシステムはEUVシステムである、条項1に記載の方法。
18.上記パターンシフトは、マスク3Dの影響から生じる、条項1に記載の方法。
19.上記パターンシフトは、上記放射源平面内の上記特定されたポイントに関して非対称である、条項1に記載の方法。
20.上記方法は更に、選択された回折次数の振幅を低減するために、誘導されたアポディゼーションを使用することを含む、条項1に記載の方法。
21.上記フィーチャを上記基板に結像することを更に含む、条項1に記載の方法。
22.命令を含む非一時的なコンピュータ可読ストレージ媒体であって、前記命令は、プロセッサによって実行されると、前記プロセッサが、条項1ないし21のいずれかの方法を実施するようにする、非一時的なコンピュータ可読ストレージ媒体。
[00110] 本明細書に開示する概念は、シリコンウェーハなどの基板上の結像のために使用され得るが、開示した概念は、あらゆるタイプのリソグラフィ結像システム(例えば、シリコンウェーハ以外の基板上の結像に使用されるもの)に使用され得ることが理解されるものとする。
[00111] 上記の記載は、説明のためのものであり、限定するものではないことが意図される。従って、当業者には、以下に記載される請求項の範囲から逸脱することなく、説明したように、変更が行われ得ることが明らかとなるだろう。

Claims (12)

  1. フォトリソグラフィシステムを使用してフィーチャを基板上に結像するためのパターンを最適化する方法であって、
    回折次数間の非対称的な位相効果に起因するフォトマスクを離れる光の回折から生じるパターンシフトに関連した、前記フォトリソグラフィシステムの放射源平面内のポイントを特定することと、
    前記特定された放射源平面ポイントに関連したパターンシフトを決定することと、
    前記決定されたパターンシフトを減らすように前記放射源の光学特徴を修正することと、を含む方法。
  2. 前記修正することは、
    前記特定されたポイントについて、複数の回折次数のそれぞれに関係した領域を決定することと、
    前記決定された領域のオーバーラップを減らすために、複数の極を有する前記放射源を複数の放射源に分解することと、
    前記放射源に適用されると、前記決定されたパターンシフトを低減することになる波面を決定することと、
    前記決定された波面を使用して、放射源マスク最適化を行うことと、を含む、請求項1に記載の方法。
  3. 収差を決定することは、前記マスクの前記回折によって与えられる前記決定されたパターンシフトとは反対の非対称的なパターンシフトを生成する収差を選択することを含む、請求項2に記載の方法。
  4. 前記放射源平面内のポイントを削除することを更に含、請求項2に記載の方法。
  5. 前記修正された放射源は、回転した双極子を含み、前記決定された波面の収差は、適切に回転した非点収差である、請求項2に記載の方法。
  6. 前記修正された放射源は、トリムされた葉状の双極子であり、前記決定された波面の収差は、回転した非点収差であり、及び/又は、
    前記回転した非点収差は、Z6によって表される、請求項2に記載の方法。
  7. 前記修正することは、
    複数の極を有する1つの放射源を、それぞれが1つの極を有する複数の放射源に分解することと、
    前記複数の放射源毎に、パターンシフト値を選択することと、を含む、請求項1に記載の方法。
  8. 前記複数の放射源は、一対の対向する極を含み、前記一対の対向する極からの一方の極の前記選択されたパターンシフトは、前記一対の対向する極からの他方の極の選択されたパターンシフトとは方向が逆になる、請求項に記載の方法。
  9. 票決式結像手順を使用して結像することを更に含み、及び/又は、
    前記票決式結像は、単一のマスクの同じ領域からの、又は単一のマスクからのシフトされたエリアからの、又は異なるマスクからの、シフトされた複数像のうちの1つ又は複数を用いて各露光を行うことを含む、請求項に記載の方法。
  10. 前記特定することは、単一放射源ポイント走査、及びシミュレートされた像の品質の性能指数の比較、を含み、及び/又は
    前記性能指数は、正規化像対数勾配、焦点深度、マスク・エラー・エンハンスメント関数のうちの1つ又は複数を含む、請求項1に記載の方法。
  11. 前記パターンシフトは、マスク3Dの影響から生じ、及び/又は、
    前記パターンシフトは、前記放射源平面内の前記特定されたポイントに関して非対称である、請求項1に記載の方法。
  12. 命令を含む非一時的なコンピュータ可読ストレージ媒体であって、前記命令は、プロセッサによって実行されると、前記プロセッサが、
    回折次数間の非対称的な位相効果に起因するフォトマスクを離れる光の回折から生じるパターンシフトに関連した、フォトリソグラフィシステムの放射源平面内のポイントを特定し、
    前記特定された放射源平面ポイントに関連したパターンシフトを決定し、
    前記決定されたパターンシフトを減らすように前記放射源の光学特徴を修正する、方法を実施するようにする、非一時的なコンピュータ可読ストレージ媒体。
JP2021561848A 2019-04-30 2020-04-07 フォトリソグラフィ結像の方法及び装置 Active JP7305792B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
EP19171770.1 2019-04-30
EP19171770.1A EP3734365A1 (en) 2019-04-30 2019-04-30 Method and apparatus for photolithographic imaging
EP20164386.3 2020-03-20
EP20164386 2020-03-20
PCT/EP2020/059826 WO2020221556A1 (en) 2019-04-30 2020-04-07 Method and apparatus for photolithographic imaging

Publications (2)

Publication Number Publication Date
JP2022531551A JP2022531551A (ja) 2022-07-07
JP7305792B2 true JP7305792B2 (ja) 2023-07-10

Family

ID=70295095

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021561848A Active JP7305792B2 (ja) 2019-04-30 2020-04-07 フォトリソグラフィ結像の方法及び装置

Country Status (6)

Country Link
US (1) US20220236645A1 (ja)
EP (1) EP3963404B1 (ja)
JP (1) JP7305792B2 (ja)
KR (1) KR20210145258A (ja)
CN (2) CN118068659A (ja)
WO (1) WO2020221556A1 (ja)

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001267197A (ja) 2000-03-14 2001-09-28 Matsushita Electric Ind Co Ltd 微細パターンの形成方法およびフォトマスク
JP2004179663A (ja) 2002-11-28 2004-06-24 Asml Netherlands Bv デバイス製造方法およびコンピュータプログラム
JP2007520892A (ja) 2004-02-03 2007-07-26 メンター・グラフィクス・コーポレーション イメージの忠実度およびスループットに対する光源の最適化
US20120077130A1 (en) 2010-09-27 2012-03-29 International Business Machines Corporation Method for generating a plurality of optimized wavefronts for a multiple exposure lithographic process
JP2012074695A (ja) 2010-09-23 2012-04-12 Asml Netherlands Bv 偏光を用いたプロセスチューニング
JP2013524497A (ja) 2010-03-30 2013-06-17 カール・ツァイス・エスエムティー・ゲーエムベーハー マスクによって生じる結像収差の補正を用いて投影露光装置を作動させる方法
JP2017538155A (ja) 2014-12-17 2017-12-21 エーエスエムエル ネザーランズ ビー.ブイ. パターニングデバイストポグラフィ誘起位相を使用するための方法及び装置

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5229872A (en) 1992-01-21 1993-07-20 Hughes Aircraft Company Exposure device including an electrically aligned electronic mask for micropatterning
WO1997033205A1 (en) 1996-03-06 1997-09-12 Philips Electronics N.V. Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
TW530336B (en) * 2001-08-21 2003-05-01 Asml Masktools Bv Lithographic method and lithographic apparatus
EP1920369A2 (en) 2005-08-08 2008-05-14 Brion Technologies, Inc. System and method for creating a focus-exposure model of a lithography process
US7695876B2 (en) 2005-08-31 2010-04-13 Brion Technologies, Inc. Method for identifying and using process window signature patterns for lithography process control
US7617477B2 (en) 2005-09-09 2009-11-10 Brion Technologies, Inc. Method for selecting and optimizing exposure tool using an individual mask error model
US7694267B1 (en) 2006-02-03 2010-04-06 Brion Technologies, Inc. Method for process window optimized optical proximity correction
US7882480B2 (en) 2007-06-04 2011-02-01 Asml Netherlands B.V. System and method for model-based sub-resolution assist feature generation
US7707538B2 (en) 2007-06-15 2010-04-27 Brion Technologies, Inc. Multivariable solver for optical proximity correction
US20090157630A1 (en) 2007-10-26 2009-06-18 Max Yuan Method of extracting data and recommending and generating visual displays
JP2009164296A (ja) * 2007-12-28 2009-07-23 Canon Inc 露光装置およびデバイス製造方法
US8248617B2 (en) * 2008-04-22 2012-08-21 Zygo Corporation Interferometer for overlay measurements
NL2003699A (en) 2008-12-18 2010-06-21 Brion Tech Inc Method and system for lithography process-window-maximixing optical proximity correction.
NL2007642A (en) 2010-11-10 2012-05-14 Asml Netherlands Bv Optimization flows of source, mask and projection optics.
WO2016008711A1 (en) * 2014-07-14 2016-01-21 Asml Netherlands B.V. Optimization of assist features and source
WO2016096346A1 (en) * 2014-12-17 2016-06-23 Asml Netherlands B.V. Method and apparatus for using patterning device topography induced phase

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001267197A (ja) 2000-03-14 2001-09-28 Matsushita Electric Ind Co Ltd 微細パターンの形成方法およびフォトマスク
JP2004179663A (ja) 2002-11-28 2004-06-24 Asml Netherlands Bv デバイス製造方法およびコンピュータプログラム
JP2007520892A (ja) 2004-02-03 2007-07-26 メンター・グラフィクス・コーポレーション イメージの忠実度およびスループットに対する光源の最適化
JP2013524497A (ja) 2010-03-30 2013-06-17 カール・ツァイス・エスエムティー・ゲーエムベーハー マスクによって生じる結像収差の補正を用いて投影露光装置を作動させる方法
JP2012074695A (ja) 2010-09-23 2012-04-12 Asml Netherlands Bv 偏光を用いたプロセスチューニング
US20120077130A1 (en) 2010-09-27 2012-03-29 International Business Machines Corporation Method for generating a plurality of optimized wavefronts for a multiple exposure lithographic process
JP2017538155A (ja) 2014-12-17 2017-12-21 エーエスエムエル ネザーランズ ビー.ブイ. パターニングデバイストポグラフィ誘起位相を使用するための方法及び装置

Also Published As

Publication number Publication date
KR20210145258A (ko) 2021-12-01
JP2022531551A (ja) 2022-07-07
WO2020221556A1 (en) 2020-11-05
US20220236645A1 (en) 2022-07-28
CN113767337B (zh) 2024-04-16
EP3963404B1 (en) 2023-01-25
CN113767337A (zh) 2021-12-07
EP3963404A1 (en) 2022-03-09
CN118068659A (zh) 2024-05-24

Similar Documents

Publication Publication Date Title
TWI590006B (zh) 用於改良微影製程的方法及電腦程式產品
CN110023839B (zh) 用于图案化配置的方法和***
CN107111237A (zh) 辅助特征的基于规则的部署
CN111213090A (zh) 图案化过程的优化流程
CN113168118A (zh) 随机epe中的sem fov指纹和大型fov sem装置中的放置测量
TWI620034B (zh) 用於微影模擬的電腦實施方法及電腦程式產品
TWI718017B (zh) 繞射圖案導引之源光罩最佳化的方法及裝置
TWI783185B (zh) 藉由源及遮罩最佳化以建立理想源光譜的方法
CN109313391B (zh) 基于位移的重叠或对准
EP3734365A1 (en) Method and apparatus for photolithographic imaging
KR102642972B1 (ko) 모델 캘리브레이션을 위한 게이지 선택의 향상
JP7305792B2 (ja) フォトリソグラフィ結像の方法及び装置
TWI842639B (zh) 用於增強成像至基板上之圖案的目標特徵之方法和系統
CN114503035B (zh) 用于光刻成像的方法和设备
US20220276564A1 (en) Method and apparatus for photolithographic imaging
CN113508339B (zh) 用于模型校准的改进量规选择
TW202424656A (zh) 多層級蝕刻程序之模型化
WO2024037859A1 (en) Method for radiation spectrum aware souce mask optimization for lithography
WO2024094385A1 (en) Source optimization for mitigating mask error impact
WO2023110346A1 (en) Methods, software, and systems for determination of constant-width sub-resolution assist features
WO2024013038A1 (en) Stochastic-aware source mask optimization based on edge placement probability distribution
CN118265950A (zh) 模拟模型稳定性确定方法
CN112889005A (zh) 用于生成特性图案和训练机器学习模型的方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20211209

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20211209

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20221130

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20221206

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230303

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230605

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230628

R150 Certificate of patent or registration of utility model

Ref document number: 7305792

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150