JP7175160B2 - Substrate processing equipment - Google Patents

Substrate processing equipment Download PDF

Info

Publication number
JP7175160B2
JP7175160B2 JP2018207908A JP2018207908A JP7175160B2 JP 7175160 B2 JP7175160 B2 JP 7175160B2 JP 2018207908 A JP2018207908 A JP 2018207908A JP 2018207908 A JP2018207908 A JP 2018207908A JP 7175160 B2 JP7175160 B2 JP 7175160B2
Authority
JP
Japan
Prior art keywords
edge ring
electrostatic chuck
mounting surface
electrode
substrate processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2018207908A
Other languages
Japanese (ja)
Other versions
JP2020077654A (en
JP2020077654A5 (en
Inventor
利也 塚原
充明 佐藤
淳一 佐々木
南虎 尹
智洙 徐
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2018207908A priority Critical patent/JP7175160B2/en
Priority to TW108138377A priority patent/TW202032715A/en
Priority to KR1020190139528A priority patent/KR20200051505A/en
Priority to US16/672,704 priority patent/US20200144090A1/en
Priority to CN201911070184.8A priority patent/CN111146065A/en
Publication of JP2020077654A publication Critical patent/JP2020077654A/en
Publication of JP2020077654A5 publication Critical patent/JP2020077654A5/ja
Application granted granted Critical
Publication of JP7175160B2 publication Critical patent/JP7175160B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32467Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/21Focus adjustment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Plasma Technology (AREA)

Description

本開示は、載置台及び基板処理装置に関する。 The present disclosure relates to a mounting table and a substrate processing apparatus.

例えば、特許文献1は、上面のウエハ載置部と、ウエハ載置部の外側に延在する環状の周辺部とを有する載置台を開示する。ウエハ載置部の上には処理対象のウエハが載置され、環状周辺部の上にはエッジリングが取り付けられる。エッジリングと静電チャックとの対向する側壁の間には所定の隙間が設けられている。
For example, Patent Literature 1 discloses a mounting table having a wafer mounting portion on the upper surface and an annular peripheral portion extending outside the wafer mounting portion. A wafer to be processed is mounted on the wafer mounting part, and an edge ring is attached on the annular peripheral part. A predetermined gap is provided between the opposing side walls of the edge ring and the electrostatic chuck.

特開2008-244274号公報JP 2008-244274 A

本開示は、エッジリングと静電チャックとの対向する側壁の隙間を管理することが可能な技術を提供する。 The present disclosure provides techniques capable of managing the gap between the opposing sidewalls of the edge ring and electrostatic chuck.

本開示の一の態様によれば、基板の周囲に配置されるエッジリングと、前記基板を載置する第1載置面と前記エッジリングを載置する第2載置面と、前記第2載置面の下部に配置される前記エッジリングの静電吸着用電極と、を有する静電チャックと、前記静電チャックの前記第1載置面と前記第2載置面との間の側面と、前記エッジリングの内径面との間であって、前記第1載置面よりも低い位置に配置される伸縮性のある部材と、を有する載置台が提供される。
According to one aspect of the present disclosure, an edge ring arranged around a substrate, a first mounting surface on which the substrate is mounted, a second mounting surface on which the edge ring is mounted , the second an electrostatic chuck having an electrostatic adsorption electrode of the edge ring arranged under the mounting surface; and a side surface between the first mounting surface and the second mounting surface of the electrostatic chuck. and an elastic member arranged between the inner diameter surface of the edge ring and at a position lower than the first mounting surface.

一の側面によれば、エッジリングと静電チャックとの対向する側壁の隙間を管理することができる。 According to one aspect, the gap between the opposing sidewalls of the edge ring and the electrostatic chuck can be managed.

一実施形態に係る基板処理装置の一例を示す図。The figure which shows an example of the substrate processing apparatus which concerns on one Embodiment. 温度変化による伸縮に基づくエッジリングの位置の偏りを説明するための図。FIG. 10 is a diagram for explaining the bias in the position of the edge ring based on expansion and contraction due to temperature change; パーティクルの発生を説明するための図。A diagram for explaining the generation of particles. パーティクルの発生を説明するための図。A diagram for explaining the generation of particles. 一実施形態に係るエッジリングの位置決めの効果の一例を示す図。FIG. 5 is a diagram illustrating an example of the effect of edge ring positioning according to an embodiment;

以下、本開示を実施するための形態について図面を参照して説明する。なお、本明細書及び図面において、実質的に同一の構成については、同一の符号を付することにより重複した説明を省く。 Hereinafter, embodiments for implementing the present disclosure will be described with reference to the drawings. In addition, in this specification and the drawings, substantially the same configurations are denoted by the same reference numerals, thereby omitting redundant explanations.

[基板処理装置の全体構成]
図1は、一実施形態に係る基板処理装置1の一例を示す図である。本実施形態にかかる基板処理装置1は、容量結合型の平行平板処理装置であり、例えば表面が陽極酸化処理されたアルミニウムからなる円筒状の処理容器10を有している。処理容器10は接地されている。
[Overall Configuration of Substrate Processing Apparatus]
FIG. 1 is a diagram showing an example of a substrate processing apparatus 1 according to one embodiment. A substrate processing apparatus 1 according to the present embodiment is a capacitively coupled parallel plate processing apparatus, and includes a cylindrical processing container 10 made of, for example, aluminum whose surface is anodized. The processing container 10 is grounded.

処理容器10の底部には、セラミックス等からなる絶縁板12を介して円柱状の支持台14が配置され、この支持台14の上に例えばアルミニウムからなる載置台16が設けられている。載置台16は、静電チャック20と基台16aとエッジリング24とシート部材25とを有する。静電チャック20は、基板の一例であるウエハWを載置する。静電チャック20は、導電膜からなる第1の電極20aを絶縁層20bで挟んだ構造を有し、第1の電極20aには直流電源22が接続されている。静電チャック20は、ヒータを有し、温度制御が可能であってもよい。 A cylindrical support table 14 is arranged at the bottom of the processing container 10 via an insulating plate 12 made of ceramics or the like. The mounting table 16 has an electrostatic chuck 20 , a base 16 a , an edge ring 24 and a sheet member 25 . A wafer W, which is an example of a substrate, is placed on the electrostatic chuck 20 . The electrostatic chuck 20 has a structure in which a first electrode 20a made of a conductive film is sandwiched between insulating layers 20b, and a DC power supply 22 is connected to the first electrode 20a. The electrostatic chuck 20 may have a heater and be temperature controllable.

ウエハWの周囲には、例えばシリコンからなる導電性のエッジリング24が配置されている。エッジリング24は、フォーカスリングとも呼ぶ。静電チャック20、基台16a及び支持台14の周囲には、例えば石英からなる環状のインシュレータリング26が設けられている。 A conductive edge ring 24 made of silicon, for example, is arranged around the wafer W. As shown in FIG. The edge ring 24 is also called a focus ring. An annular insulator ring 26 made of quartz, for example, is provided around the electrostatic chuck 20 , the base 16 a and the support 14 .

静電チャック20のエッジリング24と対向する位置には、第2の電極21が埋め込まれている。第2の電極21には、直流電源23が接続されている。直流電源22および直流電源23は、それぞれ個別に直流電圧を印加する。静電チャック20の中央部は、直流電源22から第1の電極20aに印加された電圧によりクーロン力等の静電力を発生させ、静電力により静電チャック20にウエハWを吸着保持する。また、静電チャック20の周辺部は、直流電源23から第2の電極21に印加された電圧によりクーロン力等の静電力を発生させ、静電力により静電チャック20にエッジリング24を吸着保持する。 A second electrode 21 is embedded at a position facing the edge ring 24 of the electrostatic chuck 20 . A DC power supply 23 is connected to the second electrode 21 . DC power supply 22 and DC power supply 23 apply DC voltages individually. The central portion of the electrostatic chuck 20 generates an electrostatic force such as a Coulomb force by a voltage applied from the DC power supply 22 to the first electrode 20a, and the electrostatic force attracts and holds the wafer W on the electrostatic chuck 20. FIG. Further, the peripheral portion of the electrostatic chuck 20 generates an electrostatic force such as Coulomb force due to the voltage applied from the DC power supply 23 to the second electrode 21, and the electrostatic force causes the edge ring 24 to be attracted and held on the electrostatic chuck 20. do.

静電チャック20の側面とエッジリング24の内径面との間には、伸縮性部材の一例であるシート部材25が配置されている。シート部材25は、円周方向に対して等間隔に複数設けられてもよいし、環状に1つ設けられてもよい。シート部材25は、エッジリング24の位置決めを行う機能を有する。エッジリング24の位置決めについては後述される。 A sheet member 25 that is an example of an elastic member is arranged between the side surface of the electrostatic chuck 20 and the inner diameter surface of the edge ring 24 . A plurality of sheet members 25 may be provided at equal intervals in the circumferential direction, or one sheet member may be provided in an annular shape. The sheet member 25 has a function of positioning the edge ring 24 . Positioning of the edge ring 24 will be described later.

支持台14の内部には、例えば円周上に冷媒室28が設けられている。冷媒室28には、外部に設けられたチラーユニットより配管30a,30bを介して所定温度の冷媒、例えば冷却水が循環供給され、冷媒の温度によって載置台16上のウエハWの温度が制御される。さらに、伝熱ガス供給機構からの伝熱ガス、例えばHeガスがガス供給ライン32を介して静電チャック20の上面とウエハWの裏面との間に供給される。 A coolant chamber 28 is provided, for example, on the circumference inside the support base 14 . A chiller unit provided outside of the chamber 28 circulates a coolant such as cooling water at a predetermined temperature through pipes 30a and 30b, and the temperature of the wafer W on the mounting table 16 is controlled by the temperature of the coolant. be. Further, a heat transfer gas such as He gas is supplied between the upper surface of the electrostatic chuck 20 and the back surface of the wafer W through the gas supply line 32 from the heat transfer gas supply mechanism.

載置台16の上方には、載置台16と対向して上部電極34が設けられている。上部電極34と載置台16の間はプラズマ処理空間となる。 An upper electrode 34 is provided above the mounting table 16 so as to face the mounting table 16 . A plasma processing space is formed between the upper electrode 34 and the mounting table 16 .

上部電極34は、絶縁性の遮蔽部材42を介して処理容器10の天井部の開口を閉塞するように設けられる。上部電極34は、載置台16との対向面を構成し、かつ多数のガス吐出孔37を有する電極板36と、この電極板36を着脱自在に支持し、導電性材料、例えば表面が陽極酸化処理されたアルミニウムからなる電極支持体38とを有する。電極板36は、シリコンやSiC等のシリコン含有物で構成されることが好ましい。電極支持体38の内部には、ガス拡散室40a、40bが設けられ、このガス拡散室40a、40bからはガス吐出孔37に連通する多数のガス通流孔41a、41bが下方に延びている。 The upper electrode 34 is provided so as to close the opening of the ceiling of the processing vessel 10 via an insulating shielding member 42 . The upper electrode 34 comprises an electrode plate 36 which constitutes a surface facing the mounting table 16 and has a large number of gas discharge holes 37, and which detachably supports the electrode plate 36. The electrode plate 36 is made of a conductive material, for example, an anodized surface. and an electrode support 38 made of treated aluminum. The electrode plate 36 is preferably made of a silicon-containing material such as silicon or SiC. Gas diffusion chambers 40a and 40b are provided inside the electrode support 38, and from these gas diffusion chambers 40a and 40b, a large number of gas communication holes 41a and 41b communicating with the gas discharge holes 37 extend downward. .

電極支持体38には、ガス拡散室40a、40bへガスを導くガス導入口62が形成されており、このガス導入口62にはガス供給管64が接続され、ガス供給管64には処理ガス供給源66が接続されている。ガス供給管64には、処理ガス供給源66が配置された上流側から順にマスフローコントローラ(MFC)68および開閉バルブ70が設けられている。そして、処理ガス供給源66から、処理ガスがガス供給管64を介してガス拡散室40a、40b、ガス通流孔41a、41bを通り、ガス吐出孔37からシャワー状に吐出される。 The electrode support 38 is formed with a gas introduction port 62 for introducing gas to the gas diffusion chambers 40a and 40b. A gas supply pipe 64 is connected to the gas introduction port 62, and the gas supply pipe 64 is supplied with a processing gas. A source 66 is connected. The gas supply pipe 64 is provided with a mass flow controller (MFC) 68 and an opening/closing valve 70 in order from the upstream side where the processing gas supply source 66 is arranged. Then, the processing gas from the processing gas supply source 66 passes through the gas diffusion chambers 40 a and 40 b and the gas flow holes 41 a and 41 b through the gas supply pipe 64 and is discharged from the gas discharge holes 37 in the form of a shower.

上部電極34には、可変直流電源50が接続され、可変直流電源50からの直流電圧が上部電極34に印加される。上部電極34には、給電棒89及び整合器88を介して第1の高周波電源90が接続されている。第1の高周波電源90は、HF(High Frequency)電力を上部電極34に印加する。整合器88は、第1の高周波電源90の内部インピーダンスと負荷インピーダンスとを整合させる。これにより、プラズマ処理空間においてガスからプラズマが生成される。なお、第1の高周波電源90から供給されるHF電力を、載置台16に印加してもよい。 A variable DC power supply 50 is connected to the upper electrode 34 , and a DC voltage from the variable DC power supply 50 is applied to the upper electrode 34 . A first high-frequency power source 90 is connected to the upper electrode 34 via a feed rod 89 and a matching device 88 . A first high frequency power supply 90 applies HF (High Frequency) power to the upper electrode 34 . The matching device 88 matches the internal impedance of the first high frequency power supply 90 and the load impedance. Thereby, plasma is generated from the gas in the plasma processing space. Note that the HF power supplied from the first high frequency power supply 90 may be applied to the mounting table 16 .

HF電力を上部電極34に印加する場合、HFの周波数は、30MHz~70MHzの範囲であればよく、例えば40MHzであってもよい。HF電力を載置台16に印加する場合、HFの周波数は、30MHz~70MHzの範囲であればよく、例えば60MHzであってもよい。 When HF power is applied to the upper electrode 34, the frequency of the HF may be in the range of 30 MHz to 70 MHz, for example 40 MHz. When applying HF power to the mounting table 16, the frequency of HF may be in the range of 30 MHz to 70 MHz, and may be 60 MHz, for example.

載置台16には、給電棒47及び整合器46を介して第2の高周波電源48が接続されている。第2の高周波電源48は、LF(Low Frequency)電力を載置台16に印加する。整合器46は、第2の高周波電源48の内部インピーダンスと負荷インピーダンスとを整合させる。これにより、載置台16上のウエハWにイオンが引き込まれる。第2の高周波電源48は、200kHz~40MHzの範囲内の周波数の高周波電力を出力する。整合器46は第2の高周波電源48の内部インピーダンスと負荷インピーダンスとを整合させる。載置台16には、所定の高周波をグランドに通すためのフィルタが接続されてもよい。
A second high-frequency power source 48 is connected to the mounting table 16 via a feed rod 47 and a matching device 46 . A second high-frequency power supply 48 applies LF (Low Frequency) power to the mounting table 16 . The matching device 46 matches the internal impedance of the second high frequency power supply 48 and the load impedance. As a result, ions are drawn into the wafer W on the mounting table 16 . The second high frequency power supply 48 outputs high frequency power with a frequency within the range of 200 kHz to 40 MHz. The matching device 46 matches the internal impedance of the second high frequency power supply 48 and the load impedance. The mounting table 16 may be connected to a filter for passing predetermined high frequencies to the ground.

LFの周波数はHFの周波数よりも低く、LFの周波数は、200kHz~40MHzの範囲であればよく、例えば12.88MHzであってもよい。LF及びHFの電圧又は電流は、連続波であってもよく、パルス波であってもよい。このように、ガスを供給するシャワーヘッドは、上部電極34として機能し、載置台16は下部電極として機能する。 The LF frequency is lower than the HF frequency, and the LF frequency may be in the range of 200 kHz to 40 MHz, for example 12.88 MHz. The LF and HF voltages or currents may be continuous waves or pulse waves. Thus, the showerhead that supplies gas functions as the upper electrode 34, and the mounting table 16 functions as the lower electrode.

処理容器10の底部には排気口80が設けられ、この排気口80に排気管82を介して排気装置84が接続されている。排気装置84は、ターボ分子ポンプなどの真空ポンプを有しており、処理容器10内を所望の真空度まで減圧する。また、処理容器10の側壁にはウエハWの搬入出口85が設けられており、この搬入出口85はゲートバルブ86により開閉可能である。 An exhaust port 80 is provided at the bottom of the processing container 10 , and an exhaust device 84 is connected to the exhaust port 80 through an exhaust pipe 82 . The evacuation device 84 has a vacuum pump such as a turbomolecular pump, and reduces the pressure inside the processing container 10 to a desired degree of vacuum. A loading/unloading port 85 for the wafer W is provided on the side wall of the processing container 10 , and the loading/unloading port 85 can be opened and closed by a gate valve 86 .

環状のインシュレータリング26と処理容器10の側壁との間には、環状のバッフル板83が設けられている。バッフル板83には、アルミニウム材にY等のセラミックスを被覆したものを用いることができる。 An annular baffle plate 83 is provided between the annular insulator ring 26 and the side wall of the processing container 10 . As the baffle plate 83, an aluminum material coated with ceramics such as Y 2 O 3 can be used.

かかる構成の基板処理装置1においてエッチング処理等の所定の処理を行う際には、まず、ゲートバルブ86を開状態とし、搬入出口85を介してウエハWを処理容器10内に搬入し、載置台16の上に載置する。そして、処理ガス供給源66からエッチング等の所定の処理のためのガスを所定の流量でガス拡散室40a、40bへ供給し、ガス通流孔41a、41bおよびガス吐出孔37を介して処理容器10内へ供給する。また、排気装置84により処理容器10内を排気する。これにより、内部の圧力は例えば0.1~150Paの範囲内の設定値に制御される。 When performing a predetermined process such as an etching process in the substrate processing apparatus 1 having such a configuration, first, the gate valve 86 is opened, the wafer W is loaded into the processing container 10 through the loading/unloading port 85, and the wafer W is placed on the mounting table. 16. Then, a gas for a predetermined process such as etching is supplied from the processing gas supply source 66 to the gas diffusion chambers 40a and 40b at a predetermined flow rate, and through the gas flow holes 41a and 41b and the gas discharge hole 37, the processing chamber is supplied. 10. Further, the inside of the processing container 10 is evacuated by the exhaust device 84 . Thereby, the internal pressure is controlled to a set value within the range of 0.1 to 150 Pa, for example.

このように処理容器10内に所定のガスを導入した状態で、第1の高周波電源90からHF電力を上部電極34に印加する。また、第2の高周波電源48からLF電力を載置台16に印加する。また、直流電源22から直流電圧を第1の電極20aに印加し、ウエハWを載置台16に保持する。また、直流電源23から直流電圧を第2の電極21に印加し、エッジリング24を載置台16に保持する。可変直流電源50から直流電圧を上部電極34に印加してもよい。 HF power is applied to the upper electrode 34 from the first high-frequency power source 90 while a predetermined gas is introduced into the processing chamber 10 in this manner. Also, LF power is applied to the mounting table 16 from the second high frequency power supply 48 . A DC voltage is applied from the DC power source 22 to the first electrode 20 a to hold the wafer W on the mounting table 16 . A DC voltage is applied to the second electrode 21 from the DC power supply 23 to hold the edge ring 24 on the mounting table 16 . A DC voltage may be applied to the upper electrode 34 from the variable DC power supply 50 .

上部電極34のガス吐出孔37から吐出されたガスは、主にHFの高周波電力により解離及び電離しプラズマとなり、プラズマ中のラジカルやイオンによってウエハWの被処理面にエッチング等の処理が施される。また、載置台16にLFの高周波電力を印加することで、プラズマ中のイオンを制御し、エッチング等の処理が促進される。 The gas discharged from the gas discharge holes 37 of the upper electrode 34 is dissociated and ionized mainly by the high-frequency power of HF to form plasma, and the surface of the wafer W to be processed is subjected to processing such as etching by radicals and ions in the plasma. be. Further, by applying LF high-frequency power to the mounting table 16, ions in the plasma are controlled, and processing such as etching is promoted.

基板処理装置1には、装置全体の動作を制御する制御部200が設けられている。制御部200に設けられたCPUは、ROM及びRAM等のメモリに格納されたレシピに従って、エッチング等の所望のプラズマ処理を実行する。レシピには、プロセス条件に対する装置の制御情報であるプロセス時間、圧力(ガスの排気)、HF及びLFの高周波電力や電圧、各種ガス流量が設定されてもよい。また、レシピには、処理容器内温度(上部電極温度、処理容器の側壁温度、ウエハW温度、静電チャック温度等)、チラーから出力される冷媒の温度などが設定されてもよい。なお、これらのプログラムや処理条件を示すレシピは、ハードディスクや半導体メモリに記憶されてもよい。また、レシピは、CD-ROM、DVD等の可搬性のコンピュータにより読み取り可能な記憶媒体に収容された状態で所定位置にセットされ、読み出されるようにしてもよい。 The substrate processing apparatus 1 is provided with a control section 200 that controls the operation of the entire apparatus. A CPU provided in the control unit 200 executes desired plasma processing such as etching according to recipes stored in memories such as ROM and RAM. In the recipe, process time, pressure (gas exhaust), high-frequency power and voltage of HF and LF, and various gas flow rates, which are control information of the apparatus for the process conditions, may be set. In addition, the temperature inside the processing container (the temperature of the upper electrode, the temperature of the sidewall of the processing container, the temperature of the wafer W, the temperature of the electrostatic chuck, etc.), the temperature of the coolant output from the chiller, and the like may be set in the recipe. Note that these programs and recipes indicating processing conditions may be stored in a hard disk or semiconductor memory. Alternatively, the recipe may be stored in a portable computer-readable storage medium such as a CD-ROM, DVD, or the like, set at a predetermined position, and read out.

[エッジリングの位置の偏り]
次に、温度変化による伸縮に基づくエッジリング24の位置の偏りについて、図2を参照して説明する。図2(a)~(d)の上段は、ウエハWを載置する静電チャック20の載置面120とエッジリング24とを平面視した図である。図2(a)~(d)の下段は、図2(a)~(d)の上段のI-I面で示した、静電チャック20とエッジリング24との断面の一部を拡大した図である。
[Position bias of edge ring]
Next, the deviation of the position of the edge ring 24 due to expansion and contraction due to temperature change will be described with reference to FIG. 2A to 2D are plan views of the mounting surface 120 and the edge ring 24 of the electrostatic chuck 20 on which the wafer W is mounted. The lower part of FIGS. 2(a) to 2(d) is an enlarged view of part of the cross section of the electrostatic chuck 20 and the edge ring 24 shown on the II plane in the upper part of FIGS. 2(a) to 2(d). It is a diagram.

静電チャック20は、ウエハWを載置する載置面120と段差を有し、エッジリング24を載置する載置面121を有する。載置面120は、基板を載置する第1載置面に相当し、載置面121は、エッジリング24を載置する第2載置面に相当する。 The electrostatic chuck 20 has a mounting surface 120 on which the wafer W is mounted and a mounting surface 121 on which the edge ring 24 is mounted. The mounting surface 120 corresponds to the first mounting surface on which the substrate is mounted, and the mounting surface 121 corresponds to the second mounting surface on which the edge ring 24 is mounted.

図2(a)~(d)の上段は、静電チャック20とエッジリング24との位置関係を、載置面120とエッジリング24との位置で示す。図2(a)は載置面120とエッジリング24との位置の初期状態を示す。エッジリング24は、静電チャック20の中心軸Oと略同心円状に位置決めされている。以下、エッジリング24が、静電チャック20の中心軸Oと略同心円状に位置決めされることを「調芯」ともいう。このとき、静電チャック20とエッジリング24との隙間Sは等しく管理されている。 2A to 2D show the positional relationship between the electrostatic chuck 20 and the edge ring 24 by the positions of the mounting surface 120 and the edge ring 24. FIG. FIG. 2(a) shows the initial state of the positions of the mounting surface 120 and the edge ring 24. FIG. The edge ring 24 is positioned substantially concentrically with the central axis O of the electrostatic chuck 20 . Hereinafter, the positioning of the edge ring 24 substantially concentrically with the central axis O of the electrostatic chuck 20 is also referred to as "alignment." At this time, the gap S between the electrostatic chuck 20 and the edge ring 24 is equally managed.

図2(b)はウエハのプラズマ処理中にプラズマからの入熱によりエッジリング24の温度が上昇して第1の温度に設定されたときの状態の一例である。ここでは、エッジリング24が外周側に膨張して隙間Sが大きくなっている。なお、静電チャック20も、エッジリング24と同様に膨張する。
FIG. 2(b) shows an example of a state when the temperature of the edge ring 24 rises due to heat input from the plasma during plasma processing of the wafer and is set to the first temperature. Here, the edge ring 24 expands to the outer peripheral side, and the gap S becomes larger. Note that the electrostatic chuck 20 also expands similarly to the edge ring 24 .

図2(c)はプラズマ処理後、プラズマの消失によりエッジリング24が第1の温度よりも低い第2の温度に設定されたときの状態の一例である。ここでは、エッジリング24が内周側に収縮して隙間Sに偏りが生じた状態の一例を示す。図2(a)~(c)に示すプラズマ処理の前後において、エッジリング24は、直流電圧(HV)を印加したまま静電チャック20に吸着した状態で伸縮し、静電チャック20と略同心円状の位置(図2(a))からずれる。これにより、エッジリング24は、調芯されていない位置(図2(c))に移動している。図2(c)の例では、隙間Sは左側で大きく、右側で小さくなっている。ただし、図2(c)に示すずれは一例であり、これに限られない。
FIG. 2(c) is an example of a state when the edge ring 24 is set to a second temperature lower than the first temperature due to disappearance of plasma after plasma processing. Here, an example of a state in which the edge ring 24 shrinks toward the inner periphery and the gap S is biased is shown. Before and after the plasma processing shown in FIGS. 2A to 2C, the edge ring 24 expands and contracts in a state of being attracted to the electrostatic chuck 20 while a DC voltage (HV) is applied, and forms a substantially concentric circle with the electrostatic chuck 20. position (Fig. 2(a)). As a result, the edge ring 24 is moved to the position where it is not aligned (FIG. 2(c)). In the example of FIG. 2(c), the gap S is large on the left side and small on the right side. However, the deviation shown in FIG. 2(c) is an example, and is not limited to this.

図2(c)の状態のままで次のプラズマ処理が始まると、図2(d)に示すように、エッジリング24は、調芯されていない状態で膨張し、隙間Sはさらに左側で大きくなる。図2(a)~(d)に示す処理の間、第1の電極20a及び第2の電極21には直流電圧(HV)が印加され、ウエハWを載置面120に静電吸着するとともにエッジリング24を載置面121に静電吸着している。しかしながら、これによっても、図2(a)~(d)の工程を繰り返すことでエッジリング24が静電チャック20(中心軸O)と略同心円状の位置からずれてしまう。 When the next plasma treatment is started in the state of FIG. 2(c), the edge ring 24 expands in an unaligned state and the gap S becomes larger on the left side as shown in FIG. 2(d). Become. During the processes shown in FIGS. 2A to 2D, a DC voltage (HV) is applied to the first electrode 20a and the second electrode 21, and the wafer W is electrostatically attracted to the mounting surface 120. The edge ring 24 is electrostatically attracted to the mounting surface 121 . However, even with this, the edge ring 24 is displaced from the substantially concentric position with the electrostatic chuck 20 (center axis O) by repeating the steps of FIGS.

このようにウエハ毎にプラズマ処理が実行される度に静電チャック20とエッジリング24との隙間Sが管理できずに、特に静電チャック20とエッジリング24との隙間Sが狭い箇所にてマイクロアーキングと呼ばれる異常放電が発生する。この異常放電により静電チャック20とエッジリング24の間からパーティクルが生じ、ウエハWの上に飛来して、ウエハWの処理に影響を与え、歩留まりを低下させる要因となる。 In this way, every time plasma processing is performed for each wafer, the gap S between the electrostatic chuck 20 and the edge ring 24 cannot be managed. An abnormal discharge called micro arcing occurs. Due to this abnormal discharge, particles are generated from between the electrostatic chuck 20 and the edge ring 24, fly onto the wafer W, affect the processing of the wafer W, and become a factor in reducing the yield.

[実験結果1]
図2の例の実験結果1について図3を参照して説明する。例えば、図3(b)に示す静電チャック20の載置面120と載置面121との間の側面と、エッジリング24の内径面との間の隙間Sの径方向の間隔をAとする。図3(a)に示すように、間隔Aが0.5mmよりも大きい場合、静電チャック20とエッジリング24の間からパーティクルは生じなかった。
[Experimental result 1]
Experimental result 1 of the example in FIG. 2 will be described with reference to FIG. For example, let A be the radial distance of the gap S between the inner diameter surface of the edge ring 24 and the side surface between the mounting surface 120 and the mounting surface 121 of the electrostatic chuck 20 shown in FIG. do. As shown in FIG. 3A, no particles were generated between the electrostatic chuck 20 and the edge ring 24 when the distance A was greater than 0.5 mm.

一方、図3(a)の右斜め上に示すように、間隔Aが0.5mm以下になると、静電チャック20とエッジリング24の間からパーティクルが発生し、付着物Bが生じた。付着物Bの組成についてエネルギー分散型X線分析(EDX分析)を行った結果、付着物Bにはアルミニウムが多く含有されていた。これにより、図4(a)に示すように間隔Aが0.5mmよりも大きい場合には、付着物Bが隙間S付近に付着せず、マイクロアーキングは発生しないことがわかった。一方、間隔Aが0.5mm以下になると、図4(b)に示すように、付着物Bが隙間S付近に付着し、この付着物Bは載置台16の表面から飛び出したアルミニウムを含有することがわかった。これにより、間隔Aが0.5mm以下に狭くなると、隙間Sにて高周波電力の電界が強くなる。更にアルミニウムを含有する付着物Bの影響によって、図4(c)に示すように隙間Sの付近にてマイクロアーキングが発生し、ディフェクト(欠陥)が生じると考えられる。 On the other hand, as shown in the upper right corner of FIG. 3(a), when the distance A was 0.5 mm or less, particles were generated between the electrostatic chuck 20 and the edge ring 24, and deposits B were generated. Energy dispersive X-ray analysis (EDX analysis) was performed on the composition of deposit B, and as a result, deposit B contained a large amount of aluminum. As a result, it was found that when the gap A was larger than 0.5 mm as shown in FIG. 4A, the adhering matter B did not adhere to the vicinity of the gap S, and microarcing did not occur. On the other hand, when the gap A is 0.5 mm or less, as shown in FIG. I understood it. As a result, when the gap A is narrowed to 0.5 mm or less, the electric field of the high-frequency power becomes stronger in the gap S. Furthermore, it is considered that microarcing occurs near the gap S due to the influence of the deposit B containing aluminum, as shown in FIG. 4(c), and defects occur.

なお、実験により、エッジリング24がSiCにより形成されている場合、エッジリング24がSiにより形成されている場合と比較してディフェクトが発生しやすいことが分かった。 It has been found from experiments that defects are more likely to occur when the edge ring 24 is made of SiC than when the edge ring 24 is made of Si.

[エッジリングの調芯動作]
これに対して、本実施形態では、シート部材25によりエッジリング24の調芯動作を可能とし、エッジリング24が静電チャック20と略同心円状の位置からずれることを防止する。これにより、静電チャック20とエッジリング24との隙間Sを管理し、マイクロアーキング程度の異常放電が生じることを防止することでパーティクルの発生を回避する。
[Edge ring alignment operation]
On the other hand, in the present embodiment, the sheet member 25 enables the edge ring 24 to be aligned and prevents the edge ring 24 from deviating from the substantially concentric position with the electrostatic chuck 20 . Thereby, the gap S between the electrostatic chuck 20 and the edge ring 24 is managed to prevent abnormal discharge such as micro arcing from occurring, thereby avoiding the generation of particles.

[実験結果2]
図5を参照して、本実施形態に係るエッジリング24の調芯動作の実験結果2について、比較例と比較して説明する。図5の比較例は、図2にて説明したエッジリング24と静電チャック20との隙間Sに何も設けない場合の実験結果の一例を示す。図5の本実施形態は、エッジリング24と静電チャック20との隙間Sにシート部材25を設けた場合の実験結果の一例を示す。
[Experimental result 2]
Experimental result 2 of the alignment operation of the edge ring 24 according to the present embodiment will be described in comparison with a comparative example with reference to FIG. A comparative example in FIG. 5 shows an example of experimental results when nothing is provided in the gap S between the edge ring 24 and the electrostatic chuck 20 described in FIG. This embodiment of FIG. 5 shows an example of experimental results when the sheet member 25 is provided in the gap S between the edge ring 24 and the electrostatic chuck 20 .

両グラフの横軸は、「Measurement point」に示すエッジリング24と静電チャック20との隙間Sを、真上の方向を0°(360°)として、右横方向(90°)、下方向(180°)、左横方向(270°)に対して45°の間隔で測定する。そして、その測定値を、縦軸のクリアランスに示す。縦軸には各角度における隙間Sの測定値が任意単位(arbitrary unit)で示されている。 The horizontal axis of both graphs is the right horizontal direction (90°) and the downward direction, with the gap S between the edge ring 24 and the electrostatic chuck 20 shown in "Measurement point" being 0° (360°) in the vertical direction. (180°), measured at 45° intervals to the left lateral direction (270°). The measured value is shown on the vertical axis of the clearance. The vertical axis shows the measured value of the clearance S at each angle in arbitrary units.

実験の結果、比較例ではC線にて示す初期状態の隙間Sは各角度で一定であるのに対して、D線にて示す50時間のプラズマ処理を実行した後の隙間Sは一定に管理されておらず、エッジリング24が静電チャック20(中心軸O)に対して左右方向にずれている。 As a result of the experiment, in the comparative example, the gap S in the initial state shown by line C is constant at each angle, while the gap S after performing the plasma treatment for 50 hours shown by line D is kept constant. The edge ring 24 is shifted in the horizontal direction with respect to the electrostatic chuck 20 (center axis O).

これに対して、本実施形態では、E線にて示す初期状態の隙間Sは各角度で概ね一定であるのに対して、F線にて示す80時間のプラズマ処理を実行した後の隙間Sについても各角度で概ね一定である。 On the other hand, in the present embodiment, the gap S in the initial state indicated by the E line is generally constant at each angle, whereas the gap S after the plasma treatment for 80 hours indicated by the F line is also approximately constant at each angle.

以上から、本実施形態にかかる載置台16では、シート部材25の伸縮性によりエッジリング24が静電チャック20に対して調芯できていることがわかる。なお、本実施形態では、所定時間(例えば50~80時間)プラズマ処理を実行した後の各角度の隙間Sの最大値が閾値Th(0.5mm)より大きければ許容範囲内、すなわち、エッジリング24が静電チャック20に対して調芯できていると判定する。 From the above, it can be seen that in the mounting table 16 according to the present embodiment, the edge ring 24 can be aligned with the electrostatic chuck 20 due to the stretchability of the sheet member 25 . In this embodiment, if the maximum value of the gap S at each angle after plasma processing is performed for a predetermined time (for example, 50 to 80 hours) is greater than the threshold value Th (0.5 mm), it is within the allowable range, that is, the edge ring 24 is determined to be aligned with the electrostatic chuck 20 .

[伸縮性のある部材]
シート部材25は、載置面120よりも低い位置に配置される。更に、シート部材25は、図5の本実施形態に示すように、エッジリング24の段差部24aの底面から出ないように配置されることが好ましい。エッジリング24の段差部24aの底面から上に出っ張るとプラズマに暴露され、消耗し易くなり、シート部材25の寿命が短くなるためである。
[Elastic member]
The sheet member 25 is arranged at a position lower than the mounting surface 120 . Further, the sheet member 25 is preferably arranged so as not to protrude from the bottom surface of the stepped portion 24a of the edge ring 24, as shown in this embodiment of FIG. This is because if the edge ring 24 protrudes upward from the bottom surface of the stepped portion 24a, the sheet member 25 is exposed to plasma and is likely to be worn, shortening the life of the sheet member 25. FIG.

ただし、シート部材25を下げ過ぎると、シート部材25が更に隙間Sの下側へ潜り込み、静電チャック20の静電吸着力に影響を及ぼす場合がある。このため、静電チャック20の第2の電極21に直流電圧を印加して、エッジリング24を静電チャック20に静電吸着してからシート部材25を設定することが好ましい。 However, if the sheet member 25 is lowered too much, the sheet member 25 may slip further under the gap S, which may affect the electrostatic chucking force of the electrostatic chuck 20 . Therefore, it is preferable to set the sheet member 25 after the edge ring 24 is electrostatically attracted to the electrostatic chuck 20 by applying a DC voltage to the second electrode 21 of the electrostatic chuck 20 .

本実施形態において説明したシート部材25は、伸縮性のある部材の一例であり、伸縮性のある部材はシート状に限られず、フィルム状であってもよいし、バネ状であってもよい。シート部材25がバネ状である場合、径方向(法線方向)に伸縮性のある部材であってもよいし、周方向に伸縮性のある部材であってもよい。いずれの場合にも、エッジリング24を静電チャック20と略同心円状に調芯することができる。 The sheet member 25 described in this embodiment is an example of a stretchable member, and the stretchable member is not limited to a sheet shape, and may be film-shaped or spring-shaped. When the sheet member 25 is spring-shaped, it may be a member that is stretchable in the radial direction (normal direction) or a member that is stretchable in the circumferential direction. In either case, the edge ring 24 can be aligned substantially concentrically with the electrostatic chuck 20 .

シート部材25は、周方向に均等に複数配置されてもよいし、環状に1つ設けられてもよい。なお、伸縮性部材は、ポリテトラフルオロエチレン(PTFE:polytetrafluoroethylene)等の樹脂により形成されてもよい。シート部材25を樹脂により形成することで、エッジリング24及び静電チャック20を傷つけないようにすることができる。 A plurality of sheet members 25 may be evenly arranged in the circumferential direction, or one sheet member may be provided in an annular shape. The elastic member may be made of resin such as polytetrafluoroethylene (PTFE). By forming the sheet member 25 from resin, the edge ring 24 and the electrostatic chuck 20 can be prevented from being damaged.

シート部材25がPTFEにより形成されている場合、PTFEはプラズマ耐性があるため好ましい。ただし、シート部材25を隙間Sに配置したときに、下側に配置される部分はプラズマに暴露されない。よって、シート部材25は、シート部材25を隙間Sに配置したときに上部に位置する部分のみプラズマ耐性のある材料により形成し、その他の部分はプラズマ耐性を有しない樹脂又はその他の材料により形成してもよい。 If the sheet member 25 is made of PTFE, PTFE is preferred because it is plasma resistant. However, when the sheet member 25 is arranged in the gap S, the lower portion is not exposed to the plasma. Therefore, only the portion of the sheet member 25 positioned at the top when the sheet member 25 is arranged in the gap S is made of a material having plasma resistance, and the other portions are made of resin or other material that does not have plasma resistance. may

更に、載置面121とエッジリング24の裏面との間にシート部材25と異なるシート部材を設けてもよい。これにより、エッジリング24と静電チャック20との伝熱効果を高め、温度変化によるエッジリング24の膨張及び収縮量を減らし、エッジリング24の調芯を効率的に行うことができる。 Furthermore, a sheet member different from the sheet member 25 may be provided between the mounting surface 121 and the back surface of the edge ring 24 . As a result, the heat transfer effect between the edge ring 24 and the electrostatic chuck 20 can be enhanced, the amount of expansion and contraction of the edge ring 24 due to temperature changes can be reduced, and the edge ring 24 can be aligned efficiently.

更に、エッジリング24が第1の温度から第1の温度と異なる第2の温度に変化した後、第2の電極21への直流電圧(HV)の印加を停止してもよい。これにより、エッジリング24は、静電チャック20の静電吸着力から解放され、自由に動ける状態となる。この結果、エッジリング24の調芯を効率的に行うことができる。 Furthermore, the application of the DC voltage (HV) to the second electrode 21 may be stopped after the edge ring 24 changes from the first temperature to a second temperature different from the first temperature. As a result, the edge ring 24 is released from the electrostatic chucking force of the electrostatic chuck 20 and becomes freely movable. As a result, the alignment of the edge ring 24 can be performed efficiently.

以上に説明したように、本実施形態のシート部材25によれば、エッジリング24と静電チャック20との隙間Sを管理することができる。これにより、異常放電の発生を防止し、パーティクルの発生を回避することができる。 As described above, according to the sheet member 25 of this embodiment, the gap S between the edge ring 24 and the electrostatic chuck 20 can be managed. As a result, the occurrence of abnormal discharge can be prevented, and the generation of particles can be avoided.

今回開示された一実施形態に係る載置台及び基板処理装置は、すべての点において例示であって制限的なものではないと考えられるべきである。上記の実施形態は、添付の請求の範囲及びその主旨を逸脱することなく、様々な形態で変形及び改良が可能である。上記複数の実施形態に記載された事項は、矛盾しない範囲で他の構成も取り得ることができ、また、矛盾しない範囲で組み合わせることができる。 The mounting table and the substrate processing apparatus according to one embodiment disclosed this time should be considered as examples and not restrictive in all respects. The embodiments described above can be modified and improved in various ways without departing from the scope and spirit of the appended claims. The items described in the above multiple embodiments can take other configurations within a consistent range, and can be combined within a consistent range.

本開示の基板処理装置は、Capacitively Coupled Plasma(CCP)、Inductively Coupled Plasma(ICP)、Radial Line Slot Antenna(RLSA)、Electron Cyclotron Resonance Plasma(ECR)、Helicon Wave Plasma(HWP)のどのタイプでも適用可能である。 The substrate processing apparatus of the present disclosure can be applied to any type of Capacitively Coupled Plasma (CCP), Inductively Coupled Plasma (ICP), Radial Line Slot Antenna (RLSA), Electron Cyclotron Resonance Plasma (ECR), and Helicon Wave Plasma (HWP). is.

本明細書では、基板の一例としてウエハWを挙げて説明した。しかし、基板は、これに限らず、FPD(Flat Panel Display)に用いられる各種基板、プリント基板等であっても良い。 In this specification, the wafer W has been described as an example of the substrate. However, the substrate is not limited to this, and may be various substrates used for FPDs (Flat Panel Displays), printed substrates, and the like.

1 基板処理装置
10 処理容器
16 載置台
16a 基台
20 静電チャック
20a 第1の電極
21 第2の電極
22、23 直流電源
24 エッジリング
25 シート部材
26 インシュレータリング
34 上部電極
48 第2の高周波電源
50 可変直流電源
90 第1の高周波電源
120、121 載置面
200 制御部
Reference Signs List 1 substrate processing apparatus 10 processing vessel 16 mounting table 16a base 20 electrostatic chuck 20a first electrode 21 second electrode 22, 23 DC power supply 24 edge ring 25 sheet member 26 insulator ring 34 upper electrode 48 second high frequency power supply 50 variable DC power supply 90 first high frequency power supply 120, 121 placement surface 200 control unit

Claims (5)

処理容器と、
前記処理容器内に配置される基台と、
前記基台の上に配置され、基板を載置する第1載置面とエッジリングを載置する第2載置面とを有する静電チャックと、
前記第2載置面の上に配置されるエッジリングと、
前記第2載置面に対向して前記静電チャック内に配置される電極と、
制御部と、
前記静電チャックの前記第1載置面と前記第2載置面との間の側面と、前記エッジリングの内径面との間であって、前記第1載置面よりも低い位置に配置される伸縮性のある部材と、を備え、
前記制御部は、
前記電極に電圧を印加する工程と、
前記エッジリングを第1の温度に設定する工程と、
前記エッジリングを前記第1の温度と異なる第2の温度に設定する工程と、
前記エッジリングが前記第2の温度に設定された後に前記電極への電圧の印加を停止する工程と、を含む第1工程を実行し、
前記第1工程において、前記伸縮性のある部材により、前記エッジリングを前記静電チャックに対して調芯する、
基板処理装置。
a processing vessel;
a base placed in the processing container;
an electrostatic chuck disposed on the base and having a first mounting surface on which the substrate is mounted and a second mounting surface on which the edge ring is mounted;
an edge ring disposed on the second mounting surface;
an electrode arranged in the electrostatic chuck facing the second mounting surface;
a control unit;
Arranged at a position lower than the first mounting surface and between the side surface between the first mounting surface and the second mounting surface of the electrostatic chuck and the inner diameter surface of the edge ring. and a stretchable member ;
The control unit
applying a voltage to the electrode;
setting the edge ring to a first temperature;
setting the edge ring to a second temperature different from the first temperature;
ceasing the application of the voltage to the electrode after the edge ring has been set to the second temperature;
In the first step, the elastic member aligns the edge ring with respect to the electrostatic chuck;
Substrate processing equipment.
前記伸縮性のある部材は、
シート状、フィルム状又はバネ状である、
請求項1に記載の基板処理装置。
The elastic member is
sheet-like, film-like or spring-like,
The substrate processing apparatus according to claim 1.
前記伸縮性のある部材は、
樹脂により形成される、
請求項1又は2に記載の基板処理装置。
The elastic member is
made of resin,
The substrate processing apparatus according to claim 1 or 2.
前記伸縮性のある部材は、
プラズマ耐性のある材料により形成される、
請求項1~3のいずれか一項に記載の基板処理装置。
The elastic member is
made of plasma-resistant material,
The substrate processing apparatus according to any one of claims 1 to 3.
前記伸縮性のある部材は、
周方向に1つ又は複数設けられる、
請求項1~4のいずれか一項に記載の基板処理装置。
The elastic member is
One or more provided in the circumferential direction,
The substrate processing apparatus according to any one of claims 1 to 4.
JP2018207908A 2018-11-05 2018-11-05 Substrate processing equipment Active JP7175160B2 (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2018207908A JP7175160B2 (en) 2018-11-05 2018-11-05 Substrate processing equipment
TW108138377A TW202032715A (en) 2018-11-05 2019-10-24 Placing table and substrate processing apparatus
KR1020190139528A KR20200051505A (en) 2018-11-05 2019-11-04 Placing table and substrate processing apparatus
US16/672,704 US20200144090A1 (en) 2018-11-05 2019-11-04 Placing table and substrate processing apparatus
CN201911070184.8A CN111146065A (en) 2018-11-05 2019-11-05 Mounting table and substrate processing apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2018207908A JP7175160B2 (en) 2018-11-05 2018-11-05 Substrate processing equipment

Publications (3)

Publication Number Publication Date
JP2020077654A JP2020077654A (en) 2020-05-21
JP2020077654A5 JP2020077654A5 (en) 2021-09-16
JP7175160B2 true JP7175160B2 (en) 2022-11-18

Family

ID=70459897

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018207908A Active JP7175160B2 (en) 2018-11-05 2018-11-05 Substrate processing equipment

Country Status (5)

Country Link
US (1) US20200144090A1 (en)
JP (1) JP7175160B2 (en)
KR (1) KR20200051505A (en)
CN (1) CN111146065A (en)
TW (1) TW202032715A (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114678246A (en) * 2020-12-24 2022-06-28 中微半导体设备(上海)股份有限公司 Measuring device and method for impedance characteristic measurement of capacitive coupling plasma processor
WO2024038832A1 (en) * 2022-08-19 2024-02-22 東京エレクトロン株式会社 Jig and positioning method

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000502837A (en) 1995-12-22 2000-03-07 ラム リサーチ コーポレイション Electrostatic clamp with lip seal for board clamp
JP2005033181A (en) 2003-05-12 2005-02-03 Tokyo Electron Ltd Treatment apparatus
JP2006523382A (en) 2003-03-21 2006-10-12 東京エレクトロン株式会社 Method and apparatus for reducing substrate backside deposition during processing.
JP2011009351A (en) 2009-06-24 2011-01-13 Hitachi High-Technologies Corp Plasma processing apparatus and plasma processing method

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4592916B2 (en) * 2000-04-25 2010-12-08 東京エレクトロン株式会社 Placement device for workpiece
US7713431B2 (en) * 2004-06-10 2010-05-11 Tokyo Electron Limited Plasma processing method
US20070283891A1 (en) * 2006-03-29 2007-12-13 Nobuyuki Okayama Table for supporting substrate, and vacuum-processing equipment
JP5317424B2 (en) * 2007-03-28 2013-10-16 東京エレクトロン株式会社 Plasma processing equipment
JP2011151263A (en) * 2010-01-22 2011-08-04 Tokyo Electron Ltd Etching method, etching device, and ring member
JP2018107433A (en) * 2016-12-27 2018-07-05 東京エレクトロン株式会社 Focus ring and substrate processing apparatus

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000502837A (en) 1995-12-22 2000-03-07 ラム リサーチ コーポレイション Electrostatic clamp with lip seal for board clamp
JP2006523382A (en) 2003-03-21 2006-10-12 東京エレクトロン株式会社 Method and apparatus for reducing substrate backside deposition during processing.
JP2005033181A (en) 2003-05-12 2005-02-03 Tokyo Electron Ltd Treatment apparatus
JP2011009351A (en) 2009-06-24 2011-01-13 Hitachi High-Technologies Corp Plasma processing apparatus and plasma processing method

Also Published As

Publication number Publication date
TW202032715A (en) 2020-09-01
CN111146065A (en) 2020-05-12
US20200144090A1 (en) 2020-05-07
JP2020077654A (en) 2020-05-21
KR20200051505A (en) 2020-05-13

Similar Documents

Publication Publication Date Title
JP7228989B2 (en) PLACE, EDGE RING POSITIONING METHOD, AND SUBSTRATE PROCESSING APPARATUS
JP5264231B2 (en) Plasma processing equipment
TWI553729B (en) Plasma processing method
KR102455673B1 (en) Focus ring and substrate processing apparatus
KR102569911B1 (en) Focus ring and substrate processing apparatus
US20140141619A1 (en) Capacitively coupled plasma equipment with uniform plasma density
CN111095498B (en) Mounting table, substrate processing apparatus, and edge ring
JP2016522539A (en) Capacitively coupled plasma device with uniform plasma density
WO2019244631A1 (en) Stage and substrate processing apparatus
KR102348077B1 (en) Plasma processing method
KR20170132096A (en) Plasma processing method
JP7175160B2 (en) Substrate processing equipment
KR20120049823A (en) Plasma processing apparatus
JP7142551B2 (en) Plasma processing apparatus and plasma processing method
TW201940257A (en) Cleaning method and processing apparatus
US11610766B2 (en) Target object processing method and plasma processing apparatus
JP7333712B2 (en) Electrostatic chuck, support table and plasma processing equipment
CN111029237B (en) Substrate support assembly, plasma processing apparatus, and plasma processing method
JP7204564B2 (en) Plasma processing equipment
US10957515B2 (en) Plasma processing method and plasma processing apparatus

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210806

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210806

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220517

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220524

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220722

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20221011

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20221108

R150 Certificate of patent or registration of utility model

Ref document number: 7175160

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150