JP6765435B2 - パターニングプロセスパラメータを決定する方法及び装置 - Google Patents

パターニングプロセスパラメータを決定する方法及び装置 Download PDF

Info

Publication number
JP6765435B2
JP6765435B2 JP2018544893A JP2018544893A JP6765435B2 JP 6765435 B2 JP6765435 B2 JP 6765435B2 JP 2018544893 A JP2018544893 A JP 2018544893A JP 2018544893 A JP2018544893 A JP 2018544893A JP 6765435 B2 JP6765435 B2 JP 6765435B2
Authority
JP
Japan
Prior art keywords
overlay
target
unit cell
patterning process
certain embodiments
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2018544893A
Other languages
English (en)
Other versions
JP2019508742A (ja
Inventor
リースト,アドリアーン,ヨハン ヴァン
リースト,アドリアーン,ヨハン ヴァン
ツィアトマス,アナグノスティス
ヒンネン,パウル,クリスティアーン
ナマラ,エリオット,ゲラルド マク
ナマラ,エリオット,ゲラルド マク
ヴァーマ,アロック
テウヴェス,トーマス
クラメル,フーゴ,アウグスティヌス,ヨセフ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of JP2019508742A publication Critical patent/JP2019508742A/ja
Application granted granted Critical
Publication of JP6765435B2 publication Critical patent/JP6765435B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B3/00Measuring instruments characterised by the use of mechanical techniques
    • G01B3/14Templates for checking contours
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8851Scan or image signal processing specially adapted therefor, e.g. for scan signal adjustment, for detecting different kinds of defects, for compensating for structures, markings, edges
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70681Metrology strategies
    • G03F7/70683Mark designs
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7003Alignment type or strategy, e.g. leveling, global alignment
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8851Scan or image signal processing specially adapted therefor, e.g. for scan signal adjustment, for detecting different kinds of defects, for compensating for structures, markings, edges
    • G01N2021/8887Scan or image signal processing specially adapted therefor, e.g. for scan signal adjustment, for detecting different kinds of defects, for compensating for structures, markings, edges based on image processing techniques
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/18Manufacturability analysis or optimisation for manufacturability

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Theoretical Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • General Engineering & Computer Science (AREA)
  • Geometry (AREA)
  • Evolutionary Computation (AREA)
  • Pathology (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Signal Processing (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Architecture (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Length Measuring Devices By Optical Means (AREA)

Description

関連出願の相互参照
[0001] 本出願は、本明細書にその全体が援用される、2016年3月1日付けの米国特許出願第62/301,880号、2016年12月16日付けの米国特許出願第62/435,662号、2016年12月16日付けの米国特許出願第62/435,670号、2016年12月16日付けの米国特許出願第62/435,649号、2016年12月16日付けの米国特許出願第62/435,630号、及び2017年2月14日付けの米国特許出願第62/458,932号の優先権を主張する。
[0002] 本明細書は、例えば基板上にパターンを生成するためのプロセスのパラメータ(オーバーレイなど)を決定し、決定されたパラメータを用いて、処理に関連する1つ又は複数の変数の設計、モニタリング、調整などを行うことができる方法及び装置に関する。
[0003] リソグラフィ装置は、所望のパターンを、基板上、通常は基板のターゲット部分上に与える機械である。リソグラフィ装置は、例えば、集積回路(IC)又は機能的であるように設計された他のデバイスの製造において使用することができる。その場合、代替的にマスク又はレチクルと呼ばれるパターニングデバイスを用いて、機能的であるように設計されたデバイスの個々の層上に形成される回路パターンを生成してもよい。このパターンは、基板(例えばシリコンウェーハ)上のターゲット部分(例えば、1つ又は幾つかのダイの一部を包含する)上に転写することができる。パターンの転写は、一般的に、基板上に設けられる放射感応性材料(レジスト)の層上への結像による。一般に、単一の基板は、連続してパターン形成される隣接するターゲット部分のネットワークを含む。既知のリソグラフィ装置は、各ターゲット部分が、パターン全体を1回でターゲット部分上に露光させることによって照射される、いわゆるステッパと、放射ビームを用いてある方向(「スキャン」方向)にパターンをスキャンすると同時に、この方向に対して平行又は逆平行に基板を同期してスキャンすることによって各ターゲット部分が照射される、いわゆるスキャナとを包含する。パターンを基板上にインプリントすることによって、パターニングデバイスから基板にパターンを転写することも可能である。
[0004] 半導体デバイスのような製造デバイスは、一般的に、様々なフィーチャ、及び多くの場合、デバイスの複数の層を形成するために、幾つかの製作プロセスを用いて、基板(例えば半導体ウェーハ)を処理することを含む。このような層及び/又はフィーチャは、一般的に、例えば、堆積、リソグラフィ、エッチング、化学機械研磨、及びイオン注入を用いて、製造及び処理される。複数のデバイスが、基板上の複数のダイ上で製作され、その後、個々のデバイスに分離されてもよい。このデバイス製造プロセスは、パターニングプロセスと見なすことができる。パターニングプロセスは、リソグラフィ装置を用いた光及び/又はナノインプリントリソグラフィなどの、基板上にパターンを設けるためのパターン転写ステップを含み、及び一般的に(ただし、任意選択的に)、現像装置によるレジスト現像、ベークツールを用いた基板のベーク、エッチング装置によるパターンのエッチングなどの1つ又は複数の関連のパターン処理ステップを含む。さらに、1つ又は複数のメトロロジプロセスが、パターニングプロセスに関与する。
[0005] メトロロジプロセスは、パターニングプロセス中の様々なステップにおいて、プロセスのモニタリング及び/又は制御を行うために使用される。例えば、メトロロジプロセスを用いて、例えばパターニングプロセスの性能を1つ又は複数の特性から決定することができるように、パターニングプロセス中に基板上に形成されたフィーチャの相対的場所(例えば、レジストレーション、オーバーレイ、アライメントなど)又は寸法(例えば、ライン幅、クリティカルディメンジョン(CD)、厚さなど)などの基板の1つ又は複数の特性を測定する。1つ又は複数の特性が、許容できない(例えば、1つ又は複数の特性の所定の範囲外である)場合、例えば、1つ又は複数の特性の測定に基づいて、パターニングプロセスによって製造された基板が許容範囲の特性を有するように、パターニングプロセスの1つ又は複数の変数を設計又は変更することができる。
[0006] リソグラフィ及び他のパターニングプロセス技術の進歩に伴い、機能要素の寸法は、継続的に減少している一方で、デバイス当たりの、トランジスタなどの機能要素の量は、何十年にわたり、着実に増加している。その一方で、オーバーレイ、クリティカルディメンジョン(CD)などの観点からの精度の要件は、ますます厳しくなっている。オーバーレイのエラー、CDのエラーなどのエラーは、パターニングプロセスにおいて、不可避的に生じる。例えば、結像エラーは、光学収差、パターニングデバイスの加熱、パターニングデバイスエラー、及び/又は基板の加熱から生じる場合があり、及び例えば、オーバーレイ、CDなどの観点から特性化することができる。追加的又は代替的に、エラーは、パターニングプロセスの他の部分に(例えば、エッチング、現像、ベークなどにおいて)導入される場合があり、及び同様に、例えば、オーバーレイ、CDなどの観点から特性化することができる。エラーは、デバイスの機能の観点から問題(デバイスの機能故障、又は機能しているデバイスの1つ又は複数の電気的問題を包含する)を生じさせる場合がある。従って、1つ又は複数のこれらのエラーを特性化し、及びこれらのエラーの1つ又は複数を減少させる、又は最小限に抑えるために、パターニングプロセスの設計、修正、制御などを行う策を講じることが可能であることが望ましい。
[0007] ある実施形態では、第1のパターニングプロセスによって作成されるように配置された第1の構造と、第2のパターニングプロセスによって作成されるように配置された第2の構造と、を含み、第1の構造及び/又は第2の構造が、デバイスパターンの機能面を生じさせるためには使用されず、第1及び第2の構造が、共にユニットセルの1つ又は複数のインスタンスを形成し、ユニットセルが、公称物理的構成において幾何学的対称性を有し、及びユニットセルが、第1のパターニングプロセス、第2のパターニングプロセス、及び/又は別のパターニングプロセスにおけるパターン配置の相対的シフトにより、公称物理的構成とは異なる物理的構成において、ユニットセルにおいて非対称性を生じさせるフィーチャを有する、メトロロジターゲットが提供される。
[0008] ある実施形態では、記録されたデータ構造を有するコンピュータ非一時的可読媒体を含むコンピュータプログラム製品であって、データ構造が、本明細書に記載されるようなメトロロジターゲットに対応する、コンピュータプログラム製品が提供される。ある実施形態では、本明細書に記載されるようなメトロロジターゲットに対応するパターンを含むレチクルが提供される。
[0009] ある実施形態では、メトロロジターゲットの第1の構造を作成することであって、第1の構造が、デバイスの対応するデバイスフィーチャを作成する第1のパターニングプロセスによって作成されること、メトロロジターゲットの第2の構造を作成することであって、第2の構造が、デバイスのさらなる対応するデバイスフィーチャを作成する第2のパターニングプロセスによって作成され、第1及び第2の構造が、共にユニットセルの1つ又は複数のインスタンスを形成し、ユニットセルが、公称物理的構成における幾何学的対称性を有すること、及びデバイスにおけるデバイスフィーチャの予想場所からの、デバイスにおけるデバイスフィーチャの場所の相対的シフトにより、公称物理的構成とは異なる物理的構成において、ユニットセルにおける非対称性を生じさせるメトロロジターゲットのフィーチャを導入すること、を含む方法が提供される。
[0010] ある実施形態では、パターニングプロセスのパラメータの値を決定するためにパターニングプロセスを用いて基板に転写された、本明細書に記載されるようなメトロロジによって再誘導された放射を測定することを含む方法が提供される。ある実施形態では、パラメータは、オーバーレイ及び/又はエッジ配置誤差を含む。
[0011] ある態様では、プロセッサシステムに本明細書に記載の方法を行わせる機械可読命令を含む非一時的コンピュータプログラム製品が提供される。ある態様では、記録された命令を有するコンピュータ非一時的可読媒体を含むコンピュータプログラム製品であって、命令が、コンピュータによって実行されると、本明細書に記載の方法又は1つ又は複数のプロセスステップを実施する、コンピュータプログラム製品が提供される。
[0012] ある態様では、パターニングプロセスのオブジェクトを測定するためのメトロロジ装置であって、本明細書に記載されるような方法を行うように構成されたメトロロジ装置が提供される。ある態様では、パターニングプロセスのオブジェクトを検査するためのインスペクション装置であって、本明細書に記載されるような方法を行うように動作可能なインスペクション装置が提供される。
[0013] ある態様では、オブジェクト表面上に放射ビームを提供し、及びオブジェクト表面上の構造によって再誘導された放射を検出するように構成されたメトロロジ装置と、本明細書に記載されるようなコンピュータプログラム製品と、を含むシステムが提供される。ある実施形態では、システムは、放射ビームを変調するためにパターニングデバイスを保持するように構成されたサポート構造と、変調放射ビームを放射感応性基板上に投影するように配置された投影光学システムと、を含むリソグラフィ装置をさらに含み、オブジェクトは、基板である。
[0014] ある実施形態では、ハードウェアプロセッサシステムと、機械可読命令を保存するように構成された非一時的コンピュータ可読ストレージ媒体と、を含むシステムであって、実行されると、機械可読命令が、ハードウェアプロセッサシステムに本明細書に記載されるような方法を行わせる、システムが提供される。
[0015] 添付の図面を参照して、これより、実施形態を単なる例として説明する。
[0016]リソグラフィ装置の一実施形態を模式的に描く。 [0017]リソグラフィックセル又はクラスタの一実施形態を模式的に描く。 [0018]ある照明モードを提供する第1のペアの照明アパーチャを用いた一実施形態による、ターゲットの測定に使用される測定装置の模式図である。 [0019]所与の照明方向に関するターゲットの回折スペクトルの模式詳細図である。 [0020]回折に基づくオーバーレイ測定用の測定装置を使用する際に、さらなる照明モードを提供する第2のペアの照明アパーチャの模式図である。 [0021]回折に基づくオーバーレイ測定用の測定装置を使用する際に、さらなる照明モードを提供する、第1及び第2のペアのアパーチャを組み合わせた第3のペアの照明アパーチャの模式図である。 [0022]多重周期構造(例えば、多重格子)ターゲットの形態及び基板上の測定スポットのアウトラインを模式的に描く。 [0023]図3の装置において取得された図4のターゲットの像を模式的に描く。 [0024]メトロロジ装置例及びメトロロジ技術を模式的に描く。 [0025]メトロロジ装置例を模式的に描く。 [0026]メトロロジ装置の照明スポットと、メトロロジターゲットとの間の関係を示す。 [0027]測定データに基づいて、関心のある1つ又は複数の変数を導出するプロセスを模式的に描く。 [0028]ユニットセル例、関連の瞳表現、及び関連の導出瞳表現を模式的に描く。 [0029]ユニットセル例、関連の瞳表現、及び関連の導出瞳表現を模式的に描く。 [0030]ユニットセルの1つ又は複数の物理的インスタンスを含むターゲット例を模式的に描く。 [0031]測定放射からパターニングプロセスパラメータを決定するための重み付けを取得するハイレベルフローを描く。 [0032]測定放射からパターニングプロセスパラメータを決定するハイレベルフローを描く。 [0033]データ駆動技術の一実施形態のハイレベルフローを描く。 [0034]物理的幾何モデルと組み合わせたデータ駆動技術の一実施形態のハイレベルフローを描く。 [0035]物理的幾何モデルと組み合わせたデータ駆動技術の一実施形態のハイレベルフローを描く。 [0036]物理的幾何モデルと組み合わせたデータ駆動技術の一実施形態のハイレベルフローを描く。 [0037]物理的幾何モデルと組み合わせたデータ駆動技術の一実施形態のハイレベルフローを描く。 [0038]ターゲットの多重オーバーレイユニットセルの一実施形態を模式的に描く。 [0039]ターゲットの多重オーバーレイユニットセルの一実施形態を模式的に描く。 [0040]2つの異なるオーバーレイに対応する2つのベクトルのグラフ例を描く。 [0041]非プロダクトターゲット設計の一例を模式的に描く。 [0041]非プロダクトターゲット設計の一例を模式的に描く。 [0042]非プロダクトターゲット設計の一例を模式的に描く。 [0042]非プロダクトターゲット設計の一例を模式的に描く。 [0042]非プロダクトターゲット設計の一例を模式的に描く。 [0042]非プロダクトターゲット設計の一例を模式的に描く。 [0043]非プロダクトターゲット設計の一例を模式的に描く。 [0043]非プロダクトターゲット設計の一例を模式的に描く。 [0044]非プロダクトターゲット設計の一例を模式的に描く。 [0044]非プロダクトターゲット設計の一例を模式的に描く。 [0045]非プロダクトターゲット設計の一例を模式的に描く。 [0045]非プロダクトターゲット設計の一例を模式的に描く。 [0046]非プロダクトターゲット設計の一例を模式的に描く。 [0046]非プロダクトターゲット設計の一例を模式的に描く。 [0046]非プロダクトターゲット設計の一例を模式的に描く。 [0047]非プロダクトターゲット設計の一例を模式的に描く。 [0047]非プロダクトターゲット設計の一例を模式的に描く。 [0048]非プロダクトターゲット設計の一例を模式的に描く。 [0048]非プロダクトターゲット設計の一例を模式的に描く。 [0048]非プロダクトターゲット設計の一例を模式的に描く。 [0049]デバイスパターンフィーチャの一例を模式的に描く。 [0050]デバイスパターニングプロセスのステップの一例を模式的に描く。 [0050]デバイスパターニングプロセスのステップの一例を模式的に描く。 [0050]デバイスパターニングプロセスのステップの一例を模式的に描く。 [0050]デバイスパターニングプロセスのステップの一例を模式的に描く。 [0051]図29B及び29Dのステップに対応した非プロダクトターゲット設計の構造の一例を模式的に描く。 [0052]図29Fの構造から作成された非プロダクトターゲット設計の一例を模式的に描く。 [0053]デバイスパターンフィーチャの一例を模式的に描く。 [0054]非プロダクトターゲット設計の構造の一例を模式的に描く。 [0055]図30Bの構造から作成された非プロダクトターゲット設計の一例を模式的に描く。 [0056]非プロダクトターゲット設計を設計する方法の一実施形態に対応する。 [0057]本開示の実施形態を実施することができるコンピュータシステムを模式的に描く。
[0058] 実施形態を詳細に記載する前に、実施形態が実施され得る環境例を提示することが有益である。
[0059] 図1は、リソグラフィ装置LAを模式的に描く。この装置は、
−放射ビームB(例えば、UV放射又はDUV放射)を調節するように構成された照明系(イルミネータ)ILと、
−パターニングデバイス(例えばマスク)MAを支持するように構築され、且つ特定のパラメータに従って、パターニングデバイスを正確に位置決めするように構成された第1のポジショナPMに接続されたサポート構造(例えばマスクテーブル)MTと、
−基板(例えばレジストコートウェーハ)Wを保持するように構築され、且つ特定のパラメータに従って、基板を正確に位置決めするように構成された第2のポジショナPWに接続された基板テーブル(例えばウェーハテーブル)WTと、
−パターニングデバイスMAによって放射ビームBに付与されたパターンを基板W(例えば、1つ又は複数のダイを含む)のターゲット部分C上に投影するように構成された投影系(例えば屈折投影レンズ系)PSであって、基準フレーム(RF)上に支持される投影系と、
を含む。
[0060] 照明系は、放射の誘導、整形、又は制御を行うための、屈折、反射、磁気、電磁、静電又は他のタイプの光学コンポーネント、又はそれらの何れかの組み合わせなどの様々なタイプの光学コンポーネントを包含してもよい。
[0061] サポート構造は、パターニングデバイスの配向、リソグラフィ装置の設計、及び例えばパターニングデバイスが真空環境で保持されるか否かなどの他の条件に依存したやり方で、パターニングデバイスを保持する。サポート構造は、機械的、真空、静電又は他のクランプ技術を用いて、パターニングデバイスを保持することができる。サポート構造は、必要に応じて固定されてもよく、又は移動可能であってもよいフレーム又はテーブルでもよい。サポート構造は、例えば投影系に対してパターニングデバイスが所望の位置にあることを確実にすることができる。本明細書における「レチクル」又は「マスク」という用語の使用は、より一般的な用語である「パターニングデバイス」と同義であると見なすことができる。
[0062] 本明細書で使用される「パターニングデバイス」という用語は、基板のターゲット部分にパターンを付与するために使用することができるあらゆるデバイスを指すと広く解釈されるものとする。ある実施形態では、パターニングデバイスは、基板のターゲット部分にパターンを生成するために、その断面にパターンを有した放射ビームを付与するために使用することができるあらゆるデバイスである。放射ビームに付与されるパターンは、例えば、パターンが、位相シフトフィーチャ、又はいわゆるアシストフィーチャを包含する場合には、基板のターゲット部分の所望のパターンに正確に対応しない場合があることに留意されたい。一般に、放射ビームに付与されるパターンは、集積回路などのターゲット部分に生成されるデバイス内の特定の機能層に対応する。
[0063] パターニングデバイスは、透過型又は反射型でもよい。パターニングデバイスの例は、マスク、プログラマブルミラーアレイ、及びプログラマブルLCDパネルを包含する。マスクは、リソグラフィにおいてよく知られており、及びバイナリ、レベンソン型(alternating)位相シフト、及びハーフトーン型(attenuated)位相シフトなどのマスクタイプ、並びに様々なハイブリッドマスクタイプを包含する。プログラマブルミラーアレイの一例は、小型ミラーのマトリックス配置を採用し、各小型ミラーは、入射する放射ビームを異なる方向に反射するように個々に傾斜させることができる。これらの傾斜ミラーは、ミラーマトリックスによって反射される放射ビームにパターンを付与する。
[0064] 本明細書で使用される「投影系」という用語は、使用されている露光放射に対して、又は液浸液の使用又は真空の使用などの他のファクタに対して適切に、屈折、反射、反射屈折、磁気、電磁及び静電光学系、又はそれらの何れかの組み合わせを包含するあらゆるタイプの投影系を対象に含めると広く解釈されるものとする。本明細書における「投影レンズ」という用語の使用は、より一般的な用語である「投影系」と同義であると見なすことができる。
[0065] 投影系PSは、不均一となり得る(これは、基板W上に結像されるパターンに影響を与え得る)光学伝達関数を有する。非偏光放射の場合、このような影響は、投影系PSを出射する放射の透過(アポディゼーション)及び相対位相(収差)を、それの瞳面内での位置の関数として記述する2つのスカラーマップによって、かなり良く表すことができる。透過マップ及び相対位相マップと呼ばれる場合があるこれらのスカラーマップは、基底関数の完全なセットの線形結合として表現することができる。特に便利なセットは、単位円上で定義される直交多項式のセットを形成するゼルニケ多項式である。各スカラーマップの決定は、そのような展開における係数を決定することを含んでもよい。ゼルニケ多項式は、単位円上で直交するので、ゼルニケ係数は、各ゼルニケ多項式と測定されたスカラーマップの内積を順に演算し、これを、当該ゼルニケ多項式のノルムの二乗で除算することによって決定されてもよい。
[0066] 透過マップ及び相対位相マップは、フィールド及びシステムに依存する。すなわち、一般に、各投影系PSは、各フィールド点に対して(すなわち、それの像面における各空間位置に対して)異なるゼルニケ展開を有する。瞳面内の投影系PSの相対位相は、例えば、投影系PSの対物面(すなわち、パターニングデバイスMAの面)内の点状放射源から投影系PSを通して放射を投影することによって、及びシヤリング干渉計を用いて波面(すなわち、同じ位相を持つ点の軌跡)を測定することによって決定されてもよい。シヤリング干渉計は、共通経路干渉計であり、従って、有利に、波面の測定に二次基準ビームが必要とされない。シヤリング干渉計は、投影系の像面(すなわち、基板テーブルWT)内に回折格子(例えば二次元グリッド)と、投影系PSの瞳面と共役な面内で干渉パターンを検出するように配置された検出器とを含んでもよい。干渉パターンは、シヤリング方向の瞳面内の座標に対する放射の位相の導関数に関連する。検出器は、例えば電荷結合デバイス(CCD)などのセンシング要素のアレイを含んでもよい。
[0067] リソグラフィ装置の投影系PSは、可視フリンジを生じさせないことが可能であり、従って、波面の決定の精度は、例えば、回折格子を移動させるなどの位相ステッピング技術を用いて向上させることができる。ステッピングは、回折格子の面内で、及び測定のスキャン方向に垂直な方向に行われてもよい。ステッピング範囲は、1格子周期でもよく、及び少なくとも3つの(均一に分布された)位相ステップが使用されてもよい。従って、例えば3つのスキャン測定が、y方向に行われてもよく、各スキャン測定は、x方向の異なる位置に対して行われる。回折格子のこのステッピングは、位相変動を強度変動に効果的に変換し、位相情報が決定されることを可能にする。検出器を較正するために、格子は、回折格子(z方向)に垂直な方向にステッピングされてもよい。
[0068] 瞳面内の投影系PSの透過(アポディゼーション)は、例えば、投影系PSの対物面(すなわち、パターニングデバイスMAの面)内の点状放射源から投影系PSを通して放射を投影することによって、及び検出器を用いて投影系PSの瞳面と共役な面内の放射の強度を測定することによって決定されてもよい。収差を決定するための波面の測定に使用されたのと同じ検出器が使用されてもよい。
[0069] 投影系PSは、複数の光学(例えばレンズ)要素を含んでもよく、及び収差(フィールド全体の瞳面にわたる位相変動)を補正するために光学要素の1つ又は複数を調整するように構成された調整機構AMをさらに含んでもよい。これを達成するために、調整機構は、投影系PS内の1つ又は複数の光学(例えばレンズ)要素を1つ又は複数の異なるやり方で操作するように動作可能でもよい。投影系は、光軸がz方向に延びる座標系を有してもよい。調整機構は、以下の何れかの組み合わせを行うように動作可能でもよい:1つ又は複数の光学要素を変位させること;1つ又は複数の光学要素を傾斜させること;及び/又は1つ又は複数の光学要素を変形させること。光学要素の変位は、任意の方向(x、y、z又はそれらの組み合わせ)のものであってもよい。光学要素の傾斜は、一般的に、x及び/又はy方向の軸周りを回転することによって(z軸周りの回転は、非回転対称非球面光学要素に使用されてもよい)、光軸に対して垂直な面から外れる。光学要素の変形は、低周波形状(例えば非点収差)及び/又は高周波形状(例えば自由形状非球面)を包含してもよい。光学要素の変形は、例えば、1つ又は複数のアクチュエータを用いて光学要素の1つ又は複数の側面に力を及ぼすことによって、及び/又は1つ又は複数の加熱要素を用いて光学要素の1つ又は複数の選択された領域を加熱することによって行われてもよい。一般に、アポディゼーション(瞳面にわたる透過変動)を補正するために投影系PSを調整することが可能ではない場合がある。リソグラフィ装置LA用のパターニングデバイス(例えばマスク)MAを設計する際に、投影系PSの透過マップが使用されてもよい。計算機リソグラフィ技術を用いて、パターニングデバイスMAは、少なくとも部分的にアポディゼーションを補正するように設計されてもよい。
[0070] ここに描かれるように、本装置は、透過型のものである(例えば、透過型マスクを用いる)。代替的に、本装置は、反射型のものであってもよい(例えば、上述のタイプのプログラマブルミラーアレイを用いる、又は反射マスクを用いる)。
[0071] リソグラフィ装置は、2つ(デュアルステージ)又はそれより多いテーブル(例えば、2つ以上の基板テーブルWTa、WTb、2つ以上のパターニングデバイステーブル、例えば、測定及び/又は洗浄などを容易にすること専用の基板を持たない投影系の下の基板テーブルWTa及びテーブルWTb)を有するタイプのものであってもよい。このような「マルチステージ」機械では、追加のテーブルが同時に使用されてもよく、又は1つ又は複数の他のテーブルが露光に使用されている間に、1つ又は複数のテーブル上で準備ステップが行われてもよい。例えば、アライメントセンサASを用いたアライメント測定、及び/又はレベルセンサLSを用いたレベル(高さ、傾斜など)測定が、行われてもよい。
[0072] リソグラフィ装置は、基板の少なくとも一部が、投影系と基板との間の空間を満たすために、比較的高い屈折率を有する液体(例えば水)によって覆われてもよいタイプのものであってもよい。液浸液は、リソグラフィ装置の他の空間、例えば、パターニングデバイスと投影系との間に与えられてもよい。投影系の開口数を増加させる液浸技術が、当該分野においてよく知られている。本明細書で使用される「液浸」という用語は、基板などの構造が、液体中に沈められなければならないことを意味するのではなく、単に、液体が、露光中に、投影系と基板との間に位置することを意味する。
[0073] 図1を参照して、イルミネータILは、放射源SOから放射ビームを受ける。放射源及びリソグラフィ装置は、例えば放射源がエキシマレーザである場合に、別個のエンティティでもよい。そのような場合には、放射源は、リソグラフィ装置の一部を形成するとは見なされず、及び放射ビームは、例えば適切な誘導ミラー及び/又はビームエキスパンダを含むビームデリバリシステムBDを用いて、放射源SOからイルミネータILへと渡される。他のケースでは、放射源は、例えば放射源が水銀ランプである場合に、リソグラフィ装置の一体化部分であってもよい。放射源SO及びイルミネータILは、必要であればビームデリバリシステムBDと共に、放射システムと呼ばれる場合がある。
[0074] イルミネータILは、放射ビームの角度強度分布を調整するように構成されたアジャスタADを含んでもよい。一般に、少なくともイルミネータの瞳面内の強度分布の外側及び/又は内側半径範囲(通常、それぞれσ-outer及びσ-innerと呼ばれる)を調整することができる。加えて、イルミネータILは、インテグレータIN及びコンデンサCOなどの様々な他のコンポーネントを含んでもよい。イルミネータを用いて、放射ビームが、その断面に所望の均一性及び強度分布を持つように調節してもよい。
[0075] 放射ビームBは、サポート構造(例えばマスクテーブル)MT上に保持されるパターニングデバイス(例えばマスク)MAに入射し、及びパターニングデバイスによってパターン形成される。パターニングデバイスMAを横断した後、放射ビームBは、ビームの焦点を基板Wのターゲット部分C上に合わせる投影系PSを通過する。第2のポジショナPW及び位置センサIF(例えば、干渉デバイス、リニアエンコーダ、2Dエンコーダ又は静電容量センサ)を用いて、例えば異なるターゲット部分Cを放射ビームBのパス内に位置決めするように、基板テーブルWTを正確に移動させることができる。同様に、例えばマスクライブラリの機械検索後に、又はスキャン中に、第1のポジショナPM及び別の位置センサ(図1では明確に描かれていない)を用いて、放射ビームBのパスに対してパターニングデバイスMAを正確に位置決めすることができる。一般に、サポート構造MTの移動は、第1のポジショナPMの一部を形成するロングストロークモジュール(粗動位置決め)及びショートストロークモジュール(微動位置決め)を用いて実現されてもよい。同様に、基板テーブルWTの移動は、第2のポジショナPWの一部を形成するロングストロークモジュール及びショートストロークモジュールを用いて実現されてもよい。ステッパの場合は(スキャナとは対照的に)、サポート構造MTは、ショートストロークアクチュエータのみに接続されてもよく、又は固定されてもよい。パターニングデバイスMA及び基板Wは、パターニングデバイスアライメントマークM1、M2及び基板アライメントマークP1、P2を用いてアライメントされてもよい。図示されるような基板アライメントマークは、専用ターゲット部分を占有するが、これらは、ターゲット部分間の空間に位置してもよい(これらは、スクライブラインアライメントマークとして知られている)。同様に、2つ以上のダイがパターニングデバイスMA上に設けられる状況では、パターニングデバイスアライメントマークは、ダイ間に位置してもよい。
[0076] 描かれた装置は、以下のモードの少なくとも1つで使用され得る:
1.ステップモードでは、放射ビームに付与されたパターン全体が、一度にターゲット部分C上に投影される間に、サポート構造MT及び基板テーブルWTが、基本的に静止状態を保つ(すなわち、単一静的露光)。次に、異なるターゲット部分Cを露光することができるように、基板テーブルWTが、X及び/又はY方向にシフトされる。ステップモードでは、露光フィールドの最大サイズが、単一静的露光で結像されるターゲット部分Cのサイズを限定する。
2.スキャンモードでは、放射ビームに付与されたパターンが、ターゲット部分C上に投影される間に、サポート構造MT及び基板テーブルWTが、同期してスキャンされる(すなわち、単一動的露光)。サポート構造MTに対する基板テーブルWTの速度及び方向は、投影系PSの拡大(縮小)及び像反転特性によって決定されてもよい。スキャンモードでは、露光フィールドの最大サイズが、単一動的露光のターゲット部分の幅(非スキャン方向の)を限定し、スキャン動作の長さが、ターゲット部分の高さ(スキャン方向の)を決定する。
3.別のモードでは、放射ビームに付与されたパターンが、ターゲット部分C上に投影される間に、サポート構造MTは、プログラマブルパターニングデバイスを保持して基本的に静止状態を保つとともに、基板テーブルWTが、移動又はスキャンされる。このモードでは、一般にパルス放射源が用いられ、及びプログラマブルパターニングデバイスが、基板テーブルWTの各移動後に、又はスキャン中の連続した放射パルス間で、必要に応じて更新される。この動作モードは、上述のタイプのプログラマブルミラーアレイなどのプログラマブルパターニングデバイスを利用するマスクレスリソグラフィに簡単に適用することができる。
[0077] 上記の使用モード又は完全に異なる使用モードの組み合わせ及び/又はバリエーションが用いられてもよい。
[0078] 図2に示されるように、リソグラフィ装置LAは、基板に対して露光前又は露光後プロセスを行う装置も包含する、時には、リソセル又はクラスタとも呼ばれるリソグラフィックセルLCの一部を形成してもよい。従来、これらは、1つ又は複数のレジスト層を堆積させる1つ又は複数のスピンコーターSC、露光されたレジストを現像するための1つ又は複数のデベロッパDE、1つ又は複数の冷却プレートCH及び/又は1つ又は複数のベークプレートBKを包含する。基板ハンドラ又はロボットROは、入出力ポートI/O1、I/O2から1つ又は複数の基板を受け取り、それらを異なるプロセス装置間で移動させ、及びそれらをリソグラフィ装置のローディングベイLBに届ける。大抵の場合トラックと総称されるこれらの装置は、それ自体が監視制御システムSCS(これは、リソグラフィ制御ユニットLACUにより、リソグラフィ装置も制御する)によって制御されるトラック制御ユニットTCUの制御下にある。従って、異なる装置を動作させることにより、スループット及び処理効率を最大限にすることができる。
[0079] リソグラフィ装置によって露光される基板が、正確に、且つ一貫して露光されるために、露光された基板を検査して、オーバーレイ(これは、例えば、重なり合う層の構造間、又は同じ層内の、例えばダブルパターニングプロセスによってその層とは別に設けられた構造間に存在し得る)、ライン厚さ、クリティカルディメンジョン(CD)、フォーカスオフセット、材料特性などの1つ又は複数の特性を測定又は決定することが望ましい。それに応じて、リソセルLCが位置する製造施設は、一般的に、リソセルで処理された基板Wの一部又は全てを受け取るメトロロジシステムMETも包含する。メトロロジシステムMETは、リソセルLCの一部であってもよく、例えば、それは、リソグラフィ装置LAの一部であってもよい。
[0080] メトロロジ結果は、監視制御システムSCSに直接又は間接的に提供されてもよい。エラーが検出されると、後続の基板の露光に対して(特に、バッチの1つ又は複数の他の基板がまだこれから露光されるほど直ちに且つ迅速にインスペクションを行うことができる場合に)、及び/又は露光された基板の後続の露光に対して調整が行われてもよい。また、既に露光された基板は、歩留まりを向上させるために、はがされ、及び再加工が行われてもよく、又は破棄されることによって、欠陥があると分かっている基板に対してさらなる処理を行うことが回避されてもよい。基板の幾つかのターゲット部分にのみ欠陥がある場合には、良好なターゲット部分のみにさらなる露光が行われてもよい。
[0081] メトロロジシステムMET内で、メトロロジ装置を用いて、基板の1つ又は複数の特性、及び具体的には、異なる基板の1つ又は複数の特性がどのように変動するか、又は同じ基板の異なる層が、層毎にどのように変動するかが決定される。メトロロジ装置は、リソグラフィ装置LA又はリソセルLCに組み込まれてもよいし、又はスタンドアローンデバイスであってもよい。高速測定を可能にするために、メトロロジ装置が、露光直後に、露光されたレジスト層の1つ又は複数の特性を測定することが望ましい。しかし、レジストの潜像が、低コントラスト(放射に露光されたレジストの部分と、放射に露光されていないレジストの部分との間に、ごく小さな屈折率の差異が存在するのみである)を有し、及び全てのメトロロジ装置が、潜像の有用な測定を行うのに十分な感度を有するわけではない。従って、測定は、習慣的に露光された基板に対して行われる最初のステップであり、及びレジストの露光部分と非露光部分との間のコントラストを増加させるポストベークステップ(PEB)後に行われてもよい。この段階では、レジストの像は、半潜像的と呼ばれる場合がある。現像されたレジスト像の測定を行うことも可能であり(この時点で、レジストの露光部分又は非露光部分は、除去済みである)、又はエッチングなどのパターン転写ステップ後に行うことも可能である。後者の可能性は、欠陥のある基板の再加工の可能性を限定するが、それでも有用な情報を提供する場合がある。
[0082] メトロロジを可能にするために、1つ又は複数のターゲットを基板上に設けることができる。ある実施形態では、ターゲットは、特別に設計され、及び周期構造を含んでいてもよい。ある実施形態では、ターゲットは、デバイスパターンの一部(例えばデバイスパターンの周期構造)である。ある実施形態では、デバイスパターンは、メモリデバイスの周期構造(例えば、バイポーラトランジスタ(BPT)、ビットラインコンタクト(BLC)などの構造)である。
[0083] ある実施形態では、基板上のターゲットは、現像後に、周期構造フィーチャが、固体レジストラインから形成されるように印刷された1つ又は複数の1D周期構造(例えば格子)を含んでいてもよい。ある実施形態では、ターゲットは、現像後に、1つ又は複数の周期構造が、固体レジストピラー又はレジストのビアから形成されるように印刷された1つ又は複数の2D周期構造(例えば格子)を含んでいてもよい。バー、ピラー、又はビアは、代替的に、基板内に(例えば、基板上の1つ又は複数の層内に)エッチングされてもよい。
[0084] ある実施形態では、パターニングプロセスの関心のあるパラメータの1つは、オーバーレイである。オーバーレイは、0次回折(鏡面反射に対応する)がブロックされ、及びより高次のものだけが処理される暗視野スキャトロメトリを用いて、測定することができる。暗視野メトロロジの例は、その全体が本明細書により援用されるPCT特許出願公開第2009/078708号及び第2009/106279号に見つけることができる。さらに、この技術のさらなる発展が、その全体が本明細書により援用される米国特許出願公開第2011−0027704号、米国特許出願公開第2011−0043791号及び米国特許出願公開第2012−0242970号に記載されている。回折次数の暗視野検出を用いた回折ベースのオーバーレイは、より小さなターゲットに対するオーバーレイ測定を可能にする。これらのターゲットは、照明スポットよりも小さくてもよく、及び基板上のデバイスプロダクト構造によって取り囲まれていてもよい。ある実施形態では、一度の放射キャプチャで複数のターゲットを測定することができる。
[0085] 例えばオーバーレイを測定するための実施形態での使用に適したメトロロジ装置が、図3Aに模式的に示される。ターゲットT(格子などの周期構造を含む)及び回折光線が、図3Bにより詳細に図示される。メトロロジ装置は、スタンドアローンデバイスでもよいし、又は例えば測定ステーションでリソグラフィ装置LAに、又はリソグラフィックセルLCに組み込まれてもよい。装置全体を通して幾つかの分岐を有する光軸が、点線Oによって表される。この装置では、出力11(例えば、レーザ又はキセノンランプなどの放射源、又は放射源に接続された開口)によって放出された放射は、レンズ12、14、及び対物レンズ16を含む光学系によって、プリズム15を介して基板W上に誘導される。これらのレンズは、4F配置のダブルシーケンスで配置される。異なるレンズ配置は、それでもそれが基板の像を検出器上に提供するならば、使用することができる。
[0086] ある実施形態では、レンズ配置は、空間周波数フィルタリングの中間瞳面のアクセスを可能にする。従って、放射が基板に入射する角度範囲は、本明細書で(共役)瞳面と呼ばれる基板面の空間スペクトルを示す面内の空間強度分布を定義することによって選択することができる。具体的には、これは、例えば、対物レンズ瞳面の後方投影像である面内で、レンズ12と14との間に適切な形態のアパーチャプレート13を挿入することによって行うことができる。図示例では、アパーチャプレート13は、異なる形態(13N及び13Sと表示される)を有し、異なる照明モードが選択されることを可能にする。本例の照明系は、オフアクシス照明モードを形成する。第1の照明モードでは、アパーチャプレート13Nが、単なる説明目的で「北」と指定された方向から、オフアクシス照明を提供する。第2の照明モードでは、アパーチャプレート13Sを用いて、「南」と表示された反対方向から同様の照明が提供される。異なるアパーチャを用いて、他の照明モードが可能である。瞳面の残りの部分は、所望の照明モード外の不必要な放射が所望の測定信号を妨げ得るので、望ましくは暗い。
[0087] 図3Bに示されるように、ターゲットTは、基板Wが対物レンズ16の光軸Oに対して実質的に垂直な状態で設置される。軸Oから外れた角度からターゲットTに衝突する照明光線Iは、0次光線(実線0)と、2つの1次光線(一点鎖線+1及び二点鎖線−1)とを生じさせる。オーバーフィルされた小ターゲットTの場合、これらの光線は、メトロロジターゲットT及び他のフィーチャを含む基板のエリアをカバーする多くの平行光線の1つにすぎない。プレート13のアパーチャが、(放射の有用な量を受け入れるのに必要な)有限幅を有するので、入射光線Iは、実際には、ある角度範囲を占有し、及び回折光線0及び+1/−1は、若干広がる。小ターゲットの点像分布関数に従って、各次数+1及び−1は、ある角度範囲にわたり、さらに広がる(図示されるような単一の理想光線ではない)。なお、周期構造のピッチ及び照明角度は、対物レンズに入る1次光線が中心光軸と厳密にアライメントされるように、設計又は調整することができる。図3A及び3Bに図示される光線は、単に図中でそれらをより簡単に区別することができるように、若干オフアクシスに示される。基板W上のターゲットによって回折された少なくとも0次及び+1次が、対物レンズ16によって収集され、及びプリズム15を通して戻るように誘導される。
[0088] 図3Aに戻り、第1及び第2の照明モードの両方が、北(N)及び南(S)と表示された正反対のアパーチャを指定することによって図示される。入射光線Iが光軸の北側からのものである場合、すなわち、第1の照明モードが、アパーチャプレート13Nを用いて適用される場合、+1回折光線(+1(N)と表示される)が、対物レンズ16に入る。対照的に、第2の照明モードが、アパーチャプレート13Sを用いて適用される場合、−1回折光線(−1(S)と表示される)が、レンズ16に入る回折光線である。従って、ある実施形態では、測定結果は、特定の条件下で、例えば、−1次及び+1次の回折次数強度を別々に取得するために、ターゲットを回転させた後、又は照明モードを変更した後、又は結像モードを変更した後に、ターゲットを2回測定することによって取得される。あるターゲットに関してこれらの強度を比較することにより、ターゲットの非対称性の測定が提供され、及びターゲットの非対称性は、リソグラフィプロセスのパラメータ(例えばオーバーレイ)の指標として使用することができる。上記の状況では、照明モードが変更される。
[0089] ビームスプリッタ17は、回折ビームを2つの測定分岐に分割する。第1の測定分岐では、光学系18は、0次及び1次回折ビームを用いて、第1のセンサ19(例えば、CCD又はCMOSセンサ)上にターゲットの回折スペクトル(瞳面像)を形成する。像の処理が次数を比較及び対比させることができるように、各回折次数は、センサ上の異なる点に当たる。センサ19によって捕捉された瞳面像は、メトロロジ装置の焦点を合わせる、及び/又は強度測定を規格化するために使用することができる。瞳面像は、以下にさらに記載されるように、再構築などの他の測定目的に使用することもできる。
[0090] 第2の測定分岐では、光学系20、22は、センサ23(例えば、CCD又はCMOSセンサ)上に、基板W上のターゲットの像を形成する。第2の測定分岐では、開口絞り21が、対物レンズ16の瞳面と共役な面内に設けられる。開口絞り21は、センサ23上に形成されるターゲットの像が、−1又は+1次ビームから形成されるように、0次回折ビームをブロックするように機能する。センサ19及び23によって測定された像に関するデータは、プロセッサ及びコントローラPU(これの機能は、行われる測定の特定のタイプに依存する)に出力される。なお、「像」という用語は、広い意味で使用される。そのため、−1次及び+1次の一方のみが存在する場合、周期構造フィーチャ(例えば、格子ライン)の像は、形成されない。
[0091] 図3に示されるアパーチャプレート13及び絞り21の特定の形態は、単なる例である。別の実施形態では、ターゲットのオンアクシス照明が用いられ、及びオフアクシスアパーチャを有した開口絞りを用いて、実質的にたった1つの1次回折放射がセンサに送られる。さらに他の実施形態では、1次ビームの代わりに、又は1次ビームに加えて、2次、3次及びそれより高次のビーム(図3では不図示)を測定で使用することができる。
[0092] 照明をこれらの異なるタイプの測定に適応できるようにするためには、アパーチャプレート13は、ディスク(これは、所望のパターンを適所に持ってくるために回転する)の周囲に形成される幾つかのアパーチャパターンを含んでいてもよい。なお、アパーチャプレート13N又は13Sを用いて、ある方向(セットアップに応じてX又はY)に配向されたターゲットの周期構造が測定される。直交周期構造の測定の場合、90°及び270°を通るターゲットの回転が実施されてもよい。異なるアパーチャプレートが、図3C及びDに示される。図3Cは、2つのさらなるタイプのオフアクシス照明モードを図示する。図3Cの第1の照明モードでは、アパーチャプレート13Eが、単なる説明目的で、前述の「北」に対して「東」と指定された方向から、オフアクシス照明を提供する。図3Cの第2の照明モードでは、アパーチャプレート13Wを用いて、「西」と表示された反対方向から同様の照明が提供される。図3Dは、2つのさらなるタイプのオフアクシス照明モードを図示する。図3Dの第1の照明モードでは、アパーチャプレート13NWが、前述の通り「北」及び「西」と指定された方向から、オフアクシス照明を提供する。第2の照明モードでは、アパーチャプレート13SEを用いて、前述の通り「南」及び「東」と表示された反対方向から同様の照明が提供される。これらの使用、並びに装置の多数の他のバリエーション及び適用例が、例えば、上述の以前に公表された特許出願公開に記載されている。
[0093] 図4は、基板上に形成された複合メトロロジターゲットTの例を描く。複合ターゲットは、共に近接して位置付けられた4つの周期構造(この場合、格子)32、33、34、35を含む。ある実施形態では、周期構造レイアウトは、測定スポットよりも小さくされてもよい(すなわち、周期構造レイアウトが、オーバーフィルされる)。従って、ある実施形態では、周期構造は、それらが全て、メトロロジ装置の照明ビームによって形成される測定スポット31内に位置するほどに共に近接して位置付けられる。従って、この場合、4つの周期構造は、全て同時に照明され、及び同時にセンサ19及び23上に結像される。オーバーレイ測定専用の例では、周期構造32、33、34、35は、それら自体が、上に重なる周期構造によって形成される複合周期構造(例えば複合格子)であり、すなわち、周期構造が、基板W上に形成されるデバイスの異なる層において、及び1つの層の少なくとも1つの周期構造が、異なる層の少なくとも1つの周期構造にオーバーレイするようにパターン形成される。このようなターゲットは、20μm×20μmの範囲内、又は16μm×16μmの範囲内の外寸を有していてもよい。さらに、全ての周期構造を用いて、ある特定の1対の層間のオーバーレイが測定される。ターゲットが、2対以上の層を測定できることを容易にするためには、周期構造32、33、34、35は、複合周期構造の異なる部分が形成される異なる層間のオーバーレイの測定を容易にするために、異なってバイアスされたオーバーレイオフセットを有していてもよい。従って、基板上のターゲットの全ての周期構造を用いて、1対の層が測定され、及び基板上の別の同じターゲットの周期構造の全てを用いて、別の1対の層が測定され、異なるバイアスが、層ペア間の区別を容易にする。
[0094] 図4に戻り、周期構造32、33、34、35は、入ってくる放射をX及びY方向に回折するために、図示されるように、それらの配向が異なっていてもよい。一例では、周期構造32及び34は、それぞれ+d及び−dのバイアスを有したX方向周期構造である。周期構造33及び35は、それぞれ+d及び−dのオフセットを有したY方向周期構造であってもよい。4つの周期構造が図示されるが、別の実施形態は、所望の精度を得るために、より大きなマトリックスを包含していてもよい。例えば、3×3アレイの9つの複合周期構造が、バイアス−4d、−3d、−2d、−d、0、+d、+2d、+3d、+4dを有していてもよい。これらの周期構造の個別の像は、センサ23によって捕捉される像において識別することができる。
[0095] 図5は、図3の装置において図4のターゲットを用いて、図3Dのアパーチャプレート13NW又は13SEを用いて、センサ23上に形成され、及びセンサ23によって検出され得る像の一例を示す。センサ19は、異なる個々の周期構造32〜35を分解することはできないが、センサ23は、それを行うことができる。暗い長方形は、センサ上の像のフィールドを表し、その中で、基板上の照明スポット31が、対応する円形エリア41に結像される。これの中で、長方形エリア42〜45は、周期構造32〜35の像を表す。ターゲットは、スクライブライン内ではなく、又はスクライブライン内に加えて、デバイスプロダクトフィーチャ間に位置付けることができる。周期構造が、デバイスプロダクトエリア内に位置する場合、デバイスフィーチャは、このイメージフィールドの周辺においても目に見える場合がある。プロセッサ及びコントローラPUは、パターン認識を用いて、これらの像を処理することによって、周期構造32〜35の個別の像42〜45を識別する。このように、像は、センサフレーム内のある特定の場所で非常に厳密にアライメントされる必要はなく、これは、測定装置全体としてのスループットを大きく向上させる。
[0096] 周期構造の個別の像が識別されると、これらの個々の像の強度は、例えば識別されたエリア内の選択されたピクセル強度値の平均値又は総計を求めることによって、測定することができる。像の強度及び/又は他の特性は、互いに比較することができる。これらの結果を組み合わせて、リソグラフィプロセスの異なるパラメータを測定することができる。オーバーレイ精度は、そのようなパラメータの一例である。
[0097] ある実施形態では、パターニングプロセスの関心のあるパラメータの1つは、フィーチャ幅(例えばCD)である。図6は、フィーチャ幅の決定を可能にすることができるメトロロジ装置(例えばスキャトロメータ)のかなり概略の例を描く。それは、放射を基板W上に投影する広帯域(白色光)放射プロジェクタ2を含む。再誘導された放射は、例えば左下のグラフに示されるような鏡面反射放射のスペクトル10(波長の関数としての強度)を測定するスペクトロメータ検出器4に渡される。このデータから、検出されたスペクトルを生じさせる構造又はプロファイルが、プロセッサPUによって、例えば、厳密結合波分析及び非線形回帰によって、又は図6の右下に示されるようなシミュレーションスペクトルのライブラリとの比較によって、再構築されてもよい。一般に、再構築のためには、構造の一般形態が既知であり、且つ幾つかの変数が、構造が作られたプロセスの知識から推測され、測定データから決定される、構造の数個の変数のみが残されている。このようなメトロロジ装置は、法線入射メトロロジ装置又は斜め入射メトロロジ装置として構成されてもよい。さらに、再構築によるパラメータの測定に加えて、プロダクトのフィーチャ及び/又はレジストパターンの非対称性の測定において、角度分解スキャトロメトリが有用である。非対称性測定のある特定の適用例は、オーバーレイの測定に関するものであり、ターゲットは、周期フィーチャの別の1セット上に重畳された周期フィーチャの1セットを含む。このような非対称性測定の概念は、例えば、その全体が本明細書に組み込まれる、米国特許出願公開第2006−066855号に記載されている。
[0098] 図7は、本明細書に開示される発明の実施形態での使用に適したメトロロジ装置100の一例を図示する。このタイプのメトロロジ装置の動作の原理は、その全体が本明細書に援用される米国特許出願公開第2006−033921号及び米国特許出願公開第2010−201963号において、さらに詳細に説明される。装置全体を通して幾つかの分岐を有する光軸が、点線Oによって表される。この装置では、放射源110(例えばキセノンランプ)によって放出された放射は、レンズ系120、アパーチャプレート130、レンズ系140、部分反射面150及び対物レンズ160を含む光学系によって介して、基板W上に誘導される。ある実施形態では、これらのレンズ系120、140、160は、4F配置のダブルシーケンスで配置される。ある実施形態では、放射源110によって放出された放射は、レンズ系120を用いてコリメートされる。所望であれば、異なるレンズ配置を使用することができる。放射が基板に入射する角度範囲は、基板面の空間スペクトルを示す面内の空間強度分布を定義することによって選択することができる。具体的には、これは、対物レンズ瞳面の後方投影像である面内で、レンズ120と140との間に適切な形態のアパーチャプレート130を挿入することによって行うことができる。異なるアパーチャを用いることによって、異なる強度分布(例えば、環状、ダイポールなど)が可能である。半径方向及び周辺方向の照明の角度分布、並びに、放射の波長、偏光及び/又はコヒーレンスなどの特性は全て、所望の結果を取得するために調整することができる。例えば、例えば400〜900nm、又はさらに低い200〜300nmなどの範囲内の関心のある波長を選択するために、放射源110と部分反射面150との間に、1つ又は複数の干渉フィルタ130(図9を参照)を設けることができる。干渉フィルタは、異なるフィルタの1セットを含むのではなく、調節可能であってもよい。干渉フィルタの代わりに、格子が使用されてもよい。ある実施形態では、関心のある偏光を選択するために、放射源110と部分反射面150との間に、1つ又は複数のポラライザ170(図9を参照)を設けることができる。ポラライザは、異なるポラライザの1セットを含むのではなく、調節可能であってもよい。
[0099] 図7に示されるように、ターゲットTは、基板Wが対物レンズ160の光軸Oに対して垂直な状態で設置される。従って、放射源110からの放射は、部分反射面150によって反射され、及び対物レンズ160を介して、基板W上のターゲットT上の照明スポットS(図8を参照)に焦点が合わせられる。ある実施形態では、対物レンズ160は、望ましくは少なくとも0.9又は少なくとも0.95の高開口数(NA)を有する。液浸メトロロジ装置(水などの比較的高い屈折率の流体を用いる)は、1を超える開口数を有していてもよい。
[0100] 軸Oから外れた角度から照明スポットに焦点が合わせられた照明光線170、172は、回折光線174、176を生じさせる。これらの光線は、ターゲットTを包含する基板のエリアをカバーする多くの平行光線の1つにすぎないことを忘れてはならない。照明スポット内の各要素は、メトロロジ装置の視野内にある。プレート130のアパーチャが、(放射の有用な量を受け入れるのに必要な)有限幅を有するので、入射光線170、172は、実際には、ある角度範囲を占有し、及び回折光線174、176は、若干広がる。小ターゲットの点像分布関数に従って、各回折次数は、ある角度範囲にわたり、さらに広がる(図示されるような単一の理想光線ではない)。
[0101] 基板W上のターゲットによって回折された少なくとも0次が、対物レンズ160によって収集され、及び部分反射面150を通して戻るように誘導される。光学要素180は、0次及び/又は1次回折ビームを用いて、センサ190(例えば、CCD又はCMOSセンサ)上にターゲットTの回折スペクトル(瞳面像)を形成する光学系182に、回折ビームの少なくとも一部を提供する。ある実施形態では、アパーチャ186は、ある特定の回折次数がセンサ190に提供されるように、特定の回折次数を除去するために設けられる。ある実施形態では、アパーチャ186は、実質的に、又は主に0次放射のみがセンサ190に到達することを可能にする。ある実施形態では、センサ190は、基板ターゲットTの二次元角散乱スペクトルを測定することができるように、二次元検出器であってもよい。センサ190は、例えば、CCD又はCMOSセンサのアレイであってもよく、及び例えば1フレームにつき40ミリ秒の積分時間を用いてもよい。センサ190を用いて、単一波長(又は狭い波長範囲)の再誘導放射の強度、複数の波長で別々の、又はある波長範囲にわたって積分された強度を測定してもよい。さらに、センサを用いて、TM(transverse magnetic)及び/又はTE(transverse electric)偏光の放射の強度、及び/又はTM偏光放射とTE偏光放射との間の位相差を別々に測定してもよい。
[0102] 任意選択的に、光学要素180は、センサ230(例えば、CCD又はCMOSセンサ)上に基板W上のターゲットの像を形成するために、回折ビームの少なくとも一部を測定分岐200に提供する。測定分岐200は、メトロロジ装置の焦点を合わせる(すなわち、基板Wが対物系160と焦点が合うことを可能にする)などの様々な補助機能のため、及び/又は導入部で述べられたタイプの暗視野結像のために使用することができる。
[0103] 格子の異なるサイズ及び形状に対してカスタマイズされた視野を提供するために、調整可能視野絞り300が、放射源110から対物レンズ160へのパス上のレンズ系140内に設けられる。視野絞り300は、アパーチャ302を含み、及び照明スポットがアパーチャ302の像となるように、ターゲットTの面と共役な面内に位置する。像は、拡大係数に従って拡大されてもよく、又はアパーチャ及び照明スポットは、1:1のサイズ関係にあってもよい。照明を異なるタイプの測定に適応できるようにするためには、アパーチャプレート300は、ディスク(これは、所望のパターンを適所に持ってくるために回転する)の周囲に形成される幾つかのアパーチャパターンを含んでいてもよい。代替的に、又は追加的に、同じ効果を達成するために、プレート300の1セットが、設けられ、交換されてもよい。追加的に、又は代替的に、変形可能なミラーアレイ又は透過型空間光変調器などのプログラマブルアパーチャデバイスも使用することができる。
[0104] 一般的に、ターゲットは、Y軸と平行に、又はX軸と平行に伸びるそれの周期構造フィーチャとアライメントされる。それの回折挙動に関して、Y軸と平行な方向に延在するフィーチャを備えた周期構造は、X方向に周期性を有するが、X軸と平行な方向に延在するフィーチャを備えた周期構造は、Y方向に周期性を有する。両方向で性能を測定するために、両方のタイプのフィーチャが、一般に設けられる。分かりやすくするために、ライン及びスペースへの言及があるが、周期構造は、ライン及びスペースから形成される必要はない。さらに、各ライン及び/又はライン間のスペースは、より小さなサブ構造から形成された構造であってもよい。さらに、周期構造は、一度に二次元の周期性を有して形成されてもよい(例えば、周期構造は、ポスト及び/又はビアホールを含む)。
[0105] 図8は、一般的なターゲットTの平面図と、図7の装置の照明スポットSの範囲を図示する。周囲の構造の干渉を受けない回折スペクトルを取得するためには、ターゲットTは、ある実施形態では、照明スポットSの幅(例えば直径)よりも大きい周期構造(例えば格子)である。スポットSの幅は、ターゲットの幅及び長さよりも小さくてもよい。つまり、ターゲットは、照明によって「アンダーフィル」され、及び回折信号は、基本的に、ターゲット自体の外側で、プロダクトフィーチャなどからの信号を含まない。これは、ターゲットの数学的再構築を、それを無限と見なすことができるので、単純化する。
[0106] 図9は、メトロロジを用いて取得された測定データに基づいて、ターゲットパターン30’の関心のある1つ又は複数の変数の値の決定のプロセス例を模式的に描く。検出器190によって検出された放射は、ターゲット30’の測定放射分布108を提供する。
[0107] あるターゲット30’に関して、放射分布208は、例えば数値マクスウェル解法210を用いて、パラメータ化数学モデル206から計算/シミュレーションすることができる。パラメータ化数学モデル206は、ターゲットを構成する、及びターゲットに関連する様々な材料の層の例を示す。パラメータ化数学モデル206は、変動し得る、及び導出され得る検討中のターゲット部分のフィーチャ及び層に関する変数の1つ又は複数を包含していてもよい。図9に示されるように、変数の1つ又は複数は、1つ又は複数の層の厚さt、1つ又は複数のフィーチャの幅w(例えばCD)、1つ又は複数のフィーチャの高さh、1つ又は複数のフィーチャの側壁角α、及び/又はフィーチャ間の相対位置(本明細書では、オーバーレイを考察した)を包含していてもよい。図示されないが、変数の1つ又は複数は、限定はされないが、層の内の1つ又は複数の屈折率(例えば、実又は複素屈折率、屈折率テンソルなど)、1つ又は複数の層の吸光係数、1つ又は複数の層の吸収、現像中のレジスト損失、1つ又は複数のフィーチャのフッティング、及び/又は1つ又は複数のフィーチャのラインエッジラフネスをさらに包含していてもよい。幅、長さ、形状又は3Dプロファイル特性の値などの、1D周期構造又は2D周期構造の1つ又は複数のパラメータの1つ又は複数の値が、パターニングプロセス及び/又は他の測定プロセスの知識から再構築プロセスに入力されてもよい。例えば、変数の初期値は、測定されているターゲットに関する、1つ又は複数のパラメータのそれらの予想値(CD、ピッチなどの値など)でもよい。
[0108] 場合によっては、ターゲットは、ユニットセルの複数のインスタンスに分割することができる。その場合のターゲットの放射分布の計算を簡単にするために、モデル206は、ターゲットの構造のユニットセルを用いて計算/シミュレーションを行うように設計することができる(ユニットセルは、ターゲット全体にわたり、インスタンスとして繰り返される)。従って、ターゲットの放射分布を決定するために、モデル206は、1つのユニットセルを用いて計算を行い、及びその結果をコピーして、適切な境界条件を用いてターゲット全体のフィッティングを行うことができる。
[0109] 再構築の際に放射分布208を計算することに加えて、又はそれに替えて、再構築の際に使用するための放射分布のライブラリを作成するために、検討中のターゲット部分の変数の複数のバリエーションを目的として、複数の放射分布208を事前計算することができる。
[0110] 測定放射分布108は、次に、212において、計算放射分布208(例えば、その時点の近くで計算された、又はライブラリから取得された)と比較されて、二者間の差が決定される。差があれば、パラメータ化数学モデル206の変数の1つ又は複数の値の変動の可能性があり、測定放射分布108と放射分布208との間に十分な一致が存在するまで、新しい計算放射分布208が取得され(例えば、演算され、又はライブラリから取得され)、及び測定放射分布108と比較されてもよい。その時点で、パラメータ化数学モデル206の変数の値は、実際のターゲット30’のジオメトリの良好な又は最適の一致を提供する。ある実施形態では、測定放射分布108と計算放射分布208との間の差が許容閾値の範囲内である時に、十分な一致が存在する。
[0111] これらのメトロロジ装置では、測定動作中に基板Wを保持するために、基板サポートが設けられてもよい。基板サポートは、図1の基板テーブルWTと形態が類似していてもよく、又は同一であってもよい。メトロロジ装置がリソグラフィ装置と一体化されたある例では、それは、同じ基板テーブルであってもよい。測定光学系に関連して基板を正確に位置決めするために、粗動及び微動ポジショナが設けられてもよい。例えば関心のあるターゲットの位置を獲得して、且つそれを対物レンズの下の位置に至らせるために、様々なセンサ及びアクチュエータが設けられてもよい。一般的に、基板Wにわたる異なる場所で、ターゲットに対して多くの測定が行われる。基板サポートは、異なるターゲットを獲得するためにX及びY方向に、及び光学系のフォーカスに対するターゲットの所望の場所を取得するためにZ方向に移動させることができる。例えば、実際には光学系が実質的に静止したままでもよく(一般的にX及びY方向において、ただし、場合によってはZ方向においても)、且つ基板のみが移動する場合に、対物レンズが基板に対して異なる場所に移動させられるかのように考えること、及び動作を表現することが便利である。基板及び光学系の相対位置が正しいと仮定すると、原理上、現実の世界においてそれらのどちらが移動しているか、又は両方が移動しているか、又は光学系の一部が、光学系の残りの部分が静止した状態で移動し(例えば、Z及び/又は傾斜方向に)、及び基板が移動する(例えば、X及びY方向に、ただし任意選択的にZ及び/又は傾斜方向にも)という組み合わせかは重要ではない。
[0112] ある実施形態では、ターゲットの測定精度及び/又は感度は、例えば、放射ビームの波長、放射ビームの偏光、放射ビームの強度分布(すなわち、角度又は空間強度分布)などの、ターゲット上に提供された放射ビームの1つ又は複数の特性に対して変動し得る。従って、例えばターゲットの良好な測定精度及び/又は感度を望ましく取得する特定の測定方式を選択することができる。
[0113] 少なくとも1つのパターン転写ステップ(例えば光リソグラフィステップ)を包含するパターニングプロセス(例えばデバイス製造プロセス)をモニタリングするために、パターン形成された基板が検査され、及びパターン形成された基板の1つ又は複数のパラメータが測定/決定される。1つ又は複数のパラメータは、例えば、パターン形成された基板の中又は上に形成された連続する層間のオーバーレイ、例えばパターン形成された基板の中又は上に形成されたフィーチャのクリティカルディメンジョン(CD)(例えば臨界ライン幅)、光リソグラフィステップのフォーカス又はフォーカスエラー、光リソグラフィステップのドーズ又はドーズエラー、光リソグラフィステップの光学収差、配置誤差(例えばエッジ配置誤差)などを包含していてもよい。この測定は、プロダクト基板自体のターゲット上、及び/又は基板上に設けられた専用メトロロジターゲット上で行われてもよい。この測定は、レジストの現像後であるが、エッチングの前に行うことができ、又はエッチング後に行うことができる。
[0114] ある実施形態では、測定プロセスから取得されたパラメータは、測定プロセスから直接決定されたパラメータから導出されたパラメータである。一例として、測定パラメータから取得された導出パラメータは、パターニングプロセスのエッジ配置誤差である。エッジ配置誤差は、パターニングプロセスによって生じた構造のエッジの場所の変動量を提供する。ある実施形態では、エッジ配置誤差は、オーバーレイ値から導出される。ある実施形態では、エッジ配置誤差は、オーバーレイ値とCD値との組み合わせから導出される。ある実施形態では、エッジ配置は、オーバーレイ値と、CD値と、局所変動量(例えば、個々の構造のエッジラフネス、形状非対称性など)に対応する値との組み合わせから導出される。ある実施形態では、エッジ配置誤差は、オーバーレイ及びCDの誤差の組み合わせの極値(例えば、3標準偏差、すなわち3σ)を含む。ある実施形態では、構造を作ることを含む、及び構造と関連したパターニングプロセスによって提供されるパターンのエッチングにより構造の一部を除去することによって構造を「カットする」ことを含むマルチパターニングプロセスにおいて、エッジ配置誤差は、以下の形態を有する(又は以下の項の1つ又は複数を含む):
Figure 0006765435

式中、σは、標準偏差であり、σoverlayは、オーバーレイの標準偏差に対応し、オーバーレイの標準偏差に対応し、σCDUstructuresは、パターニングプロセスで作られた構造のクリティカルディメンジョン均一性(CDU)の標準偏差に対応し、σCDUcutsは、もしあれば、パターニングプロセスで生じたカットのクリティカルディメンジョン均一性(CDU)の標準偏差に対応し、σOPE,PBAは、光近接効果(OPE)及び/又はピッチでのCDと、基準CDとの間の差である近接バイアス平均(PBA)の標準偏差に対応し、及びσLER,LPEは、ラインエッジラフネス(LER)及び/又は局所配置誤差(LPE)の標準偏差に対応する。上記の公式化は、標準偏差と関連するが、分散などの異なる同等の統計的方法で公式化を行うことができる。
[0115] 走査電子顕微鏡、像ベースの測定ツール及び/又は様々な専用ツールの使用を包含する、パターニングプロセスで形成された構造の測定を行う様々な技術が存在する。上述の通り、専用メトロロジツールの高速及び非侵襲的形態は、放射ビームが基板の表面上のターゲット上に誘導され、及び散乱(回折/反射)ビームの特性が測定される形態である。基板によって散乱される放射の1つ又は複数の特性を評価することによって、基板の1つ又は複数の特性を決定することができる。これは、回折ベースメトロロジと称される場合がある。この回折ベースメトロロジの上記のような1つの適用例は、ターゲット内のフィーチャ非対称性の測定におけるものである。これは、例えばオーバーレイの測定として用いることができるが、他の適用例も知られている。例えば、非対称性は、回折スペクトルの正反対の部分を比較すること(例えば、周期格子の回折スペクトルの−1次及び+1次を比較すること)によって測定することができる。これは、上記の通り、及び例えばその全体が本明細書に援用される米国特許出願公開第2006−066855号に記載される通りに行うことができる。回折ベースメトロロジの別の適用例は、ターゲット内のフィーチャ幅(CD)の測定におけるものである。このような技術は、図6〜9について上に記載された装置及び方法を使用することができる。
[0116] ところで、これらの技術は効果的であるが、ターゲット内のフィーチャ非対称性(オーバーレイ、CD非対称性、側壁角非対称性など)を導出する新しい測定技術を提供することが望ましい。この技術は、特別に設計されたメトロロジターゲットにとって、又はおそらくより著しく、デバイスパターンに関して直接フィーチャ非対称性を決定することにとって効果的となり得る。
[0117] 図10を参照して、オーバーレイ実施形態の文脈において、この測定技術の原理を説明する。図10Aでは、ターゲットTの幾何学的に対称なユニットセルが示される。ターゲットTは、ユニットセルのたった1つの物理的インスタンスを含んでもよく、又は図10Cに示されるようにユニットセルの複数の物理的インスタンスを含んでもよい。
[0118] ターゲットTは、特別に設計されたターゲットでもよい。ある実施形態では、ターゲットは、スクライブラインのためのものである。ある実施形態では、ターゲットは、インダイ(in-die)ターゲットであってもよく、すなわち、ターゲットは、デバイスパターンの中(及び従ってスクライブライン間)にある。ある実施形態では、ターゲットは、デバイスパターンフィーチャと同等のフィーチャ幅又はピッチを有し得る。例えば、ターゲットフィーチャ幅又はピッチは、デバイスパターンの最小フィーチャサイズ又はピッチの300%以下、デバイスパターンの最小フィーチャサイズ又はピッチの200%以下、デバイスパターンの最小フィーチャサイズ又はピッチの150%以下、又はデバイスパターンの最小フィーチャサイズ又はピッチの100%以下でもよい。
[0119] ターゲットTは、デバイス構造でもよい。例えば、ターゲットTは、メモリデバイス(これは、多くの場合、以下にさらに説明されるように、幾何学的に対称である、又は幾何学的に対称となり得る1つ又は複数の構造を有する)の一部でもよい。
[0120] ある実施形態では、ターゲットT又はユニットセルの物理的インスタンスは、2400平方ミクロン以下の面積、2000平方ミクロン以下の面積、1500平方ミクロン以下の面積、1000平方ミクロン以下の面積、400平方ミクロン以下、200平方ミクロン以下、100平方ミクロン以下、50平方ミクロン以下、25平方ミクロン以下、10平方ミクロン以下、5平方ミクロン以下、1平方ミクロン以下、0.5平方ミクロン以下、又は0.1平方ミクロン以下の面積を有していてもよい。ある実施形態では、ターゲットT又はユニットセルの物理的インスタンスは、50ミクロン以下、30ミクロン以下、20ミクロン以下、15ミクロン以下、10ミクロン以下、5ミクロン以下、3ミクロン以下、1ミクロン以下、0.5ミクロン以下、0.2ミクロン以下、又は0.1ミクロン以下の基板面と平行な断面寸法を有する。
[0121] ある実施形態では、ターゲットT又はユニットセルの物理的インスタンスは、5ミクロン以下以下、2ミクロン以下、1ミクロン以下、500nm以下、400nm以下、300nm以下、200nm以下、150nm以下、100nm以下、75nm以下、50nm以下、32nm以下、22nm以下、16nm以下、10nm以下、7nm以下又は5nm以下の構造のピッチを有する。
[0122] ある実施形態では、ターゲットTは、ユニットセルの複数の物理的インスタンスを有する。従って、ターゲットTは、一般的に、ここにリストされる高次元を有し得る一方で、ユニットセルの物理的インスタンスは、ここにリストされる低次元を有する。ある実施形態では、ターゲットTは、ユニットセルの50,000以上の物理的インスタンス、ユニットセルの25,000以上の物理的インスタンス、ユニットセルの15,000以上の物理的インスタンス、ユニットセルの10,000以上の物理的インスタンス、ユニットセルの5,000以上の物理的インスタンス、ユニットセルの1000以上の物理的インスタンス、ユニットセルの500以上の物理的インスタンス、ユニットセルの200以上の物理的インスタンス、ユニットセルの100以上の物理的インスタンス、ユニットセルの50以上の物理的インスタンス、又はユニットセルの10以上の物理的インスタンスを含む。
[0123] 望ましくは、ユニットセルの物理的インスタンス又はユニットセルの複数の物理的インスタンスは、集団で、メトロロジ装置のビームスポットを埋める。その場合、測定結果は、基本的に、ユニットセルの物理的インスタンス(又はそれの複数のインスタンス)からの情報のみを含む。ある実施形態では、ビームスポットは、50ミクロン以下、40ミクロン以下、30ミクロン以下、20ミクロン以下、15ミクロン以下、10ミクロン以下、5ミクロン以下、又は2ミクロン以下の断面幅を有する。
[0124] 図10Aのユニットセルは、基板上で物理的にインスタンスが作成された、又は作成される少なくとも2つの構造を含む。第1の構造1000は、ラインを含み、及び第2の構造1005は、楕円型形状を含む。もちろん、第1及び第2の構造1000、1005は、描かれたものとは異なる構造でもよい。
[0125] さらに、この例では、第1の構造1000と第2の構造1005との間の、それらの予想位置からの相対的シフトが、オーバーレイエラーを有するような基板上への別々の転写により、存在し得る。この例では、第1の構造1000は、第2の構造1005よりも基板上の高い層に位置する。従って、ある実施形態では、第2の構造1005は、パターニングプロセスの第1の実行において第1の下層で製造することができ、及び第1の構造1000は、パターニングプロセスの第2の実行において、第1の下層よりも上の第2の層で製造することができる。ここで、第1及び第2の構造1000、1005は、異なる層に位置する必要はない。例えば、ダブルパターニングプロセス(例えば、それの一部としてエッチングプロセスを包含する)において、第1及び第2の構造1000、1005は、基本的に単一パターンを形成するために同じ層で製造され得るが、それでもやはり、同じ層内のそれらの相対的配置の観点から、「オーバーレイ」問題が存在し得る。この単一層の例では、第1及び第2の構造1000、1005の両方が、例えば、第1の構造1000に関して図10Aに示されるようなラインの形態を有し得るが、第1のパターン転写プロセスによって既に基板上に設けられた第2の構造1005のラインは、第2のパターン転写プロセスで設けられる構造1000のラインでインタリーブされ得る。
[0126] 重大なことに、ユニットセルは、軸又は点に対して幾何学的対称性を有する、又は有することが可能である。例えば、図10Aのユニットセルは、例えば軸1010に対して鏡映対称性を有し、及び例えば点1015に対して点/回転対称性を有する。同様に、図10Cにおけるユニットセルの物理的インスタンス(及び従って、ユニットセルの複数の物理的インスタンスの組み合わせ)が、幾何学的対称性を有することが分かる。
[0127] ある実施形態では、ユニットセルは、ある特定のフィーチャ(オーバーレイなど)に関して幾何学的対称性を有する。本明細書における実施形態は、ユニットセルが幾何学的に対称である時にオーバーレイが0であるユニットセルに注目する。しかし、代わりに、ユニットセルは、ある特定の幾何学的非対称性に関してオーバーレイが0であってもよい。その場合、ユニットセルが、ある特定の幾何学的非対称性を有する時にオーバーレイが0であるユニットセルを説明するために、適切なオフセット及び演算が用いられる。適切な方法で、ユニットセルは、特定のフィーチャ値に応じて、対称性の変化(例えば、非対称になる、又はさらに非対称になる、又は非対称状態から対称になる)が可能であるべきである。
[0128] 図10Aの例では、ユニットセルは、オーバーレイが0の場合に幾何学的対称性を有する(ただし、それは、オーバーレイが0である必要はない)。これは、第1の構造1000のラインが、第2の構造1005の楕円型形状に対して均等にアライメントされる(及びこの均等なアライメントは、少なくとも部分的に、ユニットセルが図10Aに示されるような幾何学的対称性を有することを可能にする)ことを示す矢印1020及び1025によって表される。従って、この例では、ユニットセルが幾何学的対称性を有する時に、オーバーレイが0である。しかし、オーバーレイにエラーがあると(例えば、非ゼロオーバーレイ)、ユニットセルは、もはや幾何学的に対称ではなく、及び当然ながら、ターゲットは、もはや幾何学的に対称ではない。
[0129] さらに、ターゲットがユニットの複数の物理的インスタンスを含む場合、ユニットセルのインスタンスは、周期的に配置される。ある実施形態では、ユニットセルのインスタンスは、格子に配置される。ある実施形態では、周期的配置は、ターゲット内に幾何学的対称性を有する。
[0130] 従って、この技術では、以下にさらに説明されるように、関心のあるフィーチャ非対称性(例えば、非ゼロオーバーレイ)に関連した幾何学的対称性の変化(例えば、幾何学的非対称性への変化、又はさらなる幾何学的非対称性への変化、又は幾何学的非対称性から幾何学的対称性への変化)を利用して、フィーチャ非対称性(例えば、非ゼロオーバーレイ)を決定することができる。
[0131] 図10Aのユニットセルの物理的インスタンスを含むターゲットは、例えば図7のメトロロジ装置を用いて、放射で照明されることが可能である。ターゲットによって再誘導された放射は、例えば検出器190によって測定することができる。ある実施形態では、再誘導放射の瞳、すなわち、フーリエ変換面が測定される。このような瞳の測定例は、瞳像1030として描かれる。瞳像1030は、ダイヤモンド型形状を有するが、それは、そのような形状を有する必要はない。本明細書における瞳及び瞳面という用語は、文脈上他の意味に解釈すべき場合(例えば、ある特定の光学系の瞳面が、識別されている場合)を除き、それらのあらゆる語形変化を包含する。瞳像1030は、事実上、再誘導放射の瞳の光学特性(この場合、強度)の観点から特定された像である。
[0132] 便宜上、本明細書の説明は、関心のある光学特性として、強度に注目する。しかし、本明細書の技術は、位相及び/又は反射率などの1つ又は複数の代替又は追加の光学特性と共に使用されてもよい。
[0133] さらに、便宜上、本明細書の説明は、再誘導放射の像、及び具体的には瞳像の検出及び処理に注目する。しかし、再誘導放射の光学特性は、像とは異なるやり方で測定及び表現することができる。例えば、再誘導放射は、1つ又は複数のスペクトル(例えば、波長の関数としての強度)の観点から処理することができる。従って、再誘導放射の検出像は、再誘導放射の光学表現の一例と見なすことができる。従って、瞳面像の場合、瞳像は、瞳表現の一例である。
[0134] さらに、再誘導放射は、偏光又は非偏光であってもよい。ある実施形態では、測定ビーム放射は、偏光放射である。ある実施形態では、測定ビーム放射は、直線偏光される。
[0135] ある実施形態では、瞳表現は、主に又は実質的に、ターゲットからの1次回折の再誘導放射のものである。例えば、放射は、ある特定の次数の放射の80%以上、85%以上、90%以上、95%以上、98%以上、又は99%以上であってもよい。ある実施形態では、瞳表現は、主に又は実質的に、0次再誘導放射のものである。これは、例えば、ターゲットのピッチ、測定放射の波長、及び任意選択的に、1つ又は複数の他の条件が、ターゲットに主に0次(ただし、1つ又は複数のより高次の放射が存在し得る)を再誘導させる場合に生じ得る。ある実施形態では、瞳表現の大部分が、0次再誘導放射である。ある実施形態では、瞳表現は、0次放射及び別々に1次放射のものであり、その後、これらを線形結合(重ね合わせ)することができる。図7のアパーチャ186を用いて、放射のある特定の次数、例えば0次を選択することができる。
[0136] 第1及び第2の構造1000、1005の幾何学的に対称なユニットセルに対応した瞳像1030に関して、強度分布が、瞳像内で基本的に対称である(例えば、幾何学的構造と同じ対称型を有する)ことが分かる。これは、瞳像1030から対称強度分布部分を除去することにより(これにより、導出瞳像1035が得られる)、さらに確認される。対称強度分布部分を除去するために、ある特定の瞳像ピクセル(例えば、ピクセル)は、その特定の瞳像ピクセルの強度から、対称的に位置する瞳像ピクセルの強度を減算することによって、及びその逆によって、対称強度分布部分を除去することができる。ある実施形態では、このピクセルは、検出器(例えば、検出器190)の複数のピクセルに対応し得るが、そうである必要はなく、例えば、瞳像ピクセルは、検出器の複数のピクセルでもよい。ある実施形態では、それを挟んでピクセル強度が減算される対称点又は対称軸は、ユニットセルの対称点又は対称軸に対応する。従って、例えば、瞳像1030を考察して、対称強度分布部分は、例えば、示されたその特定のピクセルの強度Iから、対称的に位置するピクセル(すなわち、軸1032に対して対称的に位置する)の強度I’を減算することによって除去することができる。従って、対称強度部分が除去された状態の、ある特定のピクセルの強度Sは、S=I−I’である。これは、瞳像の複数のピクセル、例えば瞳像の全ピクセルに対して繰り返されてもよい。導出瞳像1035に見られるように、対称ユニットセルに対応した強度分布は、基本的に、完全対称である。従って、対称ユニットセルジオメトリ(及び該当する場合、ユニットセルのインスタンスの特定の周期性)を有した対称ターゲットが、メトロロジ装置によって測定される対称瞳応答をもたらす。
[0137] ここで図10Bを参照し、図10Aに描かれたユニットセルに対するオーバーレイのエラーの一例が、描かれている。この場合、第1の構造1000は、第2の構造1005に対してX方向にシフトされる。具体的には、第1の構造1000のラインの中心に置かれた軸1010が、図10Bにおいて軸1045へと右にシフトしている。従って、X方向にオーバーレイのエラー1040(すなわち、X方向オーバーレイエラー)が存在する。もちろん、第2の構造1005は、第1の構造1000に対してシフトされる可能性があり、又は両方が、互いにシフトされる可能性がある。いずれにせよ、その結果は、X方向オーバーレイエラーである。しかし、このユニットセル配置から認識すべきであるように、第1の構造1000と第2の構造1005との間のY方向の単なる相対的シフトは、このユニットセルの幾何学的対称性を変えない。しかし、適切な幾何学的配置を用いて、2方向の、又はユニットセルの部分の異なる組み合わせ間のオーバーレイは、対称性を変更することができ、及び以下にさらに説明されるように、決定されることも可能である。
[0138] 図10Aのユニットセルの公称物理的構成からのユニットセルの物理的構成の変化の結果として、及びオーバーレイのエラー1040によって表されるように、ユニットセルが、幾何学的に非対称となる結果となる。これは、第2の構造1005の楕円型形状が第1の構造1000のラインに対して不均等に位置することを示す、異なる長さの矢印1050及び1055から分かる。対称性は、瞳像1030の対称点又は対称軸、すなわち、その場合、ここでは軸1034と示される軸1032に対して調べられる。
[0139] 図10Bのユニットセルの物理的インスタンスは、例えば図7のメトロロジ装置を用いて、放射で照明されることが可能である。再誘導放射の瞳像は、例えば検出器190によって記録することができる。このような瞳像の一例は、瞳像1060として描かれる。瞳像1060は、事実上、強度の像である。瞳像1060は、ダイヤモンド型形状を有するが、それは、そのような形状を有する必要はなく、それは、円形状又はその他の形状でもよい。また、瞳像1060は、瞳像1030と実質的に同じ軸又は座標位置のものである。すなわち、この実施形態では、図10Aのユニットセルの対称軸1010と、図10Bのユニットセルの同じ軸は、瞳像1030、1060の対称軸1032と一致する。
[0140] 第1及び第2の構造1000、1005の幾何学的に非対称なユニットセルに対応した瞳像1060に関して、視覚的に、強度分布が、瞳像内で基本的に対称であるように見える。しかし、瞳像内に、非対称強度分布部分が存在する。この非対称強度分布部分は、ユニットセルの非対称性によるものである。また、非対称強度分布は、大きさにおいて、瞳像の対称強度分布部分よりも、大幅に低い。
[0141] 従って、ある実施形態では、非対称強度分布部分をより効果的に分離するために、対称強度分布部分を瞳像1060から除去することができ、これにより、導出瞳像1065が得られる。導出瞳像1035の取得と同様に、ある特定の瞳像ピクセル(例えば、ピクセル)は、上記で説明した通り、その特定の瞳像ピクセルの強度から、対称的に位置する瞳像ピクセルの強度を減算することによって、及びその逆によって、対称強度分布部分を除去することができる。従って、例えば、瞳像1060を考察して、対称強度分布部分は、例えば、示されたその特定のピクセルの強度Iから、対称的に位置するピクセル(すなわち、軸1032に対して対称的に位置する)の強度I’を減算して、Sを得ることによって除去することができる。これは、瞳像の複数のピクセル、例えば瞳像の全ピクセルに対して繰り返されてもよい。図10A及び10Bでは、説明目的で、Sの全導出瞳像が描かれている。認識されるように、図10A又は図10Bの導出瞳像の半分は、それの残りの半分と同じである。従って、ある実施形態では、瞳像の半分のみの値を、本明細書で説明されるさらなる処理に使用することができ、及び従って、本明細書のさらなる処理に使用される導出像瞳は、Sの半分だけでもよい。瞳の値。
[0142] 導出瞳像1065に見られるように、非対称ユニットセルの物理的インスタンスを用いて測定された強度分布は、対称ではない。領域1075及び1080に見られるように、対称強度分布部分が除去されると、目に見える非対称強度分布部分が存在する。上記の通り、全導出瞳像1065が示され、及び従って非対称強度分布部分が、両半分(各半分において、大きさ及び分布の観点から、それらは互いに等しいが)に示される。
[0143] 従って、幾何学的ドメインの非対称性は、瞳の非対称性に対応する。従って、ある実施形態では、ユニットセルの物理的インスタンスの固有の幾何学的対称性を有する、又はそれが可能な周期ターゲットの光応答を用いて、ユニットセルの物理的インスタンスの幾何学的対称性の変化を生じさせる(例えば、非対称性を生じさせる、又はさらなる非対称性を生じさせる、又は非対称ユニットセルが対称となるようにする)物理的構成の変化に対応したパラメータを決定する方法が提供される。具体的には、ある実施形態では、メトロロジ装置によって測定される瞳におけるオーバーレイ起因非対称性(又はそれの欠如)を利用して、オーバーレイを決定することができる。すなわち、瞳非対称性を用いて、ユニットセルの物理的インスタンス内の、及び従ってターゲット内のオーバーレイが測定される。
[0144] ユニットセルの幾何学的非対称性を生じさせる物理的構成の変化に対応したパラメータの決定方法を考察するために、瞳像のピクセルの強度を、そのピクセルに影響を与えるターゲットの物理的特性の観点から考察することができる。それを行うために、オーバーレイの例が考察されるが、これらの技術及び原理は、ユニットセルの幾何学的非対称性(例えば、非対称側壁角、非対称底壁傾斜、コンタクトホールの楕円度など)を生じさせる物理的構成の変化に対応した別のパラメータに拡張することができる。
[0145] 図10A及び10Bのユニットセルを再び参照し、瞳像1060のピクセルの強度I、I’は、ユニットセルの異なる物理的特性に起因する強度コンポーネントの組み合わせとして分析的に評価されることが可能である。具体的には、対称ユニットセルから非対称ユニットセルへの物理的構成の変化を評価して、どのように強度分布が変化するか(具体的には瞳像内で)を決定することができる。
[0146] 従って、これらの原理を例示する非常に単純な例では、ユニットセルプロファイルの物理的構成の幾つかの変化を評価することができる(ただし、もちろん、より多くの、又は異なる物理的構成の変化が生じ得る)。考察される物理的構成の変化の1つは、Δxで表されるZ方向の構造1000の高さの変化である。しかし、重大なことに、この高さの変化は、一般に、ユニットセルの物理的インスタンスにわたり均一である。すなわち、Δxは、対称軸又は対称点の一方の側において、対称軸又は対称点の別の側と同じ変化のユニットセルの物理的構成をもたらす。同様に、CD、側壁角などの変化などの他の物理的構成の変化も、一般に、ユニットセルの物理的インスタンスにわたり均一であり、及び従って、対称軸又は対称点の一方の側において、対称軸又は対称点の別の側と同じ変化のユニットセルの物理的構成を生じさせる。従って、便宜上、Δxのみが考察されるが、それは、ユニットセルにわたり均一な多数の他の物理的構成の変化を代表するものである。
[0147] 関心のあるユニットセルの物理的構成の変化の別の1つは、構造1000と構造1005との間の相対的シフト、つまり、オーバーレイの変化1040である。このオーバーレイシフトは、Δxovと呼ばれる。もちろん、オーバーレイは、異なる、又は追加の方向で考察されることが可能である。重大なことに、Δxovは、対称軸又は対称点の一方の側において、対称軸又は対称点の別の側とは異なるユニットセルの物理的構成をもたらし、対称ピクセルの各ペアは、オーバーレイに関する情報を有する。重大なことに、ほとんどのターゲットプロファイルパラメータ(CD、高さなど)の変化が、瞳において対称変化を引き起こす(及び従って、対称パラメータと見なされることが可能である)のに対して、オーバーレイの変化は、測定瞳において非対称変化をもたらす。従って、オーバーレイの変化は、非対称瞳応答を生み出す。さらに、全てではないが、ほとんどの他のユニットセルプロファイルパラメータは、ユニットセルの非対称性又は瞳応答を生じさせない。しかし、それらは、測定オーバーレイ値に影響を与え得る。下記に説明される通り、1次に対して、他のユニットセルプロファイルパラメータは、影響を及ぼさない場合がある。ある実施形態では、2次又はそれより高次に対して、他のユニットセルプロファイルパラメータは、オーバーレイ値の決定に影響を与える。従って、下記により詳細に説明されるように、瞳非対称性を測定することによって、そこからオーバーレイを決定することができる。
[0148] 具体的には、どのように測定瞳非対称性からオーバーレイを決定することができるかを評価するために、瞳像1060のピクセルiの強度Iを、
Figure 0006765435

と定義することができ、式中、Iは、照明放射に起因するベース強度であり、及びa、e、f及びgは、係数である。従って、同様に、瞳像1060の相補対称ピクセルの強度I’は、
Figure 0006765435

と定義することができ、式中、係数a’、b’、c’、d’、e’及びf’は、相補対称ピクセルの強度I’に固有のものであり、及び瞳像1060のピクセルの強度Iの係数a、b、c、d、e及びfに相当する。
[0149] 瞳像1060の対称ピクセル間の強度の差
Figure 0006765435

は、
Figure 0006765435

として評価することができる。例えば対称性により、方程式(3)に見られるように、eΔxなどの対称パラメータのみを含有し得る全ての項が消えることが発見された。さらに、例えば対称性により、偶数乗のオーバーレイを有する項が、対称的に位置付けられたピクセルにとって等しいことが発見され、及び従って、
Figure 0006765435

などの項が同様に消える。これにより、対称パラメータとオーバーレイの組み合わせを有する項と、奇数乗の(例えば、1、3、5、7などの指数の)オーバーレイのみを有する項とが残される。
[0150] 上記の方程式(3)では、強度の差Sが、主に、aΔxovに従属することが発見された。すなわち、強度の差Sは、大部分が、オーバーレイに線形従属し、又はより重大なことに、オーバーレイは、大部分が、強度(具体的には、強度の差S)に線形従属する。従って、ピクセルの強度の組み合わせは、適切な変換係数と線形結合されると、オーバーレイの良好な推定を生じさせることができる。
[0151] 従って、ある実施形態では、適切に重み付けされた(重み付け自体が、オーバーレイへの強度の変換係数のように振る舞い、又は強度からオーバーレイへの変換係数と結合させることができる)ピクセルの強度の組み合わせからオーバーレイを決定することができることが発見された。ある実施形態では、オーバーレイ信号を、
M=Σ (4)
と記述することができ、式中、オーバーレイ信号Mは、測定瞳の信号コンポーネントSの重み付け結合であり、及びwは、各信号コンポーネントSのそれぞれの重みであり(及び重みは、信号コンポーネントとオーバーレイとの間の変換係数として機能し、上記の通り、代わりに、変換係数が、信号コンポーネントをオーバーレイに変換するように機能しない重みと組み合わせて使用されることも可能である)。ある実施形態では、重みwは、大きさがオーバーレイに関連したベクトルである。上記の通り、信号コンポーネントSは、測定瞳の半分に対して決定することができる。ある実施形態では、信号コンポーネントSが、対称ピクセル(N)の全てのペア(N/2)に対して実質的に同じ大きさを有する場合、信号コンポーネントSは、総オーバーレイを得るための以下の式:
Figure 0006765435

に従って、平均値が求められ、及び信号コンポーネントSの合計からオーバーレイへの変換係数Cと結合されてもよい。従って、ある実施形態では、重みは、2つの役割を有し得る(1つは、ピクセルペアにつき、オーバーレイのそれの測定に関する信頼として、及び他方の役割は、信号コンポーネントの光学特性(例えば強度レベル、例えば階調)の値をオーバーレイ値(例えばナノメートルを単位として)に変換することである)、上記で説明した通り、第2の役割は、変換係数に委託することができる。
[0152] しかし、例えば信号コンポーネントSが、全ての対称ピクセルペアに対して実質的に同じ大きさを持たない場合、測定瞳の全ピクセルを等しく重み付けすることは、低信号対雑音比(不十分な精度)をもたらし得る。従って、オーバーレイに対して感度が高いピクセルを、オーバーレイの演算により大きく寄与するように重み付けすることが望ましい。従って、ある実施形態では、オーバーレイに対して感度が高いピクセルは、オーバーレイに対して感度の低いピクセル(事実上、非アクティブピクセル)とは異なる(例えば、より高い)重みを得る。上記の通り、導出瞳1065の領域1075及び1080のピクセルは、オーバーレイに対して比較的高い感度を有するが、領域1075及び1080のピクセルと比較して強度が低い又は0の導出瞳1065の残りのピクセルは、オーバーレイに対して感度が低い(及びそれに応じて、オーバーレイの決定に対して低い寄与を持つように重み付けされるべきである)。
[0153] ある実施形態では、重みは、効果的に、方程式(3)のaΔxov項に対して決定される。ある実施形態では、aΔxov項、並びにbΔxovΔx(及び一般的に、CD、側壁角などの他のパラメータに関する他の同等の項)に対して決定されるように、重みを拡張することができる。しかし、この演算は、効果的に方程式(3)のaΔxov項に対してのみ重みを決定することよりも複雑となり得る。また、非線形プロセスに対するロバスト性(対称パラメータに関する)と、オーバーレイの決定の精度(すなわち、決定された値が、同じ実際のオーバーレイの各決定に対して、どれくらい近いかの観点から)との間のトレードオフが存在する。従って、この演算を用いて、ロバスト性の向上のための精度の犠牲が存在し得る。従って、精度を向上させるため(例えば、線形項の影響を最大にし、及び非線形項を抑制する)、ロバスト性を向上させるため(例えば、非線形項を最大にする)、又は両者のバランスを見つけるために、最適化を行うことができる。しかし、いずれにせよ、関連の重み付けと線形結合された強度の組み合わせの使用は、それが、単に、瞳の獲得と、方程式(4)の単純な演算とを必要とするだけなので、オーバーレイの迅速な決定をもたらすことができる。
[0154] ある実施形態では、より高次の項が有意となる場合、非線形解法を採用して、
Figure 0006765435

及び/又は他のより高次の項を有する方程式(3)を解くことができる。認識されるように、非線形解法は、単純に、測定瞳の各信号コンポーネントSを、各信号コンポーネントSのそれぞれの重みwで乗算し、その後、それらの全てを合計することよりも複雑となり得る。また、ここでも、非線形プロセスに対するロバスト性と、オーバーレイの決定の精度(すなわち、決定された値が、同じ実際のオーバーレイの各決定に対して、どれくらい近いかの観点から)との間のトレードオフが存在する。従って、この演算を用いて、ロバスト性の向上のための精度の犠牲が存在し得る。従って、精度を向上させるため、及び/又はロバスト性を向上させるために、最適化を行うことができる。
[0155] 従って、オーバーレイによって生じるユニットセルの幾何学的非対称性がもたらす非対称性強度分布の実現により、この非対称強度分布に注目した分析を用いて、オーバーレイのエラーを決定することができる。従って、オーバーレイに関連したターゲットの物理的構成の変化により生じた非対称強度分布からオーバーレイを決定する技術をこれより説明する。
[0156] 図11を参照し、重みを決定する方法が模式的に描かれる。重みの決定を可能にするために、図9に関して上に記載された再構築技術が利用される。つまり、ある実施形態では、CDの再構築を用いて、非対称ユニットセルの物理的インスタンスの瞳像からオーバーレイ信号が分離される。
[0157] 図11の方法は、2つのプロセスを含む。第1のプロセス1100は、パターニングプロセスの一部として基板上で露光されたターゲットの(及び従って、その中のユニットセルの1つ又は複数の物理的インスタンスの)公称プロファイルを導出するために、ターゲットのCD及び/又は1つ又は複数の他のプロファイルパラメータに対して再構築技術を用いることを含む。ターゲットの公称プロファイルを用いて、プロセス1110において、再構築技術の基本エンジンを用いて重み付けが導出される。次に、重み付けを用いて、図12に関連してさらに記載されるように、測定瞳からオーバーレイを導出することができる。
[0158] 従って、プロセス1100において、ターゲットとして上に設けられた、関心のあるユニットセルの1つ又は複数の物理的インスタンスを有する基板の測定1130が取得される。ある実施形態では、測定は、エッチング後のターゲットのものである。ある実施形態では、測定は、現像後であるが、エッチング前のターゲットのものである。ある実施形態では、ターゲットは、デバイス構造である。ある実施形態では、測定は、図7のメトロロジ装置などのメトロロジ装置を用いて行うことができ、又は既に行われたものである。例えば、ターゲットは、図10A又は図10Bのユニットセルの物理的インスタンス(例えば、単一インスタンス)、又は図10Cに示されるような複数の隣接するインスタンスを含んでいてもよい。ある実施形態では、複数のターゲットの(及び従ってユニットセルの複数の物理的インスタンスの)測定が、取得される。ある実施形態では、測定は、基板にわたり分布した複数のターゲットのものである。ある実施形態では、各々が1つ又は複数のターゲット(各ターゲットが、ユニットセルの1つ又は複数の物理的インスタンスを有する)を備えた複数の基板が、測定される。従って、ある実施形態では、放射分布108が、各測定ターゲットに対して取得される。
[0159] 次に、図9に、及び図9に関して記載された再構築プロセスなどの、1100の再構築プロセスを用いて、図9のプロファイル206に相当する、ユニットセルの物理的インスタンスの公称プロファイルを導出する。再構築プロセスは、再構築プロセスを開始し、及び容易にするために、ユニットセルの物理的インスタンスの予想プロファイル1120を取得する。ある実施形態では、1つ又は複数の基板にわたるターゲットのプロファイルの平均から、導出公称プロファイルを取得する。例えば、各ターゲットの放射分布108を処理することによって、ターゲットのそのインスタンスの特定のプロファイルを導出することができ、次に、ターゲットの複数のインスタンスのプロファイルの平均値をまとめて求めることによって、公称プロファイルを導出することができる。ある実施形態では、公称プロファイルは、ターゲットの幾何学的プロファイルを少なくとも含む。ある実施形態では、幾何学的プロファイルは、3Dプロファイルである。ある実施形態では、公称プロファイルは、物理的ターゲットを構成する1つ又は複数の層の1つ又は複数の材料特性に関する情報を含む。
[0160] 従って、ある実施形態では、基板にわたる、及び任意選択的に2つ以上の基板上のターゲットの多数のインスタンスの測定から取得されたターゲット(及び従って、ユニットセル)のプロファイルの様々なパラメータの値の重心として、公称プロファイルを見なすことができる。しかし、ある実施形態では、公称プロファイルは、異なる形態を有していてもよく、及びより具体的であってもよい。例えば、公称プロファイルを、ターゲットの1つ又は複数の特定のインスタンスに関して定義することができる(例えば、複数の基板の同じターゲット場所からの値を用いることによって)。別の例として、公称プロファイルを、ある特定の基板に関して定義することができる(例えば、その基板のみからの値を用いることによって)。ある実施形態では、図12のプロセスの一部として、ある特定のターゲット及び/又は基板に関して公称プロファイルを調節することができる。例えば、ターゲット及び/又は基板が、図12のプロセスの一部として測定される場合、再構築技術を測定データと共に使用することにより、そのターゲット及び/又は基板の公称プロファイルを微調節することができ、次に、微調節された公称プロファイルを本明細書の公称プロファイルとして用いることにより、重みを決定することができ、次に、これらの重みを同じ測定データと共に用いることにより、1つ又は複数のオーバーレイ値を得ることができる。
[0161] 次に、再構築された公称プロファイル1140は、プロセス1110に提供される。従って、ある実施形態では、プロセス1110は、ターゲットの導出公称プロファイル、例えば測定データから導出された、デバイスのユニットセルの幾何学的エッチング後プロファイルを使用する。ある実施形態では、公称プロファイルは、測定されたユニットセルに従ってパラメータ化されたモデル206のような、パラメータ化モデルの形態でもよい。従って、ある実施形態では、プロセス1110は、ユニットセルの導出プロファイルモデル、例えば、測定データから導出された、デバイスのユニットセルの物理的インスタンスの幾何学的エッチング後プロファイルのモデルを使用する。
[0162] プロセス1110において、本明細書に記載される再構築技術の基本エンジンを、導出プロファイル又は導出プロファイルモデルと共に使用することにより、重み付けを導出する。ある実施形態では、導出プロファイルモデル、又は導出プロファイルから導出された導出プロファイルモデルを用いて、ユニットセルにおけるオーバーレイに感度が高い瞳ピクセルを決定する。具体的には、ある実施形態では、瞳応答のオーバーレイに対する感度が、公称プロファイルの引き起こされたオーバーレイの変化に対する瞳応答の変化を決定するために、シミュレーション(例えば、マクスウェル解法)を用いて決定される。
[0163] これは、ある特定量のオーバーレイ変化がモデルにおいて引き起こされる(例えば、1nm)ように、導出プロファイルモデルを変化させ、導出プロファイルモデルの他の全てのパラメータ/変数を変化させないことにより、達成することができる。これは、効果的に、対称ユニットセルを非対称にし、又は既に非対称のユニットセルエルが対称となれるようにして)、対称性を変化させる(さらに非対称にすること、又は非対称状態から対称にすることを包含する)。
[0164] 次に、オーバーレイ変化が引き起こされた導出プロファイルモデルに基づいて、メトロロジ装置で予想されるような瞳(例えば、ある測定ビーム波長、測定ビーム偏光、測定ビーム強度などの放射に対して)を導出することができる(例えば、マクスウェル解法、ライブラリサーチ又は他の再構築技術を用いて)。ユニットセルの物理的インスタンスがビームスポットよりも小さい場合、再構築は、ユニットセルの物理的インスタンスで満たされているかのようにビームスポットを扱うことができる。ある実施形態では、導出瞳は、シミュレーション瞳像1060及び/又はシミュレーション瞳像に基づいた導出瞳像1065でもよい。
[0165] 次に、導出瞳を用いて、オーバーレイ変化に対する複数の瞳ピクセルにおける強度の感度を、例えば、オーバーレイが引き起こされないユニットセルの導出瞳(例えば、オーバーレイが引き起こされないユニットセルの導出瞳は、シミュレーション瞳像1030及び/又はシミュレーション瞳像に基づいた導出瞳像1035でもよい)と比較することによって、決定することができる。ある実施形態では、これらの感度は、重み付けの基礎を成す。
[0166] ある実施形態では、瞳のピクセル(及び従って、ピクセル強度、信号コンポーネントSなど)を、ベクトルとして表現することができる。その場合、ある実施形態では、重み付けを、モデリングで生成されたヤコビアン行列から導出することができる。ある実施形態では、重み付けを、モデリングで生成されたヤコビアン行列のムーア・ペンローズ擬似逆行列から導出することができる。従って、重みは、効果的に、方程式(3)のaΔxov項に対して決定される。ヤコビアン行列、又はヤコビアン行列のムーア・ペンローズ擬似逆行列から導出された重み付けは、比較的少量のオーバーレイ変動(例えば、±3nmの範囲内、又は±4nmの範囲内、又は±5nmの範囲内)に対して上手く当てはまるように思われる。
[0167] ある実施形態では、aΔxov項、並びにbΔxovΔx(及び一般的に、CD、側壁角などの他のパラメータに関する他の同等の項)に対して決定されるように、重みを拡張することができる。この場合、重み付けは、ヤコビアン行列に加えて、モデリングで生成されたヘッセ行列であり、又はそれから導出することができる。ヘッセ行列は、別の(対称)パラメータ(CDなど)のある量の変化により、オーバーレイに対する応答がどのように変化するかを示す。従って、このようなパラメータ毎に、ヘッセ行列において1つの列が存在する。ある実施形態では、(より)ロバストになるように、重みは、ユニットセルが高感度を有する列(パラメータ)に対して、それらがより直交となるように変更されてもよい。より直交となるために、1つ又は複数の高感度の列をヤコビアン行列に連結することができ、次に、ムーア・ペンローズ擬似逆行列を、ヤコビアン行列に連結された、ヘッセ行列からの1つ又は複数の列を有したこのヤコビアン行列から計算することができる。この計算から、重みが得られる。しかし、この演算は、より複雑となることが可能で、及び従って、オーバーレイ値が実際には、ヤコビアン行列(のムーア・ペンローズ擬似逆行列)から導出された重み付けが良好な結果を示すオーバーレイ変動範囲を超えることが予想される状況に適し得る。
[0168] ある実施形態では、方程式(3)の他の項に対して決定されるように、重みを拡張することができる。その場合、重み付けは、ヤコビアン行列に加えて、モデリングで生成された3次導関数であり、又はそれから導出することができる。
[0169] 上記の通り、公称プロファイルは、ターゲット又は基板毎に微調節された公称プロファイルでもよい。例えば、図12のプロセスの一部として、特定のターゲット又は基板が測定される場合、再構築技術を測定データと共に使用することによって、そのターゲット又は基板の公称プロファイルを微調節することができる。ここで、この微調節に応じて、重みを(再)決定することができ、及び/又は行われる重み付けのタイプの選択(例えば、ヤコビアン行列、又はヤコビアン行列及びヘッセ行列の組み合わせ)を行うことができる。例えば、微調節されなかった公称プロファイルに基づく重みは、Δxの影響を抑制するために以前は選択されたかもしれないが、微調節が、ターゲット及び/又は基板のΔxの識別及び更新を行った場合、Δxの影響は、抑制される必要がないかもしれない。従って、ロバスト性よりも精度をより好む重みが、選択され得る。
[0170] 従って、プロセス1110から、重みwの集まり(例えばベクトル)を出力することができる。重みw自体は、オーバーレイへの強度の変換係数として機能することができ、又はそれらを、強度からオーバーレイへの変換係数(この変換係数は、同じモデリングの一部として導出することができる)と結合することができる。瞳像1065から認識されるように、領域1075及び1080のピクセルは、領域1075及び1080の外側のピクセルよりも、オーバーレイに対する比較的高い感度を有し、及び従って、それらの重み付けは、領域1075及び1080の外側のピクセル(これらのピクセルは、オーバーレイに対して比較的低い感度を有する)の重み付けとは著しく異なる(例えば、より高い)。従って、重みが、ユニットセルの1つ又は複数の物理的インスタンスを有するターゲットの測定強度値と結合されると(方程式(4)に従ってなど)、特定のターゲット(ユニットセルの物理的インスタンスを有したデバイスパターンなど)に関するオーバーレイ信号を取得することができる。
[0171] さらに、ターゲットの測定強度値を取得する際に使用する測定方式を構築するために、1つ又は複数の測定パラメータを決定することができる。1つ又は複数の測定パラメータは、ピクセルのオーバーレイ感度に影響を与え得る。例えば、オーバーレイ感度は、異なる測定ビーム波長にわたり変動する。従って、ある実施形態では、1つ又は複数の測定パラメータ(波長、偏光、ドーズ、ターゲットの特定の1つの照明の検出器センサによって取得された幾つかの光学特性の示度数(示度数は、一般的に、ターゲットの測定の平均光学特性値を提供するために平均値が求められる)など)は、モデリングプロセス1110の一部として変動し得る。例えば、ある特定の引き起こされたオーバーレイ変化に関して、1つ又は複数の測定パラメータを調べることによって、例えば、重み付けが1つ又は複数のパラメータのある値に対するものである場合に取得されたオーバーレイと、重み付けが1つ又は複数のパラメータの別の値に対するものである場合に取得されたオーバーレイとの間の残差を、最小に、又は特定の閾値未満に減少させる1つ又は複数の測定パラメータの値を決定することができる。従って、精度を向上させる1つ又は複数の測定パラメータの値を取得することができる。
[0172] さらに、プロセス変動に対するロバスト性は、1つ又は複数の測定パラメータの異なる値にわたって異なる。例えば、具体的には、プロセス変動に対するロバスト性は、測定ビーム波長及び/又は測定偏光の異なる値にわたって異なる。従って、ある実施形態では、重み付けスキームは、少なくとも、プロセス変動に対するロバスト性の欠如の主要なコントリビュータに対処すべきである。従って、精度の向上のために1つ又は複数の測定パラメータの値を決定することに加えて、又はそれに替えて、異なる特定の引き起こされたオーバーレイ変化の値に対して(及び/又はCD、側壁角などの変化などの導出プロファイルモデルの1つ又は複数の他のパラメータの特定の引き起こされた変化に対して)1つ又は複数の測定パラメータを調べることにより、プロセス変動に対する向上したロバスト性を有する重み付けを用いて結果を可能にする1つ又は複数の測定パラメータの値を取得することができる。例えば、異なる量の引き起こされたオーバーレイ変化に対して、1つ又は複数の測定パラメータの様々な値を評価することにより、1つ又は複数の測定パラメータの値に関連付けられた重み付けを用いて、決定されたオーバーレイの最小限の(又は閾値未満の)変動を生じさせる1つ又は複数の測定パラメータの値を決定することができる。もちろん、1つ又は複数の測定パラメータの値の選択において、精度とロバスト性の向上との間のバランスを用いることができる。例えば、精度を目的として決定された1つ又は複数の測定パラメータの値(例えば、精度を測定する性能測定基準に適用される重み)と、ロバスト性の向上を目的として決定された1つ又は複数の測定パラメータの値(例えば、ロバスト性を測定する性能測定基準に適用される重み)との間で、重み付けを適用することができ、次に、例えば、最大の組み合わせや、トップランクの組み合わせなどを選択することができる。そしてもちろん、事実上、測定方式全体の中に複数の異なる測定方式が存在するように、1つ又は複数の測定パラメータの複数の値を決定することができる。複数の値は、1つ又は複数の性能測定基準に従ってランク付けされてもよい。従って、任意選択的に、ユニットセルの1つ又は複数の物理的インスタンスを有するターゲットの測定強度値を取得する際に使用するために、測定方式をプロセス1110から出力することができる。
[0173] さらに、CD、側壁角などの1つ又は複数の非オーバーレイパラメータが、強度信号をオーバーレイにマッピングするために使用される重みに影響を与え得る。上記の通り、この状況における重みを決定する方法例は、ヘッセ行列及び/又は3次導関数を使用することである。従って、ある実施形態では、良好なオーバーレイ値を依然維持するように1つ又は複数の非オーバーレイパラメータを考慮に入れるために、様々な可能な重み付けスキームが可能である。ある実施形態では、オーバーレイの情報を提供するオーバーレイピクセル及びそれらの重み付けを、オーバーレイ決定の精度を目的として最適化することができる。これは、良好なモデルの質、すなわち、非オーバーレイパラメータの良好な推定を必要とする場合がある。ある実施形態では、オーバーレイの情報を提供するピクセル及びそれらの重みを、例えば非オーバーレイパラメータにおけるプロセス変動に対するロバスト性の向上を目的として最適化することができる。これは、精度を犠牲にし得る。
[0174] ある実施形態では、例えば、図9に関連して記載された再構築技術を用いて、1つ又は複数の非オーバーレイパラメータの推定を行い、及びそれらをフィードフォワードして、導出プロファイル又は導出プロファイルモデルを調節することができる。例えば、CD再構築は、基板のある特定の場所のターゲットのCD、及び/又はパターニングプロセス設定(例えば、露光ドーズ、露光フォーカスなど)のある特定の組み合わせのCDを推定し、及びそのCD推定を用いて、導出プロファイル又は導出プロファイルモデルのCDパラメータを調節することができる。ある実施形態では、正確な導出プロファイル又は導出プロファイルモデルパラメータの反復再構築を行うことができる。
[0175] 図12を参照して、幾何学的に対称であることが可能なユニットセルの1つ又は複数の物理的インスタンスを有するターゲットのオーバーレイ値を決定する方法。この方法は、2つのプロセス1200及び1210を含む。プロセス1200は、ユニットセルの1つ又は複数の物理的インスタンスを有するターゲットの測定を取得することを含む。プロセス1210は、プロセス1200からのターゲットの測定に基づいて、測定ターゲットのオーバーレイ値を決定することを含む。
[0176] プロセス1200は、入力として、幾何学的に対称であることが可能な本明細書に記載されるようなユニットセルの1つ又は複数の物理的インスタンスを包含する、測定されるターゲット1220を受け入れる。ある実施形態では、ターゲットの1つ又は複数のインスタンスを備えた基板が、図7のメトロロジ装置などのメトロロジ装置に提供される。
[0177] 任意選択的に、プロセス1200は、入力として、ターゲット用に指定されたある特定の測定方式1230を受け入れる。ある実施形態では、測定方式は、測定ビーム波長、測定ビーム偏光、測定ビームドーズ、及び/又はターゲットの特定の1つの照明のメトロロジ装置の検出器センサによって取得された幾つかの光学特性の示度数から選択された1つ又は複数などの、1つ又は複数の測定パラメータの値を指定することができる。ある実施形態では、測定方式は、各々が1つ又は複数の測定パラメータの値を指定する、複数の測定方式を含んでもよい。測定方式を用いて、ターゲットを測定することができる。
[0178] 次に、プロセス1200は、任意選択的な測定方式に従って、メトロロジ装置を用いてターゲットを測定する。ある実施形態では、メトロロジ装置は、再誘導放射の瞳表現を取得する。ある実施形態では、メトロロジ装置は、瞳像1030(例えば、ターゲットがオーバーレイのエラーを有さない場合)、又は瞳像1060(例えば、ターゲットがオーバーレイのエラーを有する場合)などの瞳表現を生成することができる。従って、ある実施形態では、プロセス1200は、放射の瞳表現などのターゲットからの再誘導放射に関する光学情報1240を出力する。
[0179] 次に、プロセス1210が、光学情報1240を受信し、及び光学情報を処理して、ターゲットのオーバーレイ値1260を決定する。ある実施形態では、プロセス1210は、入力として、図11の方法から決定された重み付け1250を受信し、次に、これらが、光学情報1240から取得された、又は導出された1つ又は複数の光学特性値(例えば強度)と結合される。
[0180] ある実施形態では、プロセス1210(又はプロセス1200)は、光学情報を処理して、光学情報から生オーバーレイ信号を導出することができる。ある実施形態では、生オーバーレイ信号は、光学情報の差分、すなわち、対称軸又は対称点を挟んだ対称ピクセル間の光学特性値の差を含む。ある実施形態では、導出瞳像1035(例えば、ターゲットがオーバーレイのエラーを有さない場合)、又は導出瞳像1065(例えば、ターゲットがオーバーレイのエラーを有する場合)を取得することができる。
[0181] ある実施形態では、重み付け及びターゲットによって再誘導された放射に関する光学情報(例えば、プロセス1200からの光学情報、又は生オーバーレイ信号などのプロセス1200からの光学情報の処理バージョン)を組み合わせて、オーバーレイ値が決定される。ある実施形態では、関連の重み付けと線形結合された再誘導測定ビーム強度の組み合わせの使用は、オーバーレイの迅速な決定をもたらすことができる。例えば、ある実施形態では、オーバーレイ値Mを、各信号コンポーネントSに対してそれぞれの重みwを用いて、生オーバーレイ信号からの信号コンポーネントSの重み付け結合として計算することができる方程式(4)を用いて、オーバーレイ値を導出することができる。
[0182] ある実施形態では、プロセス1200から収集された光学情報を追加的に用いることにより、オーバーレイ以外の1つ又は複数のターゲット関連パラメータを導出することができる。例えば、プロセス1200から収集された光学情報を再構築プロセスで使用することにより、CD、側壁角、底床傾斜などのターゲットの何れか1つ又は複数の幾何学的プロファイルパラメータを導出することができる。従って、ある実施形態では、インダイエッチング後ターゲットなどのターゲットから収集された同じ光学情報セットを用いることにより、オーバーレイ、CD及び/又はターゲット(デバイス構造など)の1つ又は複数の他の幾何学的プロファイルパラメータを決定することができる。
[0183] 上記の通り、強度に注目したが、ある実施形態では、光学特性は、反射率であってもよく、放射は、偏光されてもよく、及び測定は、交差偏光測定であってもよい。例えば、ある直線偏光に露光されたターゲットを、その偏光を用いて、又は異なる偏光で測定することができる。従って、対称ピクセルp及び
Figure 0006765435

(アポストロフィは、対称的な場所を示す)の場合、それらのピクセルの反射率Rは、以下のように測定することができ、
Figure 0006765435

式中、sは、s偏光を示し、及びpは、p偏光を示す。従って、反射率Rssは、ターゲットがs偏光を用いて照明された際に測定されたs偏光放射の反射率Rに対応し、反射率Rspは、ターゲットがp偏光を用いて照明された際に測定されたs偏光放射の反射率Rに対応するなどである。また、これらの測定を、異なる波長で行うことができる。そして、特定の実施形態では、オーバーレイの変化に応答して対称性を変化させる対称ユニットセルのオーバーレイを、合同Rps及びRspから求めることができ、及び決定することができることが発見された。
[0184] さらに、非線形性が、オーバーレイから、及び/又は他のパラメータから生じ得る。上記で説明した通り、特定の非線形性は、重み付けの適切な選択により、例えば、ヘッセ行列及び/又は3次導関数を用いて重み付けを導出することによって、対処することができる。ある実施形態では、非線形性は、非線形解を用いて、ターゲットからの再誘導放射の測定光学情報からオーバーレイを導出することにより、対処することができる。
[0185] ある実施形態では、公称プロファイルを導出するために使用される、上記のような再構築エンジンを用いることによって、オーバーレイを決定することができる。例えば、導出公称プロファイル及び/又は導出公称プロファイルモデルに基づいたモデルから機能する非線形解法を用いて、関心のあるターゲットからの再誘導放射から予想される光学情報のシミュレーションバージョンを導出することができ、これは、関心のあるターゲットの測定光学情報と比較することができる。上記の通り、関心のあるターゲットは、対称となることができ、及びオーバーレイの影響下で対称性を変化させるユニットセルの1つ又は複数の物理的インスタンスを含む。その場合、ある閾値内の一致が存在しなければ、幾何学的プロファイルパラメータ(例えばオーバーレイ)は、変動する可能性があり、及び閾値内の一致が存在するまで、光学情報のシミュレーションバージョンを、再計算し、及び測定光学情報と比較することができる。同様に、関心のあるターゲットの測定光学情報を、関心のあるターゲットからの再誘導放射から予想される光学情報のライブラリと比較することができる(このライブラリは、一般的に、非線形解法を用いて導出される)。その場合、ある閾値内の一致が存在しなければ、幾何学的プロファイルパラメータ(例えばオーバーレイ)は、変動する可能性があり、及び閾値内の一致が存在するまで測定光学情報と比較される光学情報のシミュレーションバージョンを求めて、ライブラリを再度参照することができる。
[0186] ある実施形態では、関心のあるターゲットからの測定光学情報と共に、再構築エンジンを使用することは、上記のように(例えば、各ピクセルの光学特性値から、対称点又は対称軸を挟んで対称的に位置するピクセルの光学特性値を減算することによって)放射の対称分布が除去された測定光学情報を使用する。従って、光学情報は、実質的に、放射の非対称分布のみに関連する。同様に、光学情報のシミュレーション又はライブラリバージョンは、実質的に、放射の非対称分布のみに関連する。これは、演算の速度を促進し、及び/又は光学情報のかなりの部分としての比較は、それが差分により消去するので、演算又は評価される必要がない。
[0187] 非線形解のさらなる実施形態では、方程式(3)の展開は、Δxovを導出するための非線形解法を用いて解くことができる。具体的には、方程式(3)の(a−a’)、(b−b’)、(c−c’)など(該当する場合は)の値を、関心のあるユニットセルの導出公称プロファイル及び/又は導出公称プロファイルモデルの決定の一部として決定することができる。例えば、非線形再構築の一部として、導出公称プロファイルが決定されると、導出公称プロファイルに対応した(例えば、ある特定のオーバーレイの変化(例えば、Δxov)に対する導出公称プロファイルの摂動に対応した)瞳のシミュレーション又はライブラリ光学情報を取得することができ、次に、例えば、残差を最小にするために解を繰り返し処理する(例えば、オーバーレイの1つ又は複数の摂動(例えば、Δxov)に応答して)非線形解法を用いて、瞳の各ピクセルに対して、a、b、cなど(該当する場合は)の値を決定することができる。その結果は、該当する場合、瞳のa値(各a値は、瞳のピクセルに対応する)のベクトルであり、瞳のb値(各b値は、瞳のピクセルに対応する)のベクトルであり、瞳のc値(各a値は、瞳のピクセルに対応する)のベクトルであるなどである。次に、これらのベクトルを、関心のあるユニットセルを有するターゲットの測定瞳から決定されたS値のベクトルと結合させることができる。例えば、残差を最小にするために解を繰り返し処理する非線形解法は、これらの入力ベクトルを採用し、次に、オーバーレイΔxovについて解くことができる。
[0188] 上記の説明は、ユニットセルの物理的プロファイルをモデリングするモデルに注目したが、ある実施形態では、重み付けを、物理的プロファイルモデリングを必要としないデータ駆動技術を用いて導出することができ、又は物理的プロファイルモデリングを補うデータ駆動技術を用いて導出することができる。従って、ある実施形態では、データ駆動技術は、有利に、物理的プロファイルモデルを必要としないことが可能であり、これは、例えば、物理的プロファイルモデリングが、ユニットセルがデバイスパターン構造である場合に機密情報となり得るユニットセル(及び従ってターゲット)に関する詳細から始まり、及びその詳細を決定するので、秘密情報の共有を制限するのに有用となり得る。ある実施形態では、データ駆動技術は、例えば、測定光学情報(例えば瞳強度)をパターニングプロセスパラメータ(例えばオーバーレイ)に変換するための、上記で説明したような重みの比較的迅速な決定を可能にすることができる。ある実施形態では、データ駆動技術は、以下に説明されるように、駆動されるデータ技術が、測定データ及び関連の基準のみを必要とし得るので、初期段階でのパターニングプロセスパラメータの決定を可能にする。
[0189] 従って、ある実施形態では、データ駆動技術は、関心のあるパターニングプロセスパラメータ(例えばオーバーレイ)の1つ又は複数の特定の設定値を用いて、1つ又は複数のターゲットとして上にパターン形成された関心のあるユニットセルの物理的インスタンスを有する1つ又は複数の基板から測定されたデータ(「入手」データ)を処理することを含む。パターンを生成するための特定のパターニングプロセスパラメータ(例えばオーバーレイ)の「設定」意図的値と、それらのパターンから測定されたデータ(「入手」データ)とのこの組み合わせは、「設定−入手」プロセスと呼ばれる。例えば、ユニットセルの物理的インスタンスのある特定量のオーバーレイが、パターニングプロセスの一部として生成され、及び次に、ユニットセルの物理的インスタンスを有するターゲットが測定されることにより、例えば、それの瞳像(すなわち、「入手」データ)を取得する。ある実施形態では、複数の基板のパターン形成及び測定を、このように行うことができる。ある実施形態では、オーバーレイの複数の異なる設定値が生成され、これらの異なるオーバーレイの値は、例えば、1つの基板に関するものであってもよく、異なる基板にわたっていてもよい。ある実施形態では、各基板は、測定される複数のターゲットを有し、例えば、複数の瞳像を生じさせる。ある実施形態では、ユニットセルの物理的インスタンスの異なる部分のパターニング間で、設計倍率からの倍率変更を引き起こすことによって、オーバーレイを生成することができる。ある実施形態では、ユニットセルの物理的インスタンスの異なる部分のパターニング間で、設計位置決めからの意図的な並進をもたらすことによって、オーバーレイを生成することができる。従って、その結果は、例えばリソグラフィ装置によって引き起こされたターゲットにおける作為的な印加オーバーレイである。
[0190] ある実施形態では、一般に、測定データ及び関連の基準値が取得される。従って、ある実施形態では、異なるオーバーレイが存在するが、それらのオーバーレイが、別の手段によって(例えば、走査電子顕微鏡から)決定される場合には、作為的なオーバーレイが設けられる必要はない。ある実施形態では、対応する基準データ(例えば、CD−SEMに由来する)を備えたクリティカルディメンジョン均一性基板を入力データとして使用することができる。測定データ及び基準値を用いて、データ駆動アプローチは、本明細書で説明されるように、推測オーバーレイ値が基準値に類似するように重みを求めることができる。従って、データ駆動技術の説明は、測定光学情報及び意図的に設定されたオーバーレイ値で取得された瞳表現に注目するが、一般に、それらをより一般的な測定データ及び関連の基準値(測定されたものであろうと、意図的に設定されたものであろうと)に適用することができる。
[0191] さらに、ここでの技術は、ある特定のオーバーレイ(例えば、X方向のオーバーレイ)に関連するが、対応する測定データ及び基準値を用いて、ここでの技術を異なるオーバーレイ(例えば、Y方向のオーバーレイ、異なる層の構造間のオーバーレイなど)に対して繰り返すことができることが認識されるだろう。従って、異なるオーバーレイに対して、異なる重みセットを決定することができる。
[0192] 従って、図13を参照し、データ駆動技術の一実施形態のハイレベルフローが描かれる。1300では、測定光学情報(例えば瞳強度)をパターニングプロセスパラメータ(例えばオーバーレイ)に変換するための、上記で説明したような重みを導出するために、演算が行われる。具体的には、演算は、幾つかの入力を使用する。これらの入力の1つは、関心のあるユニットセルの物理的インスタンスを有するターゲットの設定−入手プロセスの設定値1320である。上記の通り、1つ又は複数の基板にわたり、ターゲットの複数のインスタンスを測定することができ、ターゲットの1つ又は複数のインスタンスは、ターゲットの1つ又は複数の他のインスタンスとは異なるパターニングプロセスパラメータの意図的な設定値の値を有する。さらなる入力は、異なる設定値でのターゲットの上記インスタンスの測定光学情報1310である。ある実施形態では、光学情報1310は、各々がターゲットの1つのインスタンスに対応した複数の瞳表現である。その場合、データ駆動技術で入力1310及び1320を処理することにより、重み1330を得る。このようなデータ駆動技術の例を以下に説明する。
[0193] ある実施形態では、重みのベクトル
Figure 0006765435

を求めるためのデータ駆動技術の一例は、以下の目的又はメリット関数を最小にすることにより、重み
Figure 0006765435

Figure 0006765435

を得ることである。
式中、
Figure 0006765435

は、パターニングプロセスパラメータ(例えばオーバーレイ)を決定するために測定光学特性(例えば強度)の値と組み合わせるための重みのベクトルであり、各重みは、瞳のピクセル値に対応し、Pは、パターニングプロセスパラメータのある特定の設定値を取得するようにパターン形成された基板iから取得されたターゲットのインスタンスの測定瞳からの測定光学特性のピクセル値を各列が含有した行列であり(この行列は、次に、列が、瞳のピクセルとなり、行が、基板上のターゲットの1つ又は複数のインスタンスとなり、及び行列の値が、それぞれのピクセルにおける測定光学特性の値であるように転置される)、
Figure 0006765435

は、1つ又は複数の基板i上のターゲットの1つ又は複数のインスタンスのパターニングプロセスパラメータの対応する設定値を含有したベクトルであり、各設定値は、パターニングプロセスパラメータ値に対応し、
Figure 0006765435

は、設定値の数のサイズのユニットベクトルであり、及びcは、各基板のパターニングプロセスパラメータの設定値と、パターニングプロセスパラメータの推測値
Figure 0006765435

との間のオフセット差であり、及びDは、測定される基板の数である。行列Pは、ターゲットの各インスタンスの異なる結果の組み合わせでもよい。例えば、異なる波長、異なる偏光などを用いて、ターゲットを測定することができる。従って、例えば、単一の列が、第1の波長及び第1の偏光を用いて測定された瞳ターゲットのピクセルの値を有することができ、これらの後に、第2の異なる波長を用いて測定されたターゲットの瞳のピクセルの列の値が続き、又は第2の異なる偏光を用いて測定されたターゲットの瞳のピクセルの列の値が続く(及びこれらの後に、1つ又は複数の異なる偏光及び/又は波長でのさらなる値が続いてもよい)ように、これらの結果を各列に連結することができる。
[0194] 従って、事実上、この関数は、各基板iの推測値
Figure 0006765435

が、オフセットcから離れた設定値
Figure 0006765435

と可能な限り類似して見えるように(L2正則化ノルムの意味で)、重みベクトル
Figure 0006765435

を求める。原則として、行列反転により、最適な重み及びオフセットを計算することができる。1つ又は複数の特定のメトロロジ装置を用いて、測定光学特性のピクセル値を得ることができるので、取得された重みを較正データによって規格化することによって、結果に対する上記特定のメトロロジ装置自体の影響を減らすことができる。
[0195] データ駆動技術として、目的又はメリット関数を使用することにより、上記に記載したような重みを求める代わりに、又はそれに加えて、データ駆動技術は、ニューラルネットワークのような機械学習アルゴリズム、又は関心のあるパターニングプロセスパラメータ(例えばオーバーレイ)における意図的に設けられた差を有したターゲットの測定瞳に基づいて重みを決定する非線形方法を用いることができる。
[0196] ある実施形態では、訓練(すなわち、目的又はメリット関数、又は機械学習アルゴリズムを用いる)後に、他のデータを用いて重みをチェックすることができる。訓練がオーバーフィットをもたらす可能性があり、データ駆動アプローチは、「単に」データを設定値にフィットさせる。従って、相互検証が行われる。既知の設定値を用いた新しいデータを使用して、重みをチェックする。この新しいデータは、手元にある基板のサブセットでもよい。従って、ある実施形態では、訓練は、基板のサブセットに対して行われ、及び検証は、基板の別の(分離した)サブセットに対して行われる。
[0197] 図14は、物理的幾何モデルと組み合わせたデータ駆動技術の一実施形態のハイレベルフローを描く。この実施形態では、図13に関連して記載されたようなデータ駆動技術を用いて、物理的幾何モデル(例えば、物理的幾何モデルのヤコビアン行列(のムーア・ペンローズ擬似逆行列))からの重みが、データ駆動技術によって決定された重みと同じであるように、又は類似するように(例えば、値において、統計的になど)、物理的幾何モデルを調節する(例えば、ヘッセ行列を使用して、より良いモデル公称値を取得することによって、モデル公称値を変更することによってなど)ために使用される重みを導出することができる。従って、ある実施形態では、ヤコビアン行列(のムーア・ペンローズ擬似逆行列)が(スケーリングされた)重みベクトル
Figure 0006765435

と類似するように物理的幾何モデルが調節されるように、(スケーリングされた)重みベクトル
Figure 0006765435

を用いて、物理的幾何モデルを微調節することができる。
[0198] 従って、ある実施形態では、1400において、データ駆動技術(それの例は、上記に記載される)を行うことにより、上記で説明したように重みが導出される。演算は、幾つかの入力を使用する。これらの入力の1つは、関心のあるユニットセルの物理的インスタンスを有するターゲットの設定−入手プロセスの設定値1420である。上記の通り、1つ又は複数の基板にわたり、ターゲットの複数のインスタンスを測定することができ、ターゲットの1つ又は複数のインスタンスは、ターゲットの1つ又は複数の他のインスタンスとは異なるパターニングプロセスパラメータの意図的な設定値の値を有する。さらなる入力は、異なる設定値でのターゲットの上記インスタンスの測定光学情報1410である。ある実施形態では、光学情報1410は、各々がターゲットの1つのインスタンスに対応した複数の瞳表現である。その場合、データ駆動技術で入力1410及び1420を処理することにより、重み1430が得られる。
[0199] 重み1430を用いて物理的幾何モデルを微調節するために、重み1430が、プロセス1440に入力される。プロセス1440は、ユニットセルの物理的プロファイル1450を取得し(プロセス1440は、これを用いて、物理的プロファイルモデルを導出する)、又はユニットセルの物理的プロファイルモデル1450を取得する(プロセス1440は、これを使用する)。ある実施形態では、物理的プロファイルは、上記で説明した通り、ユニットセルの導出公称プロファイル及び/又は導出公称プロファイルモデルである。
[0200] プロセス1440は、物理的幾何モデルを用いて、重み1430に対応する重みを導出する。次に、これらの重みは、重み1430と比較される。この比較は、大きさのマッチング、統計的分析、フィッティング評価などを含み得る。大きな差があれば(例えば、閾値に対する比較の評価により)、物理的プロファイルの1つ又は複数のパラメータを調節することができる。例えば、比較の結果が、例えばある閾値よりも近くなる、又はある閾値と等しくなるように、1つ又は複数の物理的プロファイルパラメータ(例えば、CD、側壁角、材料高さなど)を調節することができる。ある実施形態では、ヘッセ行列を用いて、この微調節を行うことができ、又は非線形解法(1つ又は複数の順方向コール(例えば、マクスウェル解法)を包含する)を用いて行うことができる。閾値に適合する又は閾値と交差するまで、この調節及び比較を反復することができる。次に、調節された物理的幾何モデルは、パターニングプロセスパラメータ値を導出するために関心のあるターゲットの測定光学情報と組み合わせて使用するための更新重み1460を出力することができる。
[0201] 図15は、物理的幾何モデルと組み合わせたデータ駆動技術のさらなる実施形態のハイレベルフローを描く。物理的幾何モデルが測定データと同様に挙動する場合、物理的幾何モデルを用いて、プロセス変動の影響を予測することができる。従って、ある実施形態では、物理的幾何モデルのヘッセ行列を用いて、物理的幾何モデルを調節するために使用される重みを取得するためにデータ駆動技術で使用されたデータ中に存在しなかったプロセス変動に対して重みが(より)直交となるように、重みを調節することができる。
[0202] 重みを調節するためにヘッセ行列を使用するこのアプローチは、データ駆動技術を用いずに行うこともできる。すなわち、重みを更新するためにヘッセ行列を使用するこの技術を、図11に関連して記載された物理的幾何モデルアプローチと共に行うことができる。この場合、例えば、上記で説明したように、ユニットセルの導出公称プロファイル及び/又は導出公称プロファイルモデルを取得するために使用されたデータ中に存在しなかったプロセス変動に対して重みが(より)直交となるように、重みを調節することができる。このような調節により、重みは、物理的幾何モデルを生成するために使用された測定データにおいて観察されなかったプロセス変動に対してよりロバストとなる。
[0203] 従って、ある実施形態では、1500において、データ駆動技術(それの例は、上記に記載される)を行うことにより、上記で説明したように重みが導出される。演算は、幾つかの入力を使用する。これらの入力の1つは、関心のあるユニットセルの物理的インスタンスを有するターゲットの設定−入手プロセスの設定値1510である。上記の通り、1つ又は複数の基板にわたり、ターゲットの複数のインスタンスを測定することができ、ターゲットの1つ又は複数のインスタンスは、ターゲットの1つ又は複数の他のインスタンスとは異なるパターニングプロセスパラメータの意図的な設定値の値を有する。さらなる入力は、異なる設定値でのターゲットの上記インスタンスの測定光学情報1505である。ある実施形態では、光学情報1505は、各々がターゲットの1つのインスタンスに対応した複数の瞳表現である。その場合、データ駆動技術で入力1505及び1510を処理することにより、重み1515が得られる。
[0204] 重み1515を用いて物理的幾何モデルを微調節するために、重み1515が、プロセス1520に入力される。プロセス1520は、ユニットセルの物理的プロファイル1525を取得し(プロセス1520は、これを用いて、物理的プロファイルモデルを導出する)、又はユニットセルの物理的プロファイルモデル1525を取得する(プロセス1520は、これを使用する)。ある実施形態では、物理的プロファイルは、上記で説明した通り、ユニットセルの導出公称プロファイル及び/又は導出公称プロファイルモデルである。
[0205] プロセス1520は、物理的幾何モデルを用いて、重み1515に対応する重みを導出する。次に、これらの重みは、重み1515と比較される。この比較は、大きさのマッチング、統計的分析、フィッティング評価などを含み得る。大きな差があれば(例えば、閾値に対する比較の評価により)、物理的プロファイルの1つ又は複数のパラメータを調節することができる。例えば、比較の結果が、例えばある閾値よりも近くなる、又はある閾値と等しくなるように、1つ又は複数の物理的プロファイルパラメータ(例えば、CD、側壁角、材料高さなど)を調節することができる。ある実施形態では、ヘッセ行列を用いて、この微調節を行うことができ、又は非線形解法(1つ又は複数の順方向コール(例えば、マクスウェル解法)を包含する)を用いて行うことができる。閾値に適合する又は閾値と交差するまで、この調節及び比較を反復することができる。
[0206] しかし、認識されるように、パターニングプロセスは、実行中に、及びパターニングプロセスの異なる実行に対して異なるように変動し得る。従って、データ駆動技術のために取得されたデータは、全ての可能なパターニングプロセス変動から成るわけではない。しかし、測定データと同様に挙動するように、物理的幾何モデルの調節が行われると、物理的幾何モデルを用いて、プロセス変動の影響を予測し、及びそれに応じて重みを調整することができる。
[0207] 従って、ある実施形態では、1535において、調節された物理的幾何モデル1530を用いて、調節された物理的幾何モデルのヘッセ行列が計算される。次に、1545において、ヘッセ行列1540を用いて、物理的幾何モデルを調節するために使用される重みを取得するためにデータ駆動技術で使用されたデータ中に存在しなかったプロセス変動に対して、重みが(より)直交(すなわち、ロバスト)となるように、重みを調節する。つまり、基板がプロセス変動を受ける場合でも、基板からの測定データと組み合わせられた場合に正確な結果を生じさせる可能性が高いように、重みが調節される。
[0208] どのようにヘッセ行列を用いて重みを微調節することができるかの非限定的例を、ここではオーバーレイの文脈で記載している。必要に応じて、異なるパターニングプロセスパラメータが使用されてもよい。この例では、たった1つのオーバーレイのタイプ(例えば、X方向のオーバーレイ)が評価されると仮定される。複数のオーバーレイタイプを用いた微調節も可能である。
[0209] ヘッセ行列を用いて重みを微調節するこの実施形態では、オーバーレイ応答が、1つ又は複数の設定−入手基板から測定されたデータから、そのデータに単一値分解を適用することによって推定される。固有ベクトル
Figure 0006765435

(長さ1を有する)がオーバーレイ応答に対応すると仮定される。次に、以下の方程式を解くことにより、ベクトル
Figure 0006765435

Figure 0006765435

が求められる。
式中、
Figure 0006765435

は、オーバーレイパラメータに対するヤコビアン行列であり、及びヘッセ行列Hは、列がプロセス変動(例えば、CD、材料高さなどの変動)及びオーバーレイパラメータに対する偏導関数を含有する行列である(ヤコビアン行列及びヘッセ行列の両方が、上記のようなモデルから取得される)。決定されたベクトル
Figure 0006765435

は、更新(例えば、より良い)モデルを取得するためにモデルの非オーバーレイパラメータに適用されるデルタパラメータに対応する。
[0210] 重みをプロセス変動に対してロバスト(すなわち、プロセス変動に対して直交)にするために、以下の技術を用いることができる。以下の2次テイラー展開によって、瞳
Figure 0006765435

を定義することができる。
Figure 0006765435

式中、
Figure 0006765435

は、オーバーレイパラメータに対するヤコビアン行列であり、及びHは、列がプロセス変動(例えば、CD、材料高さなどの変動)及びオーバーレイパラメータに対する偏導関数を含有する行列である。ベクトル
Figure 0006765435

は、対応するプロセス変動を含有する。従って、所与の構造に関して、及びオーバーレイ値oを有した所与のプロセス変動インスタンス
Figure 0006765435

に関して、瞳は、(ほぼ)
Figure 0006765435

に等しい。認識されるように、上記の公式化は、より多くのオーバーレイパラメータに対して、これらの寄与を同様に追加することによって拡張することができる。また、テイラー展開におけるより高次は無視されるので、この公式化は、近似である。
[0211] ところで、プロセス変動の影響が小さければ、重みは、ヤコビアン行列
Figure 0006765435

のペンローズ・ムーア逆行列を用いて計算される。オーバーレイパラメータが1つのみの場合、重みは、
Figure 0006765435

に等しい。そして実際には、瞳を用いた重み付け平均(内積)は、オーバーレイ値oをもたらす。
Figure 0006765435

、すなわち
Figure 0006765435

しかし、プロセス変動が大きな影響を持つ場合、オーバーレイ応答は、変化する。
Figure 0006765435

これらの変動に対して重みをロバストにするためには、
Figure 0006765435

である。
これは、行列
Figure 0006765435

の擬似逆行列の第1の行に等しい重み
Figure 0006765435

を採用することによって達成することができる。つまり、ヘッセ行列Hは、反転前のヤコビアン行列に連結される。このようにして、重みは、プロセス変動に対して直交となる(ただし、精度を多少犠牲にして)。
[0212] 従って、パターニングプロセスパラメータ値を導出するために関心のあるターゲットの測定光学情報と組み合わせて使用するために、調節された重み1550が、調節1545から出力される。
[0213] 図16は、物理的幾何モデルと組み合わせたデータ駆動技術のさらなる実施形態のハイレベルフローを描く。この実施形態では、データ駆動技術へのデータ入力は、パターニングプロセスのプロセス変動(例えば、パターニングプロセス変動は、CD測定から取得することができる)を含有する合成光学情報(例えば、瞳表現)を包含することによって拡張される。合成光学情報が単独で、又は測定光学情報と組み合わせて使用されることにより、データ駆動技術を用いて新しい重みを求めることができる。
[0214] 従って、ある実施形態では、1500において、データ駆動技術(それの例は、上記に記載される)を行うことにより、上記で説明したように重みが導出される。演算は、幾つかの入力を使用する。これらの入力の1つは、関心のあるユニットセルの物理的インスタンスを有するターゲットの設定−入手プロセスの設定値1510である。上記の通り、1つ又は複数の基板にわたり、ターゲットの複数のインスタンスを測定することができ、ターゲットの1つ又は複数のインスタンスは、ターゲットの1つ又は複数の他のインスタンスとは異なるパターニングプロセスパラメータの意図的な設定値の値を有する。さらなる入力は、異なる設定値でのターゲットの上記インスタンスの測定光学情報1505である。ある実施形態では、光学情報1505は、各々がターゲットの1つのインスタンスに対応した複数の瞳表現である。その場合、データ駆動技術で入力1505及び1510を処理することにより、重み1515が得られる。
[0215] 重み1515を用いて物理的幾何モデルを微調節するために、重み1515が、プロセス1520に入力される。プロセス1520は、ユニットセルの物理的プロファイル1525を取得し(プロセス1520は、これを用いて、物理的プロファイルモデルを導出する)、又はユニットセルの物理的プロファイルモデル1525を取得する(プロセス1520は、これを使用する)。ある実施形態では、物理的プロファイルは、上記で説明した通り、ユニットセルの導出公称プロファイル及び/又は導出公称プロファイルモデルである。
[0216] プロセス1520は、物理的幾何モデルを用いて、重み1515に対応する重みを導出する。次に、これらの重みは、重み1515と比較される。この比較は、大きさのマッチング、統計的分析、フィッティング評価などを含み得る。大きな差があれば(例えば、閾値に対する比較の評価により)、物理的プロファイルの1つ又は複数のパラメータを調節することができる。例えば、比較の結果が、例えばある閾値よりも近くなる、又はある閾値と等しくなるように、1つ又は複数の物理的プロファイルパラメータ(例えば、CD、側壁角、材料高さなど)を調節することができる。閾値に適合する又は閾値と交差するまで、この調節及び比較を反復することができる。
[0217] 従って、ある実施形態では、1535において、調節された物理的幾何モデル1530を用いて、調節された物理的幾何モデルのヘッセ行列を計算する。次に、1610において、ヘッセ行列1600を用いて、合成光学情報(例えば、1つ又は複数の瞳表現)が生成される。合成光学情報は、シミュレーション光学情報である。合成光学情報は、パターニングプロセスにおける1つ又は複数の予想プロセス変動を模倣することを目的とする。ある実施形態では、パターニングプロセスにおける1つ又は複数のプロセス変動に関するデータ1620をヘッセ行列1600と組み合わせて使用することにより、合成光学情報を導出することができる。ある実施形態では、合成瞳
Figure 0006765435

は、上記の方程式(8)において、異なるオーバーレイ値o及び異なるパラメータ変動Δpを代わりに使用することによって、生成することができ、ここでは、重みは、
Figure 0006765435

に対応する。上記の方程式(8)は、単一のオーバーレイパラメータを対象にするが、この技術は、より多くのオーバーレイパラメータに対して、それらの寄与を同様に追加することによって拡張することができる。さらに、方程式(8)を用いたこの技術は、テイラー展開におけるより高次は無視されるので、近似である。データ1620は、例えば、プロセス変動の種類及び範囲を表す情報(例えば、オーバーレイ、CD等がある割合で変動し得るという表示)を含み得る。データ1620は、パターニングプロセスの測定(例えば、オーバーレイ、CDなどの測定)によって取得することができる。従って、データ1620をヘッセ行列1600と共に用いることにより、予想プロセス変動を包含するシミュレーション光学情報1630が生成される。合成光学情報1630は、合成光学情報1630と関連付けられた1つ又は複数の関連推定設定値も包含することができる。次に、合成光学情報1630(及び任意の関連の設定値)が、単独で、又は測定光学情報と組み合わせて、分析のためにデータ駆動技術1500に入力されることにより、データ駆動技術を用いて、新しい重みが求められる。
[0218] 図17は、物理的幾何モデルと組み合わせたデータ駆動技術のさらなる実施形態のハイレベルフローを描く。この実施形態は、ヘッセ行列を計算する代わりに、合成光学情報を取得するために、プロセス変動毎に、非線形解法(例えば、マクスウェル解法)に対する順方向コールが行われることを除き、図16の実施形態と同様である。
[0219] 従って、ある実施形態では、1500において、データ駆動技術(それの例は、上記に記載される)を行うことにより、上記で説明したように重みが導出される。演算は、幾つかの入力を使用する。これらの入力の1つは、関心のあるユニットセルの物理的インスタンスを有するターゲットの設定−入手プロセスの設定値1510である。上記の通り、1つ又は複数の基板にわたり、ターゲットの複数のインスタンスを測定することができ、ターゲットの1つ又は複数のインスタンスは、ターゲットの1つ又は複数の他のインスタンスとは異なるパターニングプロセスパラメータの意図的な設定値の値を有する。さらなる入力は、異なる設定値でのターゲットの上記インスタンスの測定光学情報1505である。ある実施形態では、光学情報1505は、各々がターゲットの1つのインスタンスに対応した複数の瞳表現である。その場合、データ駆動技術で入力1505及び1510を処理することにより、重み1515が得られる。
[0220] 重み1515を用いて物理的幾何モデルを微調節するために、重み1515が、プロセス1520に入力される。プロセス1520は、ユニットセルの物理的プロファイル1525を取得し(プロセス1520は、これを用いて、物理的プロファイルモデルを導出する)、又はユニットセルの物理的プロファイルモデル1525を取得する(プロセス1520は、これを使用する)。ある実施形態では、物理的プロファイルは、上記で説明した通り、ユニットセルの導出公称プロファイル及び/又は導出公称プロファイルモデルである。
[0221] プロセス1520は、物理的幾何モデルを用いて、重み1515に対応する重みを導出する。次に、これらの重みは、重み1515と比較される。この比較は、大きさのマッチング、統計的分析、フィッティング評価などを含み得る。大きな差があれば(例えば、閾値に対する比較の評価により)、物理的プロファイルの1つ又は複数のパラメータを調節することができる。例えば、比較の結果が、例えばある閾値よりも近くなる、又はある閾値と等しくなるように、1つ又は複数の物理的プロファイルパラメータ(例えば、オーバーレイ、CD、側壁角など)を調節することができる。閾値に適合する又は閾値と交差するまで、この調節及び比較を反復することができる。
[0222] 従って、ある実施形態では、1720において、調節された物理的幾何モデル1700を用いて、上記で説明したような合成光学情報を計算する。上記で説明したように、パターニングプロセスの1つ又は複数のプロセス変動に関するデータ1710を、調節された物理的幾何モデル1700と組み合わせて使用することにより、合成光学情報を導出することができる。例えば、データ1710は、プロセス変動の種類及び範囲を表す情報(例えば、オーバーレイ、CD等がある割合で変動し得るという表示)を含み得る。データ1710は、パターニングプロセスの測定(例えば、オーバーレイ、CDなどの測定)によって取得することができる。上記の通り、1720のプロセスは、合成光学情報を取得するために、プロセス変動に関して、非線形解法(例えば、マクスウェル解法)に対する順方向コールを用いることができる。従って、データ1710を、調節された物理的幾何モデル1700と共に用いることにより、予想プロセス変動を包含するシミュレーション光学情報1730が生成される。合成光学情報1730は、合成光学情報1730と関連付けられた1つ又は複数の関連推定設定値も包含することができる。次に、合成光学情報1730(及び任意の関連の設定値)が、単独で、又は測定光学情報と組み合わせて、分析のためにデータ駆動技術1500に入力されることにより、データ駆動技術を用いて、新しい重みが求められる。
[0223] 図10A〜10Cでは、基本的に一方向のみのオーバーレイが、ユニットセルの対称性の変化を生じさせたユニットセルの比較的単純な例が提示された。具体的には、図10A〜10Cのユニットセルでは、X方向のオーバーレイ変化が、ユニットセルの対称性/非対称性の変化をもたらしたが、Y方向のオーバーレイ変化は、ユニットセルの対称性の変化をもたらさない。これは、基本的に一方向のみのオーバーレイが、ユニットセルの対称性の変化を生じさせたような特定の幾何学的方法で構成された2つの構造1000、1005を有する図10A〜10Cのユニットセルの結果である。もちろん、これは、構造の適切な選択により、このように設計することができる。しかし、基本的に一方向のみのオーバーレイがユニットセルの対称性の変化を生じさせるような特定のジオメトリを有する、デバイス構造のような既存の構造を識別することができることが考えられる。従って、基本的に一方向のみのオーバーレイ(これは、X方向である必要はない)の決定を可能にする様々なユニットセルを選択又は設計することができる。
[0224] しかし、有利なことに、2つ以上の異なるオーバーレイに対して、ユニットセルの対称性の変化が生じるように構成されたユニットセルを識別又は設計することができる。ある実施形態では、異なるオーバーレイは、異なる方向のものであってもよい。具体的には、ある実施形態では、第1のオーバーレイは、X方向のものであってもよく、第2のオーバーレイは、Y方向のものであってもよい。ある実施形態では、異なるオーバーレイはそれぞれ、ユニットセルの構造又は部分の異なる組み合わせ間のものであってもよい。ある実施形態では、これらの構造は、ターゲットの同じ層及び/又は異なる層に存在してもよい。具体的には、ある実施形態では、第1のオーバーレイは、ユニットセルの第1の構造と、第2の構造との間であってもよく、及び第2のオーバーレイは、ユニットセルの第1の構造(又は第2の構造)と、第3の構造との間、又はユニットセルの第3の構造と、第4の構造との間であってもよい。この場合、第1のオーバーレイ及び第2のオーバーレイは、同じ方向のものであってもよい。当然ながら、異なる方向の異なるオーバーレイの組み合わせ、及びユニットセルの構造の組み合わせによる異なるオーバーレイが存在してもよい。例えば、第1のオーバーレイは、第1の層の第1の構造及び第2の下層の第2の構造に関して、X方向のものであってもよく、及び第2のオーバーレイは、第1の層の第1の構造及び第2の層よりも下の第3の層の第3の構造に関して、Y方向のものであってもよい。従って、ユニットセル(及び従ってターゲット)の適切な識別又は設計により、オーバーレイの多数の組み合わせを決定することができる。
[0225] また、認識されるように、X方向及びY方向のオーバーレイの決定は、適切な組み合わせにより、総オーバーレイ(X及びYの)の決定を可能にすることができる。同様に、複数の異なる構造(それらの間でオーバーレイが生じ得る)の総オーバーレイの決定を可能にするために、それらの構造の各々のオーバーレイが、決定される必要がある。従って、一例として、それらの間でオーバーレイが生じ得る4つの層(これらの層の1つは、基準層である)内の4つの別個の構造を有するユニットセルの場合、ユニットセルの総オーバーレイの決定を可能にするために、6つのオーバーレイ(各層に対してX及びY)が決定され得る。もちろん、4つの層間で関心のある1つ又は複数の異なるオーバーレイを得るために、要望に応じて、サブコンビネーションが決定されてもよい。
[0226] 図18は、ターゲットの多重オーバーレイユニットセルの実施形態例を描く。図10A〜10Cのユニットセルのように、このユニットセルは、第1の構造1000及び第2の構造1005を含む。さらに、このユニットセルは、本実施形態では、第1及び第2の構造1000、1005よりもZ方向に上の層に存在する第3の構造1800を有する。この実施形態では、このユニットセルの非対称性は、1つ又は複数の異なるオーバーレイによって生成され得る。例えば、X方向における構造1005と構造1800との間の相対的シフトは、非対称性を生じさせるX方向のオーバーレイをもたらし得る。別の例として、Y方向における構造1005と構造1000との間の相対的シフトは、非対称性を生じさせるY方向のオーバーレイをもたらし得る。さらなる例として、Y方向における構造1000と構造1800との間の相対的シフトは、非対称性を生じさせるY方向のさらなるオーバーレイをもたらし得る。
[0227] 図19は、ターゲットの多重オーバーレイユニットセルのさらなる実施形態例を描く。図10A〜10Cのユニットセルのように、このユニットセルは、第1の構造1000及び第2の構造1005を含む。さらに、図18のユニットセルのように、このユニットセルは、本実施形態では、第1及び第2の構造1000、1005よりもZ方向に上の層に存在する第3の構造1800を有する。さらに、このユニットセルは、本実施形態では、第1、第2及び第3の構造1000、1005、1800よりもZ方向に上の層に存在する第4の構造1900を有する。図18のユニットセルのように、この実施形態では、このユニットセルの非対称性は、1つ又は複数の異なるオーバーレイによって生成され得る。例えば、X方向における構造1005と構造1800との間の相対的シフトは、非対称性を生じさせるX方向のオーバーレイをもたらし得る。別の例として、X方向における構造1005と構造1900との間の相対的シフトは、非対称性を生じさせるX方向のオーバーレイをもたらし得る。別の例として、Y方向における構造1005と構造1000との間の相対的シフトは、非対称性を生じさせるY方向のオーバーレイをもたらし得る。さらなる例として、Y方向における構造1000と構造1800との間の相対的シフトは、非対称性を生じさせるY方向のさらなるオーバーレイをもたらし得る。
[0228] 従って、ある実施形態では、図18又は図19のユニットセルの照明された物理的インスタンスの測定は、実際に複数の異なるオーバーレイが存在する場合に、潜在的に複数の異なるオーバーレイを包含し得る光学情報をもたらす。例えば、図18を参照して、図18のユニットセルの対称性が、ゼロオーバーレイを表し、及びそのゼロオーバーレイ位置から、上に重なる構造に対して、構造1005のX及びYのシフト(例えば、0、90、180又は270度ではない方向のシフト)が存在する場合、このシフトは、X方向における構造1005と構造1800との間の相対的シフト、及びY方向における構造1005と構造1000との間の相対的シフトによる非対称性を生じさせる。従って、X及びY方向両方の構造1005に関するオーバーレイ(この組み合わせは、構造1005の総オーバーレイをもたらす)を決定することが望ましい。
[0229] 以下に説明されるように、光学特性値から、ユニットセルの物理的インスタンスの第1のオーバーレイの値を、同じ光学特性値から同様に取得可能なユニットセルの物理的インスタンスの第2のオーバーレイとは切り離して、決定することができ、第1のオーバーレイは、第2のオーバーレイとは異なる方向にあり(例えば、X方向オーバーレイ及びY方向オーバーレイ)、又は第2のオーバーレイとは異なるユニットセルの部分の組み合わせの間にある(例えば、構造1005と構造1800との間の第1のオーバーレイ、及び構造1005と構造1000との間、又は構造1000と構造1800との間の第2のオーバーレイ、ここでは、第1のオーバーレイ及び第2のオーバーレイは、場合によっては、同じ方向にある可能性がある)技術が提示される。
[0230] すなわち、ある実施形態では、光学特性値の第1のオーバーレイ情報を、同じ光学特性値の第2の(又は追加の)オーバーレイ情報から切り離すために、重みが決定される。従って、ある実施形態では、特別に選択された重みを適用することにより、光学特性値と重みの組み合わせは、同じ光学特性値の他のあり得るオーバーレイ情報とは区別して、関心のある特定のオーバーレイをもたらす。事実上、重みは、関心のあるオーバーレイを目立たせ、且つ1つ又は複数の他のオーバーレイを減少させる。もちろん、複数の光学特性値を処理することにより、関心のある異なるオーバーレイの各々に対して異なる値をもたらすことができるように、関心のある各オーバーレイに対して異なる重みのセットを構築することができる。
[0231] この技術を、図20のグラフに関して記載する。図20のグラフは、技術のグラフ表現を提示するが、実際には、グラフを作成する必要なく、全ての処理を数学的に行うことができるので、グラフが構築される必要はない。さらに、この技術を、図11のモデルに関して記載する。しかし、本明細書の他の図面に関して記載されるモデル(及び関連の他の技術)が、使用されてもよい。
[0232] さらに、この例は、モデルから重みの線形バージョンを導出するという観点から提示される。つまり、ある実施形態では、重みは、ヤコビアン行列(のムーア・ペンローズ擬似逆行列)から導出される。
[0233] 従って、この線形ケースでは、ある方向のオーバーレイなどの特定のパラメータを再構築するために、ヤコビアン行列を反転させることができる。しかし、関心のあるパラメータの列が、どのように残りの列と相互に関連付けられるかが、このパラメータの再構築がどのくらい簡単となるかを決定する。
[0234] 従って、例えば関心のあるユニットセル(例えば、図18のユニットセル)の公称プロファイルモデルを有する場合、少なくとも2つのベクトルを生成することができる。第1のオーバーレイベクトル
Figure 0006765435

は、ユニットセル内の関心のある第1のオーバーレイ(例えば、X方向オーバーレイ)を表し、及び第2のオーバーレイベクトル
Figure 0006765435

は、関心のある第2のオーバーレイ(例えば、Y方向オーバーレイ)を表す。認識されるように、関心のある追加のオーバーレイのために、さらなるベクトルが生成されてもよい。
[0235] さらに、2つのオーバーレイベクトルの各々に対して、ユニットセルの物理的インスタンスの予想測定に対応した瞳表現の1つ又は複数のピクセルが、選択される。この実施形態では、各オーバーレイベクトルに対して、1つのピクセルペアが選択され、各ピクセルペアは、前述のように、対称的に位置するピクセルを含む。望ましくは、上記で説明したように、ピクセルペアは、瞳表現の非対称放射分布部分から選択される。
[0236] ところで、第1のオーバーレイベクトル
Figure 0006765435

は、第1のオーバーレイベクトルに関する関心のある第1のオーバーレイの変化に対する(他の全てのパラメータは変化しない、すなわち、関心のある第2のオーバーレイの変化はない)、ピクセルペアにおける応答(この場合、1つのペアを成すピクセル間の非対称信号)に対応する。この応答は、関心のある第1のオーバーレイの変化(例えば、1nmの変化)を引き起こし、次に、その変化に対するピクセルペアの光応答(例えば強度)を演算することにより、公称プロファイルモデルを用いて生成することができる。
[0237] 同様に、第2のオーバーレイベクトル
Figure 0006765435

は、第2のオーバーレイベクトルに関する関心のある第2のオーバーレイの変化に対する(他の全てのパラメータは変化しない、すなわち、関心のある第1のオーバーレイの変化はない)、ピクセルペアにおける応答(この場合、1つのペアを成すピクセル間の非対称信号)に対応する。この応答は、関心のある第2のオーバーレイの変化(例えば、1nmの変化)を引き起こし、次に、ピクセルペアの光応答(例えば強度)を演算することにより、公称プロファイルモデルを用いて生成することができる。
[0238] 横軸uが、第1のピクセルペアの対称的に位置付けられたピクセル間の非対称強度(I−I’)に対応し、及び縦軸vが、第2のピクセルペアの対称的に位置付けられたピクセル間の非対称強度(I−I’)に対応する図20において、得られたベクトルを、グラフに描く。従って、図20は、2つの高度に相関するベクトル
Figure 0006765435

及び
Figure 0006765435

を示す。
[0239] 従って、ピクセルペアに対する、関心のある第1及び第2のオーバーレイの寄与の切り離し及び分離を行うために、ベクトル
Figure 0006765435

が、ベクトル
Figure 0006765435

(これは、ベクトル
Figure 0006765435

に直交するベクトルである)上に後方投影されることにより、ベクトル
Figure 0006765435

が形成され、及び投影ベクトル
Figure 0006765435

の長さが、ベクトル
Figure 0006765435


Figure 0006765435

との間の角θのコサインで除算される。次に、このベクトルは、ピクセルペア(及び拡張により、瞳表現中の他のピクセルペア)の強度から、関心のある第1のオーバーレイを分離するのに役立つ。
[0240] 追加的に、又は代替的に、ベクトル
Figure 0006765435

が、ベクトル
Figure 0006765435

(これは、ベクトル
Figure 0006765435

に直交するベクトルである)上に後方投影されることにより、ベクトル
Figure 0006765435

が形成され、及び投影ベクトル
Figure 0006765435

の長さが、ベクトル
Figure 0006765435


Figure 0006765435

との間の角θのコサインで除算される。次に、このベクトルは、ピクセルペア(及び拡張により、瞳表現中の他のピクセルペア)の強度から、関心のある第2のオーバーレイを分離するのに役立つ。
[0241] 従って、方程式(3)及び(4)を再び参照して、Sは、1つのピクセルペアの対称的に位置付けられたピクセル間の非対称強度(I−I’)を表す。従って、第1のオーバーレイベクトル
Figure 0006765435

は、関心のある第1のオーバーレイの変化に対する、UのSを有する第1のピクセルペア及びVのSを有する第2のピクセルペアにおける応答に対応し得る。同様に、第2のオーバーレイベクトル
Figure 0006765435

は、関心のある第2のオーバーレイの変化に対する、上記第1及び第2のピクセルペアにおける応答に対応し得る。従って、ベクトル
Figure 0006765435

及び/又はベクトル
Figure 0006765435

を構築することができる。ここでは、両方が、説明目的で構築される。ベクトル
Figure 0006765435

及びベクトル
Figure 0006765435

は、Uに対応する第1のピクセルペアに対応する強度uの観点から、及びVに対応する第2のピクセルペアに対応する強度vの観点から定義される。従って、ベクトル
Figure 0006765435

及びベクトル
Figure 0006765435

は、
Figure 0006765435

として規定することができる。
従って、これより、上記の線形文脈で、及び方程式(4)を参照して、次に、関心のある第1のオーバーレイのオーバーレイ値を、U、V、及びベクトル
Figure 0006765435

及び
Figure 0006765435

に基づいて、以下のように定義することができる。
Figure 0006765435

追加的に、又は代替的に、次に、関心のある第2のオーバーレイのオーバーレイ値を、U、V、及びベクトル
Figure 0006765435

及び
Figure 0006765435

に基づいて、以下のように定義することができる。
Figure 0006765435

従って、方程式(14)から、関心のある第1のオーバーレイを決定するための重みは、それぞれU及びVに対して、以下の通りである。
Figure 0006765435

さらに、方程式(15)から、関心のある第2のオーバーレイを決定するための重みは、それぞれU及びVに対して、以下の通りである。
Figure 0006765435

従って、認識されるように、これは、関心のある第1のオーバーレイのための重みwのセット
Figure 0006765435

を得る、及び/又は関心のある第2のオーバーレイのための重みwのセット
Figure 0006765435

を得るために、瞳表現中の全ての、又は実質的に全てのピクセルペアに対して繰り返すことができる。次に、方程式(4)に従って、これらの内の一方又は両方が、測定光学特性値に適用されることにより、関心のある各オーバーレイのオーバーレイ値を得ることができる。もちろん、関心のある1つ又は複数のさらなるオーバーレイを評価することができ、及び1つ又は複数の適切な重みセットを、それらのために決定することができる。認識されるように、ある実施形態では、関心のある異なるオーバーレイの全てに対する感度(例えば、ヤコビアン行列)が、関心のある特定のオーバーレイの重み定義に包含される。
[0242] 従って、例えば、4つの層(これらの層の1つは、基準層である)を有するユニットセルの場合(X及びY方向における各層のシフトは、対称性の変化を生じさせる(例えば、非対称性を生じさせる、又はさらなる非対称性を生じさせる、又は非対称ユニットセルを対称にする)可能性がある)、6つのベクトル(各々が、異なるピクセルペアに関連付けられる)を生成することができ、これら6つのベクトルは、各層に対するX方向オーバーレイベクトルと、各層に対するY方向オーバーレイベクトルとを含む。従って、各オーバーレイを導出するために、6つの重みセットが存在し得る。もちろん、ベクトルの1つに関心がなければ、重みセットの全てを導出する必要があるわけではない(しかし、ある実施形態では、関心のある異なるオーバーレイの全てに対する感度(例えば、ヤコビアン行列)が、関心のある特定のオーバーレイの重み定義に包含される)。次に、これらのオーバーレイの2つ以上の適切な数学的組み合わせによって、その他のオーバーレイを決定することができる。
[0243] 認識されるように、ユニットセルの層の一部のシフトは、対称性の変化を生じさせず、従って、ユニットセルから、このシフトに対応したオーバーレイを決定することができない。従って、当然、このようなシフトに対して、ベクトルは定義されない。従って、図18を例にとり、そのユニットセルに関して、3つのベクトル(1つは、X方向オーバーレイに関して、及び2つは、異なるY方向オーバーレイに関して)が、定義され得る。従って、測定光学特性値と組み合わせられた場合に、X方向のオーバーレイを提供する重みの1つの複数のセットを決定することができる。あるいは、測定光学特性値と組み合わせられた場合に、Y方向のオーバーレイの一方を提供する重みの1セットを決定することができ、及び/又は測定光学特性値と組み合わせられた場合に、Y方向のオーバーレイの他方を提供する重みの1セットを決定することができる。もちろん、全3つの重みのセット又は2つだけが、決定されてもよい。
[0244] 上記の説明は、デバイスの構造から構成される対称ユニットセルの1つ又は複数のインスタンスによって形成されたターゲットに注目した。このようなターゲットは、オンプロダクトターゲットによって再誘導された放射のオンプロダクト測定により、パターニングプロセスパラメータのオンプロダクト値の決定を可能にすることができる。しかし、上記の通り、ターゲットは、デバイス構造のみから構成される必要はない。つまり、構造がデバイス構造のみを含まない非プロダクトターゲットが設けられてもよい。例えば、ある実施形態では、ターゲットは、デバイスを形成するために使用されないが、測定のためだけに使用される構造から特別に作られてもよい。このようなターゲットは、例えば、デバイスから離れたスクライブラインに設ける(及び従って、デバイスパターンから離れたデバイスパターニングパターンの一部に設ける)ことができる。ある実施形態では、ターゲットは、デバイスパターンの中に設ける(及び従って、パターニングデバイスパターンのデバイスパターンのフィーチャの中に設ける)ことができる。必要に応じて、非プロダクトターゲットは、1つ又は複数のデバイス構造と、デバイスを形成するためには使用されないが、測定のためだけに使用される、特別に作られた1つ又は複数の構造とを含むことができる。
[0245] 非プロダクトターゲットは、例えば、パターニングプロセスパラメータが、対称ユニットセルインスタンスを提示できないデバイスパターンに関して決定されている場合に、有用となり得る。別の例として、非プロダクトターゲットは、例えば、パターニングプロセスパラメータが、そのパターニングプロセスパラメータの測度を与えることができる、上記のように対称ユニットセルを持たないデバイスパターンの部分に関して決定されている場合に、有用となり得る。例えば、エッチング後のオーバーレイが、上記の対称ユニットセル方法を用いて決定されることが望ましいが、対称性を持たない構造のケースが存在し得る。例えば、論理回路又は構造は、各々が、構造の対称性を破壊し得る異なるオーバーレイコンポーネントを導入することができる多くのプロセス層\ステップを有する。例えば論理回路の場合、デバイスパターンの測定は、一般的に、論理回路構造の対称ユニットセルの欠如により、行うことができない。
[0246] さらなる例として、非プロダクトターゲットは、対称ユニットセルインスタンスを提示できる(及びユニットセルが、関心のある全てのパターニングプロセスパラメータの測度を与えることができる場合でも)デバイスパターンとの関連で使用することができる。これは、例えば、デバイスパターンが複雑である(これは、多大な計算時間を必要とし得る)場合になる可能性がある。さらに、デバイスパターンは、関心のないパターニングプロセスパラメータの信号と潜在的なクロストークを示し得る。一例として、異なるオーバーレイコンポーネントの瞳相関は、非常に大きいため、異なるオーバーレイエラーを分離することは不可能かもしれない。
[0247] 従って、非プロダクトターゲットは、ビームスポットに対して対称ユニットセルのインスタンスを有するデバイスパターンと共に、又はビームスポットに対して対称ユニットのインスタンスを提示できないデバイスパターンと共に使用することができる。
[0248] 従って、ある実施形態では、関心のある特定のタイプのパターニングプロセスパラメータ(例えばオーバーレイ)が、非プロダクトターゲットのあるタイプの(瞳)対称性を破壊するように、非プロダクトターゲットを設計することができる。これは、上記の技術と同様である。そして、上記で説明したように、オーバーレイが、説明の焦点となるが、オーバーレイ以外の1つ又は複数の異なるパターニングプロセスパラメータが、決定されてもよい。
[0249] もちろん、パターニングプロセスパラメータの測度を与える非プロダクトターゲットの場合、非プロダクトターゲットは、問題のパターニングプロセスパラメータに対する主なコントリビュータであると見なされる、それらのプロセスステップに従う。従って、上記で説明したように、例えば、別々のパターニングプロセスで作られた2つの構造間のオーバーレイに関心がある場合、非プロダクトターゲットは、別々のパターニングプロセスの各々において、及び望ましくは、同じ又は同等のプロセスによって作られた構造を含む。
[0250] さらに、あるタイプの幾何学的対称性(例えば、Y対称性)の破壊は、瞳ドメインにおける同じタイプの対称性の破壊をもたらす。従って、非プロダクトターゲットは、特定のタイプの幾何学的対称性に関して、対応する特定のパターニングプロセスパラメータ値が対称性の破壊を生じさせるように設計することができる。例えば、Xオーバーレイによって破壊されたY対称性。さらに、2つ以上の方向に対称性が存在する場合、異なるタイプのパターニングプロセスパラメータ(例えば、Xのオーバーレイ及びYのオーバーレイなどの異なるオーバーレイのタイプ)が、異なるタイプの対称性を破壊するように設計されたターゲットを用いることにより、引き起こされた非対称性をモニタリングして(関連のあるタイプの対称性に照らして)、一度に1つのパターニングプロセスパラメータ(例えばオーバーレイ)を決定することが可能となる。
[0251] 非プロダクトターゲットは、1つ又は複数の利点を有することができる。例えば、非プロダクトターゲット設計は、オンプロダクトターゲットからの放射の測定を用いることと比較して、減少した、又は最小限に抑えられた瞳相関を有することができ、その結果、測定放射から、関心のあるパターニングプロセスパラメータを決定することがより簡単である。ある実施形態では、非プロダクトターゲット設計は、同じパターニングプロセスパラメータの異なるタイプ間の、又はパターニングプロセスパラメータの異なる種類間のクロストークを減少させる、又は最小限に抑えることができる。従って、よりきれいな信号を取得することができる。非プロダクトターゲット設計は、ビームスポットに対して対称ユニットセルのインスタンスを持たないデバイスパターンのパターニングプロセスパラメータを測定するという利点を有することができる。従って、非プロダクトターゲット設計は、デバイスパターンが有用な対称ユニットセルのインスタンスを持たないかもしれない論理的及び/又は高度なメモリのような適用に対する、本明細書に記載された測定及び決定技術の拡張を可能にすることができる。非プロダクトターゲット設計は、例えば、本明細書に記載されるようなモデリングをより簡単にすることができる比較的単純な構造を有することができる。これは、単一のターゲットから2つ以上のパターニングプロセスパラメータのタイプを分離及び決定することをより簡単にすることができる。さらに、単一のパターニングプロセスパラメータタイプのみを決定する、又はパターニングプロセスパラメータタイプの特定の組み合わせを決定するように、非プロダクトターゲット設計を特別に構成することができる。
[0252] しかし、非プロダクトターゲット設計における過度の単純化は、パターニングプロセスパラメータ(例えばオーバーレイ)に対する不可欠なコントリビュータを消してしまう可能性がある。このリスクを軽減するために、非プロダクトターゲット設計は、デバイスプロダクトパターンと実質的に同じプロセスステップを想定するべきである。さらに、関心のあるパターニングプロセスパラメータに対する主なコントリビュータが、それらを非プロダクトターゲット設計及び/又は及び関連のモデリングに組み込むことができるように、識別されるべきである。
[0253] 従って、オンプロダクトターゲット設計のように、非プロダクトターゲット設計の一実施形態が、幾何学的対称性を有する構造を含むユニットセルの観点から定義される。ある実施形態では、対称性は、第1の方向(例えばX方向)、第2の直交方向(例えば、Y方向)、又は両方に存在してもよい。ある実施形態では、ユニットセルは、ユニットセルの構造の物理的構成の変化が、対称性の破壊を生じさせるように作られ、この対称性の破壊は、上記のように関心のあるパターニングプロセスパラメータの値を決定するために処理することができる特定の放射分布をもたらす。従って、事実上メトロロジターゲットとしての、及びある実施形態におけるユニットセルは、関心のあるパターニングプロセスパラメータを決定するための信号を提供するために使用される構造の最小面積を含有する。
[0254] ある実施形態では、非プロダクトターゲット設計は、少なくとも2つのパターニングプロセス(例えば、同じタイプのパターニングプロセスの少なくとも2回の実行、異なるタイプのパターニングプロセスの少なくとも2回の実行など)で作られた構造を含む。ある実施形態では、複数のパターニングプロセスの実行が、関心のあるパターニングプロセスパラメータが決定されている異なる層内に構造をもたらす場合、非プロダクトターゲット設計ユニットセルは、関心のある複数の層の各々からの構造を含む。ある実施形態では、複数のパターニングプロセスの実行が、関心のあるパターニングプロセスパラメータが決定されている同じ層内に複数の構造をもたらす場合、非プロダクトターゲット設計ユニットセルは、関心のある適用可能な異なるパターニングプロセス実行の各々からの構造を含む。ある実施形態では、第1のパターニングプロセスによって作られた第1の構造及び/又は第2のパターニングプロセスによって作られた第2の構造は、デバイスパターンの機能面を生じさせるためには使用されない。
[0255] 従って、ある実施形態では、及びユニットセルの観点から、複数のパターニングプロセスによる構造は、共に、ユニットセルのインスタンスを形成し、及びユニットセルは、公称物理的構成で幾何学的対称性を有し、このユニットセルは、公称物理的構成とは異なる物理的構成において、例えば、第1のパターニングプロセス、第2のパターニングプロセス及び/又は別のパターニングプロセスにおけるパターン配置の相対的シフトにより、ユニットセルの非対称性を生じさせるフィーチャを有する。このようなフィーチャの一例は、ある層の構造の別の層の構造に対するオフセットに応答して、ユニットセルの非対称性を生じさせるものである。
[0256] ある実施形態では、非プロダクトターゲット設計は、ユニットセルの繰り返しを含む。つまり、ある実施形態では、非プロダクトターゲットの物理的インスタンス上のビームスポットは、ビームスポットを埋めるユニットセルの複数のインスタンスの照明を行う。ある実施形態では、非プロダクトターゲット設計は、ユニットセルの少なくとも4個のインスタンス、少なくとも8個のインスタンス、少なくとも10個のインスタンス、少なくとも20個のインスタンス、少なくとも40個のインスタンス、少なくとも80個のインスタンス、少なくとも100個のインスタンス、少なくとも200個のインスタンス、少なくとも400個のインスタンス、又は少なくとも1000個のインスタンスを含む。
[0257] ある実施形態では、基板上で製造されるような非プロダクトターゲットは、小さなサイズを有する。例えば、非プロダクトターゲットは、100平方ミクロン以下、50平方ミクロン以下、又は25平方ミクロン以下の面積を有していてもよい。ある実施形態では、非プロダクトターゲットは、10ミクロン以下、又は5ミクロン以下の横方向寸法を有する。ある実施形態では、非プロダクトターゲットのビームスポットは、ターゲットの最大横方向寸法よりも小さい横方向寸法を有する。ある実施形態では、非プロダクトターゲットのビームスポットは、10ミクロン以下、5ミクロン以下、又は2ミクロン以下の横方向寸法を有する。ある実施形態では、非プロダクトターゲットのビームスポットは、100平方ミクロン以下、50平方ミクロン以下、又は25平方ミクロン以下の断面積を有する。ある実施形態では、非プロダクトターゲットのユニットセルは、250,000平方ナノメートル以下、150,000平方ナノメートル以下、100,000平方ナノメートル以下、又は50,000平方ナノメートル以下の面積を有する。ある実施形態では、非プロダクトターゲットのユニットセルは、500ナノメートル以下、300ナノメートル以下、200ナノメートル以下、又は150ナノメートル以下の横方向寸法を有する。ある実施形態では、非プロダクトターゲットのユニットセルは、非プロダクトターゲットと関連付けられたデバイスパターンのユニットセルよりも小さなサイズを有する。
[0258] ある実施形態では、ユニットセルは、第1のパターニングプロセスを用いて作られたデバイスのフィーチャ(例えば、構造、ボイドなど)に対応するフィーチャ(例えば、構造、ボイド(例えばギャップ)など)と、第2のパターニングプロセスを用いて作られたデバイスのフィーチャ(例えば、構造、ボイドなど)に対応するフィーチャ(例えば、構造、ボイドなど)とを含む。例えば、ユニットセルの構造は、デバイスの対応するデバイスフィーチャを作る第1のパターニングプロセスによって作られ、及びユニットセルの別の構造は、デバイスの対応するデバイスフィーチャを作る第2のパターニングプロセスによって作られる。ある実施形態では、ユニットセルに作られた1つ又は複数のフィーチャは、ユニットセルフィーチャを用いてパターニングプロセスパラメータが決定されているデバイスのフィーチャの重要なプロセスステップを共有する。ある実施形態では、それぞれの対応するパターニングプロセスによって作られたユニットセルのフィーチャは、例えば、ユニットセルのフィーチャ(例えばライン)と基本的に平行な方向に延在する、又は細長いデバイスの1つ又は複数のフィーチャ(例えば、ラインなどの構造)に対応する。従って、例えば、Y方向に延在する構造を含むユニットセルを用いて、Y方向に延在するデバイスの対応する構造のオーバーレイを決定することができる。
[0259] ある実施形態では、以下に提示される例において、さらに説明されるように、ユニットセルは、複数の異なるタイプの同一パターニングプロセスパラメータ(例えばオーバーレイ)の決定を可能にすることができる。例えば、ユニットセルは、2つ以上のタイプのオーバーレイ、3つ以上のタイプのオーバーレイなどの決定を可能にする。例えば、異なる方向の(例えば、X及びYの)オーバーレイのタイプに加えて、ユニットセルは、フィーチャの異なる組み合わせ間及び/又は層の異なる組み合わせ間のオーバーレイの決定を可能にすることができる。
[0260] ある実施形態では、ユニットセルは、デバイスの対応するフィーチャと同等の寸法(例えば、幅及び/又はピッチ)を有するフィーチャを有する。同等の寸法とは、同一、又はデバイスフィーチャ寸法から±5%以内(すなわち、デバイスフィーチャ寸法の95%〜105%)、デバイスフィーチャ寸法から±10%以内、デバイスフィーチャ寸法から±15%以内、デバイスフィーチャ寸法から±20%以内、又はデバイスフィーチャ寸法から±25%以内を意味する。ある実施形態では、測定信号を向上させ、及び従ってデバイスパターンのフィーチャの対応する寸法と一致しないように、1つ又は複数のユニットセルフィーチャの寸法を選択することができる。これは、例えば、ターゲットフィーチャの寸法の変化に対する信号出力の感度を評価することによって行うことができ、及び従って、その特定の状況において、信号を最大化し、又は閾値に適合又は交差する信号を提供するように、寸法を選択することができる。
[0261] ある実施形態では、非プロダクトターゲットは、オンプロダクトターゲットと併せて使用することができる。例えば、非プロダクトターゲットを用いて、オーバーレイを決定することができ、及びオンプロダクトターゲットを用いたオーバーレイの決定にその結果をフィードフォワードすることができる。
[0262] 図21を参照し、本明細書に記載の測定技術(例えば、重み及び瞳分布)を用いてパターニングプロセスパラメータを決定するための非プロダクトターゲット設計のユニットセルの非限定例が、描かれる。この場合、ユニットセルは、オーバーレイを決定するためのものである。図21Aでは、ユニットセル2000の一例が、描かれる。ユニットセル2000は、第1のパターニングプロセスで作られた構造2010(この場合、複数のライン2010)と、第2のパターニングプロセスで作られた構造2020(この場合、第2の複数のライン2020)とを含む。ユニットセルの対称性を示すために、アンカー2030が描かれる。この場合、ユニットセル2000は、Y方向に対称性を持つ。図21Aは、対称形態のユニットセルを示し、及びある公称オーバーレイ値(例えば、ゼロオーバーレイ)に対応する。
[0263] ある実施形態では、構造2010は、第1のパターニングプロセスを用いて作られたデバイスのフィーチャに対応する。つまり、構造2010は、デバイスの対応するデバイスフィーチャを作る第1のパターニングプロセスによって作られる。例えば、構造2010の作成は、デバイス内の構造の同等の作成に対応する。同様に、ある実施形態では、構造2020は、第2のパターニングプロセスを用いて作られたデバイスのフィーチャに対応する。つまり、構造2020は、デバイスの対応するデバイスフィーチャを作る第2のパターニングプロセスによって作られる。例えば、構造2020の作成は、デバイス内の構造の同等の作成に対応する。従って、ある実施形態では、構造2010は、例えば、構造2010のフィーチャ(例えばライン)と基本的に平行な方向に延在するデバイスの1つ又は複数のフィーチャ(例えば、ラインなどの構造)に対応する。同様に、構造2020は、例えば、構造2020のフィーチャ(例えばライン)と基本的に平行な方向に延在するデバイスの1つ又は複数のフィーチャ(例えば、ラインなどの構造)に対応する。ある実施形態では、構造2010は、構造2020とは異なる層に作られる。従って、ある実施形態では、Y方向に延在する構造2010及び2020を用いて、Y方向に延在するデバイスの対応する構造のオーバーレイを決定することができる。
[0264] 上記の通り、ある実施形態では、構造2010及び2020は、デバイスのフィーチャと同等の幅及び/又はピッチを有する。例えば、構造2010は、第1のパターニングプロセスで作られた対応するデバイス構造のフィーチャと同等の幅及び/又はピッチを有する。同様に、例えば、構造2020は、第2のパターニングプロセスで作られた対応するデバイス構造のフィーチャと同等の幅及び/又はピッチを有する。
[0265] ユニットセル2000では、ユニットセル2000の構造の異なる物理的構成に対して対称性の破壊を生じさせるフィーチャは、構造2010と構造2020との間の物理的差である。ある実施形態では、この差は、図21Aに模式的に描かれるように、X方向の構造2010及び2020の幅の差である。ある実施形態では、この差は、構造2010及び2020の材料組成の差であり、例えば、構造2010は、構造2020とは異なる材料から作られる。ある実施形態では、複数の物理的差の組み合わせ(例えば、幅及び物理的組成における差)が存在してもよい。
[0266] ユニットセル2000の場合の物理的差の結果として、構造2010と2020との間のX−Y面におけるX方向の相対的シフト2040が、ユニットセル2000において非対称性を生じさせる。これは、図21Bに描かれる。図21Bでは、構造2010は、それが第2のパターニングプロセスで作られた際の、図21Aに示される構造2010の公称(例えば設計)位置からシフトする。その結果は、アンカー2030からの変位2050である。従って、ユニットセル2000が、オーバーレイの存在しない状況に対応すると仮定すれば、変位2050は、上記のように(例えば、重み及び瞳分布)、望ましくは、ユニットセル2000を含むターゲットによって再誘導された放射を処理することによって決定されるオーバーレイに対応する。
[0267] ユニットセル2000が、Y軸に対する非対称性を示すので、非対称性を生じさせるフィーチャ(ここでは、構造2010と2020との間の物理的差)と組み合わせたX方向の並進は、放射分布(この放射分布から、Xオーバーレイ値を決定することができる)をもたらす。ある実施形態では、このXオーバーレイ値は、それぞれのパターニングプロセスを用いて作られたデバイスのフィーチャのXオーバーレイに対応する。ところで、もちろん、ユニットセル2000を、事実上、アンカー2030を中心に90度回転させることにより、構造2010と2020との間のY方向の相対的シフトに関するYオーバーレイ値を与えることができる。ある実施形態では、このYオーバーレイ値は、それぞれのパターニングプロセスを用いて作られたデバイスのフィーチャのYオーバーレイに対応する。ある実施形態では、その場合、構造2010及び2020に対応するデバイスフィーチャは、X方向に延在する。
[0268] 従って、ある実施形態では、ユニットセルの構造2010及び2020は、同じ方向に延在するデバイスのそれぞれのフィーチャに対応する。その結果、ユニットセル2000の構造は、デバイスのフィーチャの延在/伸長方向に直交する方向のオーバーレイ値をもたらすことができる。従って、同じ方向に延在するデバイスフィーチャ(これの直交方向のオーバーレイに関心がある)を識別することにより、構造2010及び2020の適切な選択、及びデバイスフィーチャの作成と共にそれらの作成を生じさせることによってオーバーレイを模倣するように、ユニットセル2000を設計することができる。
[0269] 図21では、主に、構造(例えばライン)自体の形成間のオーバーレイを決定するために、ユニットセル2000を設計した。一部のパターニングプロセスでは、ある特定のパターンが、そのパターンに対してエッチングが行われる際に、構造の一部が除去されるような構造を有した基板に転写される。このプロセス及びそれの結果は、本明細書では、カットと呼ばれる。例えば、デバイス構造(例えばライン)は、複数のピースにカットされてもよく、及び/又はデバイス構造の端部が切り落とされてもよい。認識されるように、カットが正確に行われたか否かを知ることが望ましい場合がある。従って、カット間のオーバーレイ及び/又はカットと構造との間のオーバーレイを知ることが望ましい場合がある。
[0270] さらに、図21のユニットセルは、デバイスのフィーチャの延在/伸長の方向と直交する方向のオーバーレイ値を決定することを可能にする。しかし、デバイスのフィーチャの延在/伸長の方向と平行な方向のオーバーレイを決定することが、望ましい場合がある。
[0271] これより図22を参照し、本明細書に記載の測定技術(例えば、重み及び瞳分布)を用いてパターニングプロセスパラメータを決定するための非プロダクトターゲット設計のユニットセルの非限定例が、描かれる。この場合、ユニットセルは、オーバーレイを決定するためのものである。図22Aでは、ユニットセル2100の一例が、描かれる。ユニットセル2100は、構造2110(この場合、複数のライン2110)と、構造2120(この場合、第2の複数のライン2120)とを含む。下記により詳細に記載されるように、この実施形態では、X及びYのオーバーレイは、この非プロダクトターゲット設計から決定することができる。
[0272] この実施形態では、ユニットセル2100は、図21のユニットセル2000のフィーチャを有し、及び従って、構造2110が第1のパターニングプロセスで作られ、及び構造2120が第2のパターニングプロセスで作られ、及び構造2110と2120との間に物理的差が存在する場合に、上記のようにX方向オーバーレイの決定を可能にすることができる。しかし、例えば、X方向オーバーレイが望まれない場合には、構造2110及び2120を同じパターニングプロセスで作ることができ、及び/又は構造2110及び2120は、同じ物理的特性を有することができる(すなわち、物理的差を持たない)。しかし、X方向オーバーレイが望まれない場合でも、構造2110及び2120は、より良い測定信号を提供するために、異なる物理的特性を有することができる。
[0273] 従って、X及びYのオーバーレイの決定を可能にするこの実施形態では、ユニットセル2100は、第1のパターニングプロセスで作られた構造2110と、第2のパターニングプロセスで作られた構造2120とを含む。ユニットセルの対称性を示すために、アンカー2130が描かれる。この場合、ユニットセル2100は、Y方向の対称性及びX方向の対称性を持つ。図22Aは、対称形態のユニットセルを示し、及びある公称オーバーレイ値(例えば、ゼロオーバーレイ)に対応する。
[0274] ある実施形態では、構造2110は、上記のように、第1のパターニングプロセスを用いて作られたデバイスのフィーチャに対応し、及び構造2120は、第2のパターニングプロセスを用いて作られたデバイスのフィーチャに対応する。そして、ユニットセル2100では、ユニットセル2100の構造の異なる物理的構成に対して対称性の破壊を生じさせるフィーチャは、構造2110と構造2120との間の物理的差である。ある実施形態では、この差は、図22Aに模式的に描かれるように、X方向の構造2110及び2120の幅の差である。ある実施形態では、この差は、構造2110及び2120の材料組成の差であり、例えば、構造2110は、構造2120とは異なる材料から作られる。
[0275] 上記で説明したように、ユニットセル2100の場合の物理的差の結果として、構造2110と2120との間のX−Y面におけるX方向の相対的シフト2180が、ユニットセル2100において非対称性を生じさせる。これは、図22Cに描かれる。図22Cでは、構造2110は、それが第2のパターニングプロセスで作られた際の、図22Aに示される構造2110の公称(例えば設計)位置からシフトする。その結果は、アンカー2130からの変位2190である。従って、ユニットセル2100が、オーバーレイの存在しない状況に対応すると仮定すれば、変位2190は、上記のように(例えば、重み及び瞳分布)、望ましくは、ユニットセル2100を含むターゲットによって再誘導された放射を処理することによって決定されるオーバーレイに対応する。
[0276] ユニットセル2100が、Y軸に対する非対称性を示すので、非対称性を生じさせるフィーチャ(ここでは、構造2110と2120との間の物理的差)と組み合わせたX方向の並進は、放射分布(この放射分布から、Xオーバーレイ値を決定することができる)をもたらす。ある実施形態では、このXオーバーレイ値は、それぞれのパターニングプロセスを用いて作られたデバイスのフィーチャのXオーバーレイに対応する。ところで、もちろん、ユニットセル2100を、事実上、アンカー2130を中心に90度回転させることにより、構造2110と2120との間のY方向の相対的シフトに関するYオーバーレイ値を与えることができる。ある実施形態では、このYオーバーレイ値は、それぞれのパターニングプロセスを用いて作られたデバイスのフィーチャのYオーバーレイに対応する。ある実施形態では、その場合、構造2110及び2120に対応するデバイスフィーチャは、X方向に延在する。
[0277] ところで、ユニットセル2100はさらに、Y方向のオーバーレイの決定を可能にする。どのようにして非プロダクトターゲットのユニットセルの構造が、デバイスのフィーチャに対応することができるかと同様に、非プロダクトターゲット設計のカットは、デバイスのフィーチャ(例えばカット)に対応することができる。
[0278] 図22Aを参照し、ユニットセル2100は、第1のパターニングプロセスで作られたカット2150と、第2のパターニングプロセスで作られたカット2140とを含む。カット2150及び2140は、公称物理的構成のユニットセルの対称性を維持するように配置される。
[0279] ある実施形態では、カット2150は、第1のパターニングプロセスを用いて作られたデバイスのフィーチャに対応する。つまり、カット2150は、デバイスの対応するデバイスフィーチャを作る第1のパターニングプロセスによって作られる。例えば、カット2150の作成は、デバイスにおけるカットの同等の作成に対応する。同様に、ある実施形態では、カット2140は、第2のパターニングプロセスを用いて作られたデバイスのフィーチャに対応する。つまり、カット2140は、デバイスの対応するデバイスフィーチャを作る第2のパターニングプロセスによって作られる。例えば、カット2140の作成は、デバイスにおけるカットの同等の作成に対応する。従って、ある実施形態では、カット2150は、例えば、カット2150と基本的に平行な方向に延在するデバイスの1つ又は複数のフィーチャ(例えば、1つ又は複数のカット)に対応する。同様に、カット2140は、例えば、カット2140と基本的に平行な方向に延在するデバイスの1つ又は複数のフィーチャ(例えば、1つ又は複数のカット)に対応する。ある実施形態では、カット2150は、カット2140とは異なる層に作られる。従って、ある実施形態では、カット2150及び2140を用いて、Y方向のデバイスの対応するカットのオーバーレイを決定することができる。
[0280] ある実施形態では、カット2150及び2140は、デバイスのフィーチャと同等の幅及び/又はピッチを有する。例えば、カット2150は、第1のパターニングプロセスで作られた対応するデバイス構造のフィーチャ(例えば、1つ又は複数のカット)と同等の幅及び/又はピッチを有する。同様に、例えば、カット2140は、第2のパターニングプロセスで作られた対応するデバイス構造のフィーチャ(例えば、1つ又は複数のカット)と同等の幅及び/又はピッチを有する。
[0281] ユニットセル2100では、ユニットセル2100の構造の異なる物理的構成に対して対称性の破壊を生じさせるフィーチャは、カット2150と2140との間の相対的シフトの際に非対称性を生じさせるカット2150及び2140の配置である。ある実施形態では、カット2140が、各構造2120に作られる一方で、カット2150は、各構造2110に作られない。認識されるように、カット2150が、各構造2110に作られることが可能である一方で、カット2140は、各構造2120に作られない。認識されるように、カットの異なる場所及び/又はカットの異なるサイズを包含したカットの観点から、多くの異なるバリエーションが可能である。
[0282] カット2150及び2140の配置の結果として、カット2150と2140との間のX−Y面におけるY方向の相対的シフト2160が、ユニットセル2100において非対称性を生じさせる。これは、図22Bに描かれる。図22Bでは、カット2150は、それが第1のパターニングプロセスで作られた際の、図22Aに示される公称(例えば設計)位置からシフトする。その結果は、アンカー2130からの変位2170である。従って、ユニットセル2100が、オーバーレイの存在しない状況に対応すると仮定すれば、変位2170は、上記のように(例えば、重み及び瞳分布)、望ましくは、ユニットセル2100を含むターゲットによって再誘導された放射を処理することによって決定されるオーバーレイに対応する。
[0283] ユニットセル2100が、X軸に対する非対称性を示すので、非対称性を生じさせるフィーチャ(ここでは、カット2140及び2150の配置)と組み合わせたY方向の並進は、放射分布(この放射分布から、Yオーバーレイ値を決定することができる)をもたらす。ある実施形態では、このYオーバーレイ値は、それぞれのパターニングプロセスを用いて作られたデバイスのフィーチャのYオーバーレイに対応する。ところで、もちろん、ユニットセル2100を、事実上、アンカー2130を中心に90度回転させることにより、カット2140と2150との間のX方向の相対的シフトに関するXオーバーレイ値を与えることができる。ある実施形態では、このXオーバーレイ値は、それぞれのパターニングプロセスを用いて作られたデバイスのフィーチャ(例えばカット)のXオーバーレイに対応する。ある実施形態では、その場合、カット2140及び2150に対応するデバイスフィーチャ(例えばカット)は、X方向に延在する。
[0284] 従って、ある実施形態では、ユニットセルのカット2140及び2150は、同じ方向に延在するデバイスのそれぞれのフィーチャに対応する。その結果、ユニットセル2100の構造は、デバイスのフィーチャの延在/伸長方向と平行な方向のオーバーレイ値をもたらすことができる。従って、同じ方向に延在するデバイスフィーチャ(これの平行な方向のオーバーレイに関心がある)を識別することにより、カット2140及び2150の適切な選択、及びデバイスフィーチャの作成と共にそれらの作成を生じさせることによってオーバーレイを模倣するように、ユニットセル2100を設計することができる。
[0285] 上記の通り、ある実施形態では、カットがデバイスフィーチャに作られる場合と同様に、カット2140及び2150を構造2110及び2120に作ることができる。従って、カット2140及び2150は、デバイス構造を作る際に作られたカットのオーバーレイの良好な測度を与えることができる。しかし、ある実施形態では、代わりに、カット2140及び2150は、構造2110及び2120が作られた際に作られたボイドでもよく、及びデバイスの構造を作るための対応するパターニングプロセスの一部として作られてもよい。従って、この場合のボイド2140及び2150は、デバイスを作る際に作られた構造のオーバーレイの良好な測度を与えることができる。
[0286] そして、図22は、オーバーレイの決定を容易にするカット/ボイドを示すが、構造2110及び2120は、1つ又は複数の突出又は変形(例えば、描かれたカットの場所における突出)を有していてもよい。従って、このような突出又は変形間の相対的変位が、カット2140及び2150とほぼ同じように、ユニットセルにおいて非対称性を生じさせる可能性がある。構造2110及び2120が作成される、又はカッティングプロセスによって作成される際に、突出又は変形を作成することができる。従って、突出又は変形を用いて、例えば、デバイス構造間の(例えば、構造2110及び2120が作られた際に作られた突出又は変形に関する)、又はデバイスカット間の(例えば、構造2110及び2120をカットすることによって作られた突出又は変形に関する)オーバーレイの決定を容易にすることができる。
[0287] 図22Dは、ユニットセルの複数のインスタンスを含む非プロダクトターゲットを模式的に描く。この非限定例では、図22Dは、ユニットセルの少なくとも4つのインスタンスを含む。図22Dは、対称形態のユニットセルのインスタンスを示し、及びある公称オーバーレイ値(例えば、ゼロオーバーレイ)に対応する。ある実施形態では、構造2110のピッチ2192は、構造2120のピッチ2194と同等である。
[0288] 図22Dでは、例えば、第2のパターニングプロセスが、X方向において十分にアライメントせず、構造2110と2120との間の相対的シフトをもたらす場合、Y対称性が破壊され、及び瞳のY対称性も破壊される。従って、この状態におけるターゲットの測定を、Xオーバーレイ決定に変換することができる。事実上、構造2110及び2120を用いて、Xオーバーレイが決定される。同様に、例えば、第2のパターニングプロセスが、Y方向において十分にアライメントせず、カット2140と2150との間の相対的シフトをもたらす場合、X対称性が破壊され、及び瞳のX対称性も破壊される。従って、この状態におけるターゲットの測定を、Yオーバーレイ決定に変換することができる。事実上、カット2140及び2150を用いて、Yオーバーレイが決定される。さらに、図22Dに見られるように、Y方向のカットのシフトは、Y軸に対する対称性を変化させず、及びX方向の構造のシフトは、X軸に対する対称性を変化させない。従って、X及びY方向オーバーレイが、切り離される。従って、X及びY方向における不適切にアライメントされたパターニングプロセスの組み合わせは、X及びY対称性の破壊をもたらすが、異なるオーバーレイを信号から分離することができる。
[0289] ある実施形態では、デバイスパターンのパターニングプロセスと密接に同等となるように、構造の数、及びそれらのサイズ、ピッチなどを構成することができる。同様に、デバイスパターンのパターニングプロセスと密接に同等となるように、カット(又は突出/変形)の数、及びそれらのサイズ、ピッチなどを構成することができる。例えば、カットは、可能であれば、デバイスで使用されるCD及びピッチと同等となる。しかし、ある実施形態では、カットの場所及び/又は数は、対称ユニットセルを作るように適合させられる。さらに、構造及びカット(又は突出/変形)のピッチを適合させることによって、非プロダクトターゲットのオーバーレイ感度を調整することができる。
[0290] 図23を参照し、本明細書に記載の測定技術(例えば、重み及び瞳分布)を用いてパターニングプロセスパラメータを決定するための非プロダクトターゲット設計のユニットセルの非限定例が、描かれる。この場合、ユニットセルは、オーバーレイを決定するためのものである。図23Aでは、ユニットセル2300の一例が、描かれる。ユニットセル2300は、構造2310(この場合、複数のライン2310)と、構造2320(この場合、第2の複数のライン2320)とを含む。図21及び22とは異なり、構造2310は、構造2320と実質的に垂直な方向に延在する。ユニットセルの対称性を示すために、アンカー2340が描かれる。この場合、ユニットセル2300は、Y方向に対称性を持つ。図23Aは、対称形態のユニットセルを示し、及びある公称オーバーレイ値(例えば、ゼロオーバーレイ)に対応する。
[0291] 従って、ユニットセル2300のこの実施形態では、第1の方向延在する構造と、第1の方向と基本的に直交する第2の方向延在するカット又は構造との間のX方向のオーバーレイを、このユニットセルからの再誘導放射から決定することができる。
[0292] 具体的には、上記の原理と同様に、ユニットセル2300は、第1のパターニングプロセスで作られた構造2310を含み、及び第2のパターニングプロセスで作られたカット2330及び/又は構造2320を含む。例えば、構造2310とカット2330との間のオーバーレイが望まれる場合には、構造2310は、第1のパターニングプロセスで作られ、及びカット2330は、第2のパターニングプロセスで作られる(任意選択的に、構造2320も第2のパターニングプロセスで作られる)。例えば、構造2310と2320との間のオーバーレイが望まれる場合には、構造2310は、第1のパターニングプロセスで作られ、及び構造2320は、例えばカット2330と同等のボイドを有して、第2のパターニングプロセスで作られる。構造2310及び2320と、カット2330とは、公称物理的構成におけるユニットセルの対称性を維持するように配置される。
[0293] 上記の実施形態と同様に、構造2310は、第1のパターニングプロセスを用いて作られたデバイスのフィーチャに対応する。つまり、構造2310は、デバイスの対応するデバイスフィーチャを作る第1のパターニングプロセスによって作られる。例えば、構造2310の作成は、デバイス内の構造の同等の作成に対応する。同様に、ある実施形態では、構造2320及び/又はカット2330は、第2のパターニングプロセスを用いて作られたデバイスのフィーチャに対応する。つまり、構造2320及び/又はカット2330は、デバイスの対応するデバイスフィーチャを作る第2のパターニングプロセスによって作られる。例えば、カット2330の作成は、デバイス内のカットの同等の作成に対応する。従って、ある実施形態では、構造2310は、例えば、構造2310と基本的に平行な第1の方向に延在するデバイスの1つ又は複数のフィーチャ(例えば、1つ又は複数の構造)に対応する。同様に、構造2320及び/又はカット2330は、例えば、第1の方向と基本的に垂直な第2の方向に延在するデバイスの1つ又は複数のフィーチャに対応する。ある実施形態では、構造2320及び/又はカット2330は、構造2310とは異なる層に作られる。従って、ある実施形態では、カット2330(又は構造2320のカットと同等のボイド)を用いて、X方向のデバイスの対応するフィーチャのオーバーレイを決定することができる。
[0294] ある実施形態では、構造2310と、構造2320及び/又はカット2330とは、デバイスのフィーチャと同等の幅及び/又はピッチを有する。例えば、構造2310は、第1のパターニングプロセスで作られた対応するデバイス構造のフィーチャ(例えば、1つ又は複数の構造)と同等の幅及び/又はピッチを有する。同様に、例えば、構造2320及び/又はカット2330は、第2のパターニングプロセスで作られた対応するデバイス構造のフィーチャと同等の幅及び/又はピッチを有する。
[0295] ユニットセル2300では、ユニットセル2300の構造の異なる物理的構成に対して対称性の破壊を生じさせるフィーチャは、構造2310とカット2330との間(又は構造2310と2320との間)の相対的シフトの際に非対称性を生じさせるカット2330(又は構造2320の同等のボイド)の配置である。認識されるように、カット/ボイドの異なる場所及び/又はカット/ボイドの異なるサイズを包含したカット/ボイドの観点から、多くの異なるバリエーションが可能である。
[0296] 基本的に直角に交わる構造2310及び2320と組み合わせたカット2330(又はボイド2330)の配置の結果として、構造2310とカット2330との間(又はボイドがある場合、構造2310と2320との間)のX−Y面におけるX方向の相対的シフト2350が、ユニットセル2300において非対称性を生じさせる。これは、図23Bに描かれる。図23Bでは、カット2330は、それが第2のパターニングプロセスで作られた際の、図23Aに示される公称(例えば設計)位置からシフトする。その結果は、アンカー2340からの変位2360である。従って、ユニットセル2300が、オーバーレイの存在しない状況に対応すると仮定すれば、変位2360は、上記のように(例えば、重み及び瞳分布)、望ましくは、ユニットセル2300を含むターゲットによって再誘導された放射を処理することによって決定されるオーバーレイに対応する。
[0297] ユニットセル2300が、Y軸に対する非対称性を示すので、非対称性を生じさせるフィーチャ(ここでは、基本的に直角に交わる構造2310及び2320と組み合わせたカット2330(又はボイド2330)の配置)と組み合わせたX方向の並進は、放射分布(この放射分布から、Xオーバーレイ値を決定することができる)をもたらす。ある実施形態では、このXオーバーレイ値は、それぞれのパターニングプロセスを用いて作られたデバイスのフィーチャのXオーバーレイに対応する。
[0298] 従って、ある実施形態では、ユニットセルの構造2310及び構造2320及び/又はカット2330は、同じ方向に延在するデバイスのそれぞれのフィーチャに対応する。その結果、ユニットセル2300の構造は、直角に交わる方向に延在した、又は細長いフィーチャのオーバーレイ値をもたらすことができる。従って、直交方向に延在するデバイスフィーチャ(これのある方向のオーバーレイに関心がある)を識別することにより、直交構造2310及び2320に関連したカット2330(又はボイド2330)の適切な選択、及びデバイスフィーチャの作成と共にそれらの作成を生じさせることによってオーバーレイを模倣するように、ユニットセル2300を設計することができる。
[0299] そして、図23は、オーバーレイの決定を容易にするカット/ボイドを示すが、構造2310及び2320は、1つ又は複数の突出又は変形(例えば、描かれたカットの場所における突出)を有していてもよい。従って、そのような突出又は変形間の相対的変位が、カット2330とほぼ同じように、ユニットセルにおいて非対称性を生じさせる可能性がある。構造2310及び2320が作成される、又はカッティングプロセスによって作成される際に、突出又は変形を作成することができる。従って、突出又は変形を用いて、例えば、デバイス構造間の(例えば、構造2310及び2320が作られた際に作られた突出又は変形に関する)、又はカットと構造との間のオーバーレイの決定を容易にすることができる。
[0300] ところで、もちろん、ユニットセル2300を、事実上、アンカー2340を中心に90度回転させることにより、構造2310と、構造2320及び/又はカット2330との間のY方向の相対的シフトに関するYオーバーレイ値を与えることができる。ある実施形態では、このYオーバーレイ値は、それぞれのパターニングプロセスを用いて作られたデバイスのフィーチャのYオーバーレイに対応する。
[0301] 図24を参照し、本明細書に記載の測定技術(例えば、重み及び瞳分布)を用いてパターニングプロセスパラメータを決定するための非プロダクトターゲット設計のユニットセルの非限定例が、描かれる。この場合、ユニットセルは、オーバーレイを決定するためのものである。図24Aでは、ユニットセル2400の一例が、描かれる。ユニットセル2400は、構造2410(この場合、複数のライン2410)と、構造2420(この場合、第2の複数のライン2420)とを含む。構造2410は、構造2420と実質的に垂直な方向に延在する。ユニットセルの対称性を示すために、アンカー2440が描かれる。この場合、ユニットセル2400は、X方向に対称性を持つ。図24Aは、対称形態のユニットセルを示し、及びある公称オーバーレイ値(例えば、ゼロオーバーレイ)に対応する。
[0302] 従って、ユニットセル2400のこの実施形態では、第1の方向延在する構造と、第1の方向と基本的に直交する第2の方向延在するカット又は構造との間のY方向のオーバーレイを、このユニットセルからの再誘導放射から決定することができる。
[0303] 図24は、事実上、図23の逆の配置である。図23は、X方向オーバーレイを決定するために設計されているが、図24は、Y方向オーバーレイを決定するために設計されている。ただし、図23と同様に、図24のユニットセルは、X方向オーバーレイを決定するために、90度回転させることができる。しかし、図23とは異なり、カット2430(又は作られた構造2410のボイド2430)は、図24の実施形態の第2のパターニングプロセスと比較して、第1のパターニングプロセスで作られる。
[0304] 従って、ユニットセル2400では、ユニットセル2400の構造の異なる物理的構成に対して対称性の破壊を生じさせるフィーチャは、カット2430と構造2420との間(又は構造2410と2420との間)の相対的シフトの際に非対称性を生じさせるカット2430(又は構造2410の同等のボイド)の配置である。認識されるように、カット/ボイドの異なる場所及び/又はカット/ボイドの異なるサイズを包含したカット/ボイドの観点から、多くの異なるバリエーションが可能である。
[0305] 基本的に直角に交わる構造2410及び2420と組み合わせたカット2430(又はボイド2430)の配置の結果として、カット2430と構造2420との間(又はボイドがある場合、構造2410と2420との間)のX−Y面におけるY方向の相対的シフト2450が、ユニットセル2400において非対称性を生じさせる。これは、図24Bに描かれる。図24Bでは、カット2430は、それが第1のパターニングプロセスで作られた際の、図24Aに示される公称(例えば設計)位置からシフトする。その結果は、アンカー2440からの変位2460である。従って、ユニットセル2300が、オーバーレイの存在しない状況に対応すると仮定すれば、変位2460は、上記のように(例えば、重み及び瞳分布)、望ましくは、ユニットセル2400を含むターゲットによって再誘導された放射を処理することによって決定されるオーバーレイに対応する。
[0306] ユニットセル2400が、X軸に対する非対称性を示すので、非対称性を生じさせるフィーチャ(ここでは、基本的に直角に交わる構造2410及び2420と組み合わせたカット2430(又はボイド2430)の配置)と組み合わせたY方向の並進は、放射分布(この放射分布から、Yオーバーレイ値を決定することができる)をもたらす。ある実施形態では、このYオーバーレイ値は、それぞれのパターニングプロセスを用いて作られたデバイスのフィーチャのYオーバーレイに対応する。
[0307] そして、図24は、オーバーレイの決定を容易にするカット/ボイドを示すが、構造2410及び2420は、1つ又は複数の突出又は変形(例えば、描かれたカットの場所における突出)を有していてもよい。従って、このような突出又は変形間の相対的変位が、カット2430とほぼ同じように、ユニットセルにおいて非対称性を生じさせる可能性がある。構造2410及び2420が作成される、又はカッティングプロセスによって作成される際に、突出又は変形を作成することができる。従って、突出又は変形を用いて、例えば、デバイス構造間の(例えば、構造2410及び2420が作られた際に作られた突出又は変形に関する)、又はカットと構造との間のオーバーレイの決定を容易にすることができる。
[0308] ところで、もちろん、ユニットセル2400を、事実上、アンカー2440を中心に90度回転させることにより、構造2410及び/又はカット2430と、構造2420との間のX方向の相対的シフトに関するXオーバーレイ値を与えることができる。ある実施形態では、このXオーバーレイ値は、それぞれのパターニングプロセスを用いて作られたデバイスのフィーチャのXオーバーレイに対応する。
[0309] 図25を参照し、本明細書に記載の測定技術(例えば、重み及び瞳分布)を用いてパターニングプロセスパラメータを決定するための非プロダクトターゲット設計のユニットセルの非限定例が、描かれる。この場合、ユニットセルは、オーバーレイを決定するためのものである。図25Aでは、ユニットセル2500の一例が、描かれる。ユニットセル2500は、第1のパターニングプロセスで作られた構造2510(この場合、複数のライン2510)と、第2のパターニングプロセスで作られた構造2520(この場合、第2の複数のライン2520)とを含む。構造2510は、構造2520と実質的に平行な方向に延在する。ユニットセルの対称性を示すために、アンカー2530が描かれる。この場合、ユニットセル2500は、Y方向に対称性を持つ。図25Aは、対称形態のユニットセルを示し、及びある公称オーバーレイ値(例えば、ゼロオーバーレイ)に対応する。
[0310] 図25の非プロダクトターゲット設計は、図21の非プロダクトターゲット設計と同等である。その差は、図20に設けられたセンターライン2010と比較して、センターライン2510が設けられていない点である。これは、ユニットセル2500及び非プロダクトターゲットが、図20よりも少ない構造を含み、これは、例えば、関連のモデリングを向上させることができることを意味する。しかし、これは、デバイスにおける対応するフィーチャのラインの異なるピッチを含む場合があり、例えば、構造2520のラインのピッチは、デバイスの同等のラインのピッチとは異なる必要がある場合がある。
[0311] ある実施形態では、構造2510は、少なくとも2つのサブ構造(例えば、ライン状構造)を含む。代替的又は追加的に、構造2520は、少なくとも2つのサブ構造(例えば、ライン状構造)を含む。これは、十分な信号を可能にするためである。この原理は、本明細書に記載の他の実施形態に適用することができる。
[0312] 図21と同様に、対称性の破壊を生じさせるフィーチャは、構造2510と2520との間の物理的差であり、これは、図示された実施形態では、構造2510及び2520の幅の差である。従って、図21と同様に、及び図25Bに示されるように、構造2510と2520との間の相対的シフト2540が、Y方向の対称性の破壊をもたらす。対称性の破壊は、相対的変位2550の決定を可能にする特定の放射分布をもたらす。相対的変位2550は、対応するデバイスフィーチャのX方向オーバーレイに対応することができる。
[0313] 図26を参照し、本明細書に記載の測定技術(例えば、重み及び瞳分布)を用いてパターニングプロセスパラメータを決定するための非プロダクトターゲット設計のユニットセルの非限定例が、描かれる。この場合、ユニットセルは、オーバーレイを決定するためのものである。図26Aでは、ユニットセル2600の一例が、描かれる。ユニットセル2600は、第1のパターニングプロセスで作られた構造2610(この場合、複数のライン2610)と、第2のパターニングプロセスで作られた構造2620(この場合、第2の複数のライン2620)とを含む。構造2610は、構造2620と実質的に平行な方向に延在する。さらに、構造2610は、パターニングプロセスによって作られたカット2630を含み、及び構造2620は、パターニングプロセスによって作られたカット2640を含む。ユニットセルの対称性を示すために、アンカー2650が描かれる。この場合、ユニットセル2600は、Y方向の対称性及びX方向の対称性を持つ。図26Aは、対称形態のユニットセルを示し、及びある公称オーバーレイ値(例えば、ゼロオーバーレイ)に対応する。
[0314] 図26の非プロダクトターゲット設計は、レイアウトにおいて、及びX及びY方向のオーバーレイを決定するために使用されることが可能であるという観点から、図22の非プロダクトターゲット設計と同等である。差は、図20に設けられたセンターライン2010と比較して、センターライン2610が設けられていない点である。これは、ユニットセル2600及び非プロダクトターゲットが、図20よりも少ない構造を含み、これは、例えば、関連のモデリングを向上させることができることを意味する。さらに、カット2630及び2640は、図22とは異なる配置を有する。カットの配置は、非対称性を提供するためであるが、カットに関与する相対的シフトが存在する場合に、対称性の破壊も可能にするためである。
[0315] 図26のこの異なる配置の結果として、設計は、デバイスにおける対応するフィーチャのラインの異なるピッチを含む場合があり、例えば、構造2620のラインのピッチは、デバイスの同等のラインのピッチとは異なる必要がある場合がある。
[0316] 図22と同様に、対称性の破壊を生じさせるフィーチャは、構造2610と2620との間の物理的差であり、これは、図示された実施形態では、構造2610及び2620の幅の差である。従って、図22と同様に、及び図26Cに示されるように、構造2610と2620との間の相対的シフト2670が、Y方向の対称性の破壊をもたらす。対称性の破壊は、相対的変位2680の決定を可能にする特定の放射分布をもたらす。相対的変位2680は、対応するデバイスフィーチャのX方向オーバーレイに対応することができる。
[0317] さらに、図22と同様に、対称性の破壊を生じさせるフィーチャは、カット2630及び2640の配置である。従って、図22と同様に、及び図26Bに示されるように、カット2630と2640との間の相対的シフト2650が、X方向の対称性の破壊をもたらす。対称性の破壊は、相対的変位2660の決定を可能にする特定の放射分布をもたらす。相対的変位2660は、対応するデバイスフィーチャのY方向オーバーレイに対応することができる。
[0318] 図27を参照し、本明細書に記載の測定技術(例えば、重み及び瞳分布)を用いてパターニングプロセスパラメータを決定するための非プロダクトターゲット設計のユニットセルの非限定例が、描かれる。この場合、ユニットセルは、オーバーレイを決定するためのものである。図27Aでは、ユニットセル2700の一例が、描かれる。ユニットセル2700は、第1のパターニングプロセスで作られた構造2710(この場合、複数のライン2710)と、第2のパターニングプロセスで作られた構造2720(この場合、第2の複数のライン2720)と、第3のパターニングプロセスで作られた構造2730(この場合、第3の複数のライン2730)とを含む。構造2710は、構造2720と実質的に平行な方向に延在する。さらに、構造2730は、構造2710及び2720と実質的に垂直な方向に延在する。さらに、構造2710は、パターニングプロセスによって作られたカット2740と、パターニングプロセスによって作られたカット2750とを含む。ユニットセルの対称性を示すために、アンカー2750が描かれる。この場合、ユニットセル2700は、Y方向の対称性及びX方向の対称性を持つ。図27Aは、対称形態のユニットセルを示し、及びある公称オーバーレイ値(例えば、ゼロオーバーレイ)に対応する。
[0319] 図27の非プロダクトターゲット設計は、レイアウトにおいて、及びX及びY方向のオーバーレイを決定するために使用されることが可能であるという観点から、図22の非プロダクトターゲット設計と同等である。差は、さらなる構造2730が、第3のパターニングプロセスで設けられる点である。
[0320] この配置により、この非プロダクトターゲットは、例えば、デバイスの3つ以上の層にわたるフィーチャ間のオーバーレイを決定することを可能にすることができる。例えば、この非プロダクトターゲットは、デバイスの第1の層のフィーチャと、デバイスの第2の層のフィーチャとの間のオーバーレイ、及びデバイスの第1の層のフィーチャと、デバイスの第3の層のフィーチャとの間のオーバーレイを決定することを可能にすることができる。
[0321] 例えば、図22に関して記載したように、構造2710と2720との間のX方向のシフトは、構造2710及び2720に対応したデバイスフィーチャ間のX方向オーバーレイの決定を可能にすることができる。
[0322] しかし、図22の配置に加えて、カット2750と構造2730との間のY方向のシフトは、カット2750及び構造2730に対応したデバイスフィーチャ間のY方向オーバーレイの決定を可能にすることができる。そして、この実施形態では、構造2730は、構造2710及び2720とは異なる層に存在することができる。
[0323] 構造2730に関する対称性の破壊を生じさせるフィーチャは、構造2730に対するカット2750の配置である。従って、図27Bに示されるように、構造2730とカット2750との間の相対的シフト2760が、X方向の対称性の破壊をもたらす。対称性の破壊は、相対的変位2770の決定を可能にする特定の放射分布をもたらす。相対的変位2670は、対応するデバイスフィーチャのY方向オーバーレイに対応することができる。
[0324] 従って、図27は、3つの異なるプロセスステップ間のオーバーレイの測定を可能にする結合ターゲットを表す。このターゲットは、例えば、第1の層のフィーチャと第2の層のフィーチャとの間のオーバーレイ測定(X方向の)及び第1の層のフィーチャと第3の層のフィーチャとの間のオーバーレイ測定(Y方向の)を可能にする。もちろん、ある実施形態では、図27のターゲットは、図27に示されるような結合ターゲットではなく、1つの層ペアにつき1つのターゲットを提供するために、別個のターゲット(例えば、第1の層のフィーチャと第2の層のフィーチャとの間のオーバーレイ測定のための、構造2710及び2720及びカット2740を有したターゲット、及び第1の層のフィーチャと第3の層のフィーチャとの間のオーバーレイ測定のための、構造2710及び2730及びカット2740を有した別のターゲット)に分離することができる。
[0325] 図28を参照し、本明細書に記載の測定技術(例えば、重み及び瞳分布)を用いてパターニングプロセスパラメータを決定するための非プロダクトターゲット設計のユニットセルの非限定例が、描かれる。この場合、ユニットセルは、オーバーレイを決定するためのものである。図28Aでは、ユニットセル2800の一例が、描かれる。ユニットセル2800は、第1のパターニングプロセスで作られた構造2810(この場合、複数の閉曲線2810、例えば、基本的に円形又は楕円)と、第2のパターニングプロセスで作られた構造2820(この場合、第2の複数の閉曲線2820、例えば、基本的に円形又は楕円)とを含む。構造2810は、構造2820と実質的に平行な方向に延在する。この場合、ユニットセル2800は、Y方向の対称性及びX方向の対称性を持つ。図28Aは、対称形態のユニットセルを示し、及びある公称オーバーレイ値(例えば、ゼロオーバーレイ)に対応する。
[0326] この構成では、図28Bに示されるように、構造2810と2820との間の相対的シフト2830が、Y方向の対称性の破壊をもたらす。対称性の破壊は、相対的変位2840の決定を可能にする特定の放射分布をもたらす。相対的変位2840は、対応するデバイスフィーチャのX方向オーバーレイに対応することができる。
[0327] さらに、この構成では、図28Cに示されるように、構造2810と2820との間の相対的シフト2850が、X方向の対称性の破壊をもたらす。対称性の破壊は、相対的変位2860の決定を可能にする特定の放射分布をもたらす。相対的変位2860は、対応するデバイスフィーチャのY方向オーバーレイに対応することができる。
[0328] 対称性の破壊を生じさせるフィーチャは、構造2820に対する構造2810の千鳥状配置である。この実施形態では、構造2810は、構造2820とは異なる幅を有して描かれるが、構造2810及び2820が、図示のように千鳥状配置にある限り、そのような差を有する必要はない。あるいは、構造2810及び2820が、千鳥状配置にない場合には、物理的差(例えば、異なる幅、異なる材料など)を用いて、対称性を破壊することが可能である。
[0329] 図21〜28のフィーチャの異なる組み合わせを1つのユニットセルにまとめることにより、あるパラメータの複数のタイプ(例えば、X方向オーバーレイ及びY方向オーバーレイ、デバイスのフィーチャの異なる組み合わせ間のオーバーレイなど)の決定を可能にすることができる。ある実施形態では、別個のターゲットを、それぞれパラメータの1つのタイプに対して作成することができ(例えば、X方向オーバーレイ用のターゲット、及びY方向オーバーレイ用の別個のターゲット、フィーチャの第1の組み合わせ間のオーバーレイ用のターゲット、及びフィーチャの第2の組み合わせ間のオーバーレイ用の別個のターゲットなど)、又はパラメータの複数のタイプの組み合わせを決定するために、マルチプルズターゲットを作成することができる。
[0330] これより図29を参照し、図29Aは、デバイスパターンフィーチャの一例を模式的に描く。例えば、デバイスパターンフィーチャは、メモリデバイス(例えばSRAM)用のものでもよい。認識されるように、フルメモリデバイスは、描かれたエリアにおいて、恐らく、はるかに多くのフィーチャを有するだろう。しかし、図29Aに描かれたデバイスパターンフィーチャのある組み合わせのオーバーレイを決定することが、望ましい場合がある。このようなオーバーレイは、本明細書において、より詳細に説明されるように、パターニングプロセスの制御、パターニングプロセスにおける欠陥予測などのために使用することができる。
[0331] 図29Aでは、デバイスパターンは、互いに実質的に平行に延在する複数のラインフィーチャ2900を含む。さらに、デバイスパターンは、互いに実質的に平行に延在し、且つラインフィーチャ2900と共にインタリーブする複数のラインフィーチャ2910を含む。以下にさらに記載されるようなマルチパターニングプロセスの実施形態例では、例えば、解像度の限界により、フィーチャ2900が、最初に作成され、次に、フィーチャ2910が、その後に作成される。
[0332] さらに、例えば、ラインフィーチャ2900に沿って複数のセグメントを有することが望ましい。従って、マルチパターニングプロセスにおいて、上記のようなカットによって、そのようなセグメントを作成することができる。従って、デバイスパターンは、ラインフィーチャ2900に対して、複数のカットフィーチャ2920を含む。さらに、デバイスパターンは、ラインフィーチャ2910に対して、複数のカットフィーチャ2930を含む。
[0333] 次に、複数のリソエッチ(LE)プロセスにより、デバイスパターンフィーチャを作成することができる。図29B、図29C、図29D及び図29Eは、デバイスマルチパターニング方法のステップの一例を模式的に描く。図29Bでは、複数のラインフィーチャ2900が、描かれる。次に、図29Cでは、カット2920が、フィーチャ2900に適用されることにより、図29Aに示されるようなセグメント化ラインフィーチャ2900がもたらされる。
[0334] 図29Dでは、複数のラインフィーチャ2910が作成され、複数のラインフィーチャ2910は、ラインフィーチャ2900間にインタリーブされるように作成される。次に、図29Eにおいて、カット2930が、フィーチャ2910に適用されることにより、図29Aに示されるようなセグメント化ラインフィーチャ2910がもたらされる。
[0335] 従って、カット2920の作成とカット2930の作成との間のオーバーレイを決定することが、望ましい場合がある。あるいは、構造2900と2910との間のオーバーレイを決定することが、望ましい場合がある。従って、認識されるように、望ましくは、決定され、その後モニタリング、制御などが行われることが可能な様々な異なるオーバーレイが存在し得る。
[0336] 従って、関心のある層が識別され、及び決定されるべきオーバーレイ(例えば、X方向のオーバーレイ、Y方向のオーバーレイ、又はX及びY方向両方のオーバーレイ)が、識別される。この例では、構造2900と2910との間のX方向オーバーレイを決定し、及びカット2920と2930との間のY方向オーバーレイを決定することが望ましい場合がある。
[0337] 従って、デバイス内に関心のある1つ又は複数の特定のオーバーレイを持つ場合、そのオーバーレイの決定を助けるために、非プロダクトターゲットを設計することができる。図29Aのデバイスフィーチャの場合、関心のある層と同等のピッチ及びCDを有して、構造のラインスペースパターンを作成することができる。非プロダクトターゲット設計のそのような構造の一例が、図29Fに模式的に描かれる。例えばこの場合、構造2940が、構造2900が作成されるのと同じパターニングプロセスで作成され、及び構造2950が、構造2910と同じパターニングプロセスで作成される。図21〜28に関して上記で説明した通り、物理的差が、構造2940と2950との間に設けられることにより、構造2940及び2950が作成される際のX方向の相対的シフトが、X方向オーバーレイの決定を可能にするために対称性の破壊を生じさせることを可能にすることができる。構造2940及び2950は、事実上、構造2900及び2910の代用として機能するので、その状態における非プロダクトターゲットによって再誘導された放射からの、構造2940と2950との間のX方向の相対的変位の決定は、構造2900及び2910のX方向オーバーレイに対応することができる。
[0338] さらに、図29Gを参照して、Y方向オーバーレイの決定を可能にするために、1つ又は複数のカットが、非プロダクトターゲット設計の図29Fの構造に導入される。これを可能にするために、ユニットセル2960が、定義される。図示の通り、ユニットセルは、構造2940及び2950を有するとともに、X方向の構造2940及び2950の相対的変位によって破壊されるY対称性を有する。従って、Y方向オーバーレイの決定を可能にするために、Y方向の相対的変位が存在する場合に、X方向の非対称性を生じさせるフィーチャが、導入される。上記の通り、カット2920と2930との間のY方向のオーバーレイを決定することが望ましい。従って、カット2920及び2930が、構造2900及び2910の一部をそれぞれ除去するので、同等のカットが、構造2940及び2950にそれぞれ導入される。この実施形態では、これらのカットは、カット2970及び2980である。カット2970及び2980は、作成中のカット2970と2980との間の相対的シフトにより、Y方向オーバーレイを決定するための基準を作成する。カット2970及び2980は、事実上、カット2920及び2930の代用として機能するので、その状態における非プロダクトターゲットによって再誘導された放射からの、カット2970と2980との間のY方向の相対的変位の決定は、カット2920及び2930のY方向オーバーレイに対応することができる。
[0339] ある実施形態では、カット2970及び2980は、ユニットセルが、公称構成においてX方向に対称となるようなものである。さらに、ある実施形態では、カットは、それらが、上記のようなXオーバーレイの決定に関して、ユニットセルの対称性に影響を与えないようなものである。ある実施形態では、カット2970及び2980は、可能であれば、デバイスパターニングプロセスにおけるカットと同等のCD及びピッチを有する。しかし、カットのサイズ、数及び場所は、対称ユニットセルを作るように適合されてもよい。ある実施形態では、図29Gに示されるように、ユニットセルが複数のインスタンスとして繰り返されることにより、基板上に作成するための非プロダクトターゲットが形成される。
[0340] 従って、この実施形態では、公称構成において、ユニットセル2960は、X及びY両方の対称性を有する。さらに、フィーチャ間のY方向の相対的シフトは、Y方向オーバーレイを決定することができるように、ユニットセルにおいてX対称性の破壊をもたらす(Y対称性は、維持される)。また、フィーチャ間のX方向の相対的シフトは、X方向オーバーレイを決定することができるように、ユニットセルにおいてY対称性の破壊をもたらす(X対称性は、維持される)。
[0341] これより図30を参照し、図30Aは、デバイスパターンフィーチャのさらなる一例を模式的に描く。例えば、デバイスパターンフィーチャは、メモリデバイス(例えばSRAM)用のものでもよい。認識されるように、フルメモリデバイスは、描かれたエリアにおいて、恐らく、はるかに多くのフィーチャを有するだろう。しかし、図30Aに描かれたデバイスパターンフィーチャのある組み合わせのオーバーレイを決定することが、望ましい場合がある。そのようなオーバーレイは、本明細書において、より詳細に説明されるように、パターニングプロセスの制御、パターニングプロセスにおける欠陥予測などのために使用することができる。
[0342] 図30Aでは、デバイスパターンは、互いに実質的に平行に延在する複数のラインフィーチャ3000を含む。さらに、デバイスパターンは、互いに実質的に平行に延在し、且つラインフィーチャ3000に対して基本的に垂直な複数のラインフィーチャ3010を含む。以下にさらに記載されるようなマルチパターニングプロセスの実施形態例では、フィーチャ3010が、最初に作成され、次に、フィーチャ3000が、その後に作成される。
[0343] さらに、例えば、ラインフィーチャ3000に沿って複数のセグメントを有することが望ましい。従って、マルチパターニングプロセスにおいて、上記のようなカットによって、そのようなセグメントを作成することができる。従って、デバイスパターンは、ラインフィーチャ3000に対して、複数のカットフィーチャ3020を含む。次に、図29B〜29Eに関して記載されたプロセスとは異なるが、類似する複数のリソエッチ(LE)プロセスにより、デバイスパターンフィーチャを作成することができる。
[0344] 従って、構造3000と3010との間のオーバーレイを決定することが、望ましい場合がある。あるいは、カット3020の作成と構造3010との間のオーバーレイを決定することが、望ましい場合がある。従って、認識されるように、望ましくは、決定され、その後モニタリング、制御などが行われることが可能な様々な異なるオーバーレイが存在し得る。
[0345] 従って、関心のある層が識別され、及び決定されるべきオーバーレイ(例えば、X方向のオーバーレイ、Y方向のオーバーレイ、又はX及びY方向両方のオーバーレイ)が、識別される。この例では、構造3010とカット3020との間のY方向オーバーレイを決定することが、望ましい場合がある。
[0346] 従って、デバイス内に関心のある1つ又は複数の特定のオーバーレイを持つ場合、そのオーバーレイの決定を助けるために、非プロダクトターゲットを設計することができる。図30Aのデバイスフィーチャの場合、関心のある層と同等のピッチ及びCDを有して、構造のラインスペースパターンを作成することができる。非プロダクトターゲット設計のそのような構造の一例が、図30Cに模式的に描かれる。例えばこの場合、構造3040が、構造3010が作成されるのと同じパターニングプロセスで作成され、及び構造3030が、構造3000と同じパターニングプロセスで作成される。図24に関して上記で説明した通り、カットと基本的に直角に交わる構造との間のY方向オーバーレイを決定するために、カットを設けることができる。すなわち、カットは、カット及び構造が作成される際のカットと構造との間のY方向の相対的シフトが、Y方向オーバーレイの決定を可能にするために対称性の破壊を生じさせることを可能にすることができる。
[0347] 従って、図30Cを参照して、Y方向オーバーレイの決定を可能にするために、1つ又は複数のカットが、非プロダクトターゲット設計の図30Bの構造に導入される。これを可能にするために、ユニットセル3050が、定義される。図示の通り、ユニットセルは、構造3030及び3040を有する。さらに、ユニットセルは、構造3030にカット3060を有する。このカットは、カット3060の作成と、構造3040との間のY方向の相対的変位によって、X対称性が破壊されるようなものである。従って、カット3060は、カット3060と構造3040との間にY方向の相対的変位が存在する場合に、X方向の非対称性の生成を可能にする。カット3060は、作成中のカット3060と構造3040との間の相対的シフトにより、Y方向オーバーレイを決定するための基準を作成する。カット3060及び構造3040は、構造3010及びカット3020の代用として機能するので、相対的変位状態における非プロダクトターゲットによって再誘導された放射からの、カット3060と構造3040との間のY方向の相対的変位の決定は、構造3010とカット3020との間のY方向オーバーレイに対応することができる。
[0348] ある実施形態では、カッ3060は、ユニットセルが、公称構成においてX方向に対称となるようなものである。さらに、ある実施形態では、カット3060は、それが、Y方向のユニットセルの対称性に影響を与えないようなものである。ある実施形態では、カット3060は、可能であれば、デバイスパターニングプロセスにおけるカット3020と同等のCD及びピッチを有する。しかし、カットのサイズ、数及び場所は、対称ユニットセルを作るように適合されてもよい。ある実施形態では、図30Cに示されるように、ユニットセルが複数のインスタンスとして繰り返されることにより、基板上に作成するための非プロダクトターゲットが形成される。
[0349] 従って、この実施形態では、公称構成において、ユニットセル3060は、X及びY両方の対称性を有する。さらに、フィーチャ間のY方向の相対的シフトは、Y方向オーバーレイを決定することができるように、ユニットセルにおいてX対称性の破壊をもたらす(Y対称性は、維持される)。
[0350] 図31を参照して、非プロダクトターゲットを設計する方法の一実施形態が、模式的に描かれる。幾つかのステップが記載されるが、これらのステップの全てが、必須であるわけではない。従って、ある実施形態では、ステップのサブコンビネーションを選択することができる。さらに、ステップ(又はステップのサブコンビネーション)の順序は、並べ替えられてもよい。さらに、この設計方法は、オーバーレイ(又はそのようなターゲットの結果から導出されるその他のパラメータ)を決定するための非プロダクトターゲット設計を作る観点から記載される。ただし、本方法は、1つ又は複数の他のパラメータに拡張することができる。
[0351] 3100では、1つ又は複数の非プロダクトターゲットが、非プロダクトターゲットレイアウト設計プロセスで設計される。1つ又は複数の非プロダクトターゲット設計は、本明細書に記載の非プロダクトターゲット設計の内の何れか1つ又は複数でもよい。ある実施形態では、本明細書に記載されるような非プロダクトターゲット設計を設計する1つ又は複数の技術が、使用されてもよい。ある実施形態では、非プロダクトターゲットレイアウト設計プロセスは、主に、非プロダクトターゲットのユニットセルのジオメトリ(及び従って、非プロダクトターゲットのジオメトリ)を決定する。
[0352] ある実施形態では、非プロダクトターゲットレイアウト設計プロセスは、関心のあるオーバーレイを識別するためにデバイスパターンを評価することを含む。多くの場合、オーバーレイの評価のために、特にLELE処理を用いたフィーチャ及び/又は層の複数の組み合わせが存在する。従って、フィーチャ及び/又は層の1つ又は複数のオーバーレイ及びクリティカルの組み合わせを決定することが望ましい場合がある。
[0353] 評価されるフィーチャ/層及び1つ又は複数の方向(例えば、X、Y、又はX及びY)の観点から識別された1つ又は複数のオーバーレイを用いて、繰り返しパターン(例えば、ラインスペースパターン、図28のような閉曲線のアレイ)を作成することができる。ある実施形態では、繰り返しパターンは、デバイスパターンの関心のあるフィーチャ/層と同等のピッチ及び/又はCDを有する。
[0354] 次に、デバイスパターン及び測定するオーバーレイに応じて、本明細書に記載の技術の1つ又は複数を用いて、非プロダクトターゲット設計のユニットセルのジオメトリを作成することができる。例えば、関心のあるフィーチャが平行(例えばY方向)であり、且つX方向のオーバーレイが望まれる場合、そのようなオーバーレイの決定を可能にするために、図21のようなターゲットを作成することができ、又はターゲットは、図21の設計フィーチャを組み込むことができる。例えば、関心のあるフィーチャが平行(例えばY方向)であり、且つY方向のオーバーレイが望まれる場合、そのようなオーバーレイの決定を可能にするために、図22のカット/突出配置を組み込んだターゲットを作成することができ、又はターゲットは、図22の設計フィーチャを組み込むことができる。例えば、関心のあるフィーチャが直角に交わり、且つX方向のオーバーレイが望まれる場合、そのようなオーバーレイの決定を可能にするために、図23のようなターゲットを作成することができ、又はターゲットは、図23の設計フィーチャを組み込むことができる。例えば、関心のあるフィーチャが直角に交わり、且つY方向のオーバーレイが測定されるべきである場合、そのようなオーバーレイの決定を可能にするために、図24のようなターゲットを作成することができ、又はターゲットは、図24の設計フィーチャを組み込むことができる。
[0355] 適切な場合、及び多くのケースにおいて、ラインスペースパターンのライン上のカット/突出を、X及び/又はY方向の対称性を破壊するための手段として使用することにより、それぞれのオーバーレイが決定されることを可能にすることができる。ある実施形態では、カット/突出は、CD及び/又はピッチの観点から、デバイスパターンの関連のフィーチャと同等である。しかし、ある実施形態では、カット/突出の場所は、ユニットセルが、公称構成において対称であるようなものであるべきである。ある実施形態では、ユニットセルのカット/突出及び/又は構造は、ユニットセルをできる限り小さくするように選択される。
[0356] ある実施形態では、ターゲットは、デバイスの全てのプロセスステップに正確に必要従う必要はない(例えば、デバイスの1つ又は複数のプロセスステップは、ターゲットの形成において、例えばそれらのステップのモデリングが難しければ、回避することができる)。しかし、デバイスとターゲットとの間のプロセスの差異は、検討中のフィーチャ/層のオーバーレイに影響を与えるべきではない。
[0357] 同じターゲットから、X方向のオーバーレイ及びY方向のオーバーレイの両方が望まれる場合、カットの垂直シフトは、Y軸に対する対称性を変化させるべきではなく、及び構造の水平シフトは、X軸に対する対称性を変化させるべきではない。これは、X及びY方向オーバーレイが、ターゲットからの再誘導放射から、それらの決定を行う際に、切り離されることを確実にする助けとなる。
[0358] ある実施形態では、層の1つが、LELEを用いて処理される場合、各リソグラフィステップからオーバーレイを切り離すために、異なるターゲットが使用されてもよい。2つの層が、LELEを用いて処理される場合、例えば、4つのターゲットが、使用されてもよい。
[0359] ある実施形態では、ターゲットのオーバーレイ感度がそれを許せば、同じターゲット(例えば、図27のターゲットのようなターゲット)において、3つ以上の層の間のオーバーレイを組み合わせることができる。これは、より空間効率が良いが、例えば、クロストーク、又はターゲットのより高い複雑さによるモデリングの不正確さによる精度の損失が存在し得る。
[0360] ある実施形態では、ターゲットは、クリアランスエリア及びデバイスと類似の密度のパターンでパターン形成されたエリアを有するべきである。ある実施形態では、ターゲットの周囲のクリアランスエリア及びパターン形成エリアは、例えば、少なくとも0.2μmのクリアランスエリア及び/又は少なくとも2μmのパターン形成エリアであってもよい。
[0361] 公称ターゲット設計では、公称ターゲット設計を調節するため、及び/又は公称ターゲット設計が適切であるか否かを決定するために、様々な評価ステップを行うことができる。従って、例えば、デバイスフィーチャのオーバーレイ挙動を満たすためのターゲットの設計に加えて、印刷適性(例えば、パターニングプロセスの一部として作成されるターゲットの能力)、検出能(例えば、どれほど良好に、信号がターゲットによって生成されるか)、ロバスト性(例えば、パターニングプロセスで生じる変動に対して、ターゲットがどれほど安定しているか)、及び/又はデバイスマッチング(例えば、ターゲットからのオーバーレイの決定が、デバイスのオーバーレイをどれほど表すか)の観点から、ターゲットの設計を分析することができる。
[0362] 従って、3110では、ターゲットから測定されたオーバーレイが、デバイスのオーバーレイを表すことを決定するために、デバイスマッチングを行うことができる。これは、デバイスの関心のあるシミュレーション又はモデリングされたオーバーレイが、ターゲット設計の関心のある対応するシミュレーション又はモデリングされたオーバーレイと一致する(例えば、ある閾値の範囲内)か否かを決定するためにシミュレータ又は数学モデルを用いることによって、行うことができる。ある実施形態では、マッチングは、パターニングプロセスのリソグラフィステップに対して行うことができる(例えば、フィールド内マッチ)。ある実施形態では、マッチングは、パターニングプロセスのエッチングステップに対して行うことができる(例えば、フィールド間マッチ)。十分な一致が存在しなければ、ターゲット設計を、例えば、放棄又は修正することができる(修正は、ターゲットのフィーチャのピッチの変更、ターゲットのフィーチャのCDの変更、ターゲットの構造の材料の変更などを含んでもよい)。
[0363] 3120では、ターゲット設計により、どれほど良好に信号が生成されたかを決定するために、検出能評価を行うことができる。これは、ターゲット設計から予想信号に対して、及びそれが閾値に適合するか否かを決定するためにシミュレータ又は数学モデルを用いることによって、行うことができる。ある実施形態では、これは、本明細書で説明したような感度(例えばヤコビアン行列)の何れかといった、オーバーレイに対するターゲットの感度の評価を含むことができる。ある実施形態では、この評価は、瞳強度(例えば、瞳強度の二乗平均平方根)、ターゲット設計のスタック感度及び/又は回折効率を考慮し、及びそれを閾値に対して評価することができる。十分な一致が存在しなければ、ターゲット設計を、例えば、放棄又は修正することができる(修正は、ターゲットのフィーチャのピッチの変更、ターゲットのフィーチャのCDの変更、ターゲットの構造の材料の変更などを含んでもよい)。ある実施形態では、ステップ3110及び3120を用いて、それぞれの閾値と適合するまで反復が行われる。
[0364] 3130では、パターニングプロセスの一部として作成されるターゲットの実現可能性を決定するために、印刷適性評価を行うことができる。これは、ターゲット設計が、十分に基板上で製造される(例えば、閾値と交差又は適合する)か否かを決定するためにシミュレータ又は数学モデルを用いることによって、行うことができる。十分な印刷適性が存在しなければ、ターゲット設計を、例えば、放棄又は修正することができる(修正は、ターゲットのフィーチャのピッチの変更、ターゲットのフィーチャのCDの変更、ターゲットの構造の材料の変更などを含んでもよい)。
[0365] 3140では、パターニングプロセスで生じる変動に対して、ターゲットがどれほど安定しているかを決定するために、ロバスト性評価を行うことができる。これは、ターゲット設計が、パターニングプロセスで生じる変動に対して感度が高く(例えば、閾値と交差又は適合する)、及び従って不正確な結果を生じさせるか否かを決定するためにシミュレータ又は数学モデルを用いることによって、行うことができる。例えば、この評価は、例えば、シミュレータ又はモデルに摂動を導入することによって、プロセス摂動に対するターゲット結果の直交性を決定することができる。十分なロバスト性が存在しなければ、ターゲット設計を、例えば、放棄又は修正することができる(修正は、ターゲットのフィーチャのピッチの変更、ターゲットのフィーチャのCDの変更、ターゲットの構造の材料の変更などを含んでもよい)。
[0366] 3150では、ターゲットの検証のために、ターゲットをパターニングプロセスによって作成することができる。ターゲットに様々な知るオーバーレイを引き起こすように、ターゲットを印刷するパターニングプロセスを設定することができ、及び次に、オーバーレイを決定するために、本明細書の技術を用いて、ターゲットを測定することができる。次に、設定されたオーバーレイを、取得されたオーバーレイと比較することができる。十分な一致(例えば、閾値と交差又は適合する)が存在しなければ、ターゲット設計を、例えば、放棄又は修正することができる(修正は、ターゲットのフィーチャのピッチの変更、ターゲットのフィーチャのCDの変更、ターゲットの構造の材料の変更などを含んでもよい)。
[0367] 決定されたパターニングプロセスパラメータ値(例えば、オーバーレイ値)及び本明細書の技術は、多数の目的に使用することができる。例えば、パターニングプロセスを可能にすることに対する重要な態様は、プロセス自体を開発すること、それをモニタリング及び制御用にセットアップすること、及び次に実際にプロセス自体のモニタリング及び制御を行うこと(例えば、パターニングプロセスパラメータ値に基づいて、欠陥の可能性を予測すること)を包含する。パターニングプロセスパラメータ値及び本明細書の技術は、これらの態様の何れにおいても使用することができる。さらに、1つ又は複数のパターニングデバイスパターン、1つ又は複数のレジストタイプ、ポストリソグラフィプロセスステップ(現像、エッチングなど)などのパターニングプロセスの基本の構成を想定した場合、パターンを基板上に転写するためのパターニングプロセスで装置をセットアップし、プロセスをモニタリングするために、1つ又は複数のメトロロジターゲットを現像し、メトロロジターゲットを測定するためにメトロロジプロセスをセットアップアップし、及び測定に基づいて、プロセスをモニタリング及び/又は制御するプロセスを実施することが望ましい。パターニングプロセスパラメータ値及び本明細書の技術は、これらのプロセスの何れにおいても使用することができる。
[0368] 本出願の説明は、基板上に形成されているデバイスのオーバーレイを測定するように設計されたメトロロジプロセス及びメトロロジターゲットの実施形態を考慮するが、本明細書の実施形態は、対称構造における様々な他の非対称性(側壁角非対称性、底床傾斜角の非対称性、CDの非対称性など)を測定するためのプロセス及びターゲットなどの他のメトロロジプロセス及びターゲットにも等しく適用可能である。従って、本明細書におけるオーバーレイメトロロジターゲット、オーバーレイデータなどへの言及は、他の種類のメトロロジプロセス及びターゲットを可能にするように適切に修正されると考えられるべきである。
[0369] ある実施形態では、パターニングプロセスのパラメータを決定する方法が提供され、本方法は、基板上のビームスポットが、ユニットセルの1つ又は複数の物理的インスタンスで満たされるように、放射ビームで基板の照明を行うことであって、ユニットセルが、パラメータの公称値で幾何学的対称性を有すること、検出器を用いてユニットセルの1つ又は複数の物理的インスタンスによって再誘導された0次放射を主に検出すること、及びハードウェアコンピュータシステムによって、検出放射の光学特性の値から、ユニットセルのパラメータの非公称値を決定すること、を含む。
[0370] ある実施形態では、パラメータは、オーバーレイを含む。ある実施形態では、本方法は、パラメータに基づいて、エッジ配置誤差を決定することを含む。ある実施形態では、パラメータによって測定される物理的影響に対してより大きな感度を有した、検出放射のピクセルからの光学特性値が、パラメータによって測定される物理的影響に対してより低い感度を有した、検出放射の他のピクセルからの光学特性値と比較して、パラメータの非公称値の決定に対してより大きな寄与を提供する。ある実施形態では、光学特性の値は、瞳表現を形成する。ある実施形態では、光学特性の値は、検出放射の対称光学特性分布の光学特性値を減少させる、又は排除するために、対称軸を挟んで光学特性値を減算するように処理される。ある実施形態では、パラメータの非公称値は、各ピクセルに対して関連付けられた重み付けによって乗算された各ピクセルの光学特性値の検出放射の複数のピクセルに関する総計を用いて決定される。ある実施形態では、光学特性は、強度及び/又は位相である。ある実施形態では、ユニットセルの1つ又は複数の物理的インスタンスは、デバイス構造である。ある実施形態では、ユニットセルの1つ又は複数の物理的インスタンスは、デバイス構造を含む基板ダイ内の非デバイス構造である。ある実施形態では、ユニットセルの1つ又は複数の物理的インスタンスを製造するためのエッチングプロセス後に、放射が検出される。ある実施形態では、パラメータは、オーバーレイを含み、及び本方法は、光学特性値から、第1のオーバーレイの値を、同じ光学特性値から同様に取得可能な第2のオーバーレイとは別に決定することをさらに含み、第1のオーバーレイは、第2のオーバーレイとは異なる方向に、又は第2のオーバーレイとは異なるユニットセルの部分の組み合わせ間に存在する。
[0371] ある実施形態では、パターニングプロセスのパラメータを決定する方法が提供され、本方法は、公称物理的構成において幾何学的対称性を有する構造によって再誘導された放射の検出瞳表現を取得することであって、公称物理的構成とは異なる構造の物理的構成が、瞳表現において非対称光学特性分布を生じさせること、瞳表現における対称光学特性分布の光学特性値を減少させる、又は排除するために、対称軸を挟んで光学特性値を減算するように瞳表現を処理すること、及びハードウェアコンピュータシステムによって、処理された瞳表現からの光学特性値に基づいて、パターニングプロセスパラメータの値を決定すること、を含む。
[0372] ある実施形態では、パターニングプロセスパラメータは、オーバーレイであり、及び異なる物理的構成は、構造の少なくとも一部の、構造の別の部分に対するシフトである。ある実施形態では、瞳表現は、主に0次放射のものである。ある実施形態では、異なる物理的構成に対してより大きな感度を有した、処理された瞳表現のピクセルからの光学特性値は、異なる物理的構成に対してより低い感度を有した、検出放射の他のピクセルからの光学特性値と比較して、パターニングプロセスパラメータの値の決定に対してより大きな寄与を提供する。ある実施形態では、パターニングプロセスパラメータの値は、各ピクセルに対して関連付けられた重み付けによって乗算された各ピクセルの光学特性値の瞳表現の複数のピクセルに関する総計を用いて決定される。ある実施形態では、光学特性は、強度及び/又は位相である。ある実施形態では、構造は、デバイス構造である。ある実施形態では、構造は、デバイス構造を含む基板ダイ内の非デバイス構造である。ある実施形態では、構造を製造するためのエッチングプロセス後に、放射が検出される。ある実施形態では、上記決定は、光学特性値から、構造の第1のパターニングプロセスパラメータの値を、同じ光学特性値から同様に取得可能な構造の第2のパターニングプロセスパラメータの値とは別に決定することを含み、第1のパターニングプロセスパラメータは、第2のパターニングプロセスパラメータとは異なる方向に、又は第2のパターニングプロセスパラメータとは異なる構造の部分の組み合わせ間に存在する。
[0373] ある実施形態では、パターニングプロセスのパラメータを決定する方法が提供され、本方法は、公称物理的構成において幾何学的対称性を有する構造によって再誘導された放射の検出表現を取得することであって、検出放射表現は、基板上のビームスポットが、構造で満たされるように、放射ビームで基板の照明を行うことにより取得されたものであること、及びハードウェアコンピュータシステムによって、検出放射表現の別の部分よりも高い重みを有した検出放射表現の非対称光学特性分布部分からの光学特性値に基づいて、パターニングプロセスパラメータの値を決定することであって、非対称光学特性分布は、公称物理的構成とは異なる構造の物理的構成から生じること、を含む。
[0374] ある実施形態では、パターニングプロセスパラメータは、オーバーレイであり、及び異なる物理的構成は、構造の少なくとも一部の、構造の別の部分に対するシフトである。ある実施形態では、検出放射表現は、瞳表現である。ある実施形態では、検出放射は、主に0次放射であった。ある実施形態では、検出放射表現は、検出放射表現の対称光学特性分布の光学特性値を減少させる、又は排除するために、対称軸を挟んで光学特性値を減算するように処理される。ある実施形態では、パターニングプロセスパラメータの値は、各ピクセルに対して関連付けられた重み付けによって乗算された各ピクセルの光学特性値の検出放射表現の複数のピクセルに関する総計を用いて決定される。ある実施形態では、光学特性は、強度及び/又は位相である。ある実施形態では、構造は、デバイス構造である。ある実施形態では、構造は、デバイス構造を含む基板ダイ内の非デバイス構造である。ある実施形態では、重み付けは、第1のタイプのパターニングプロセスパラメータが、同じ光学特性値から同様に取得可能な第2のタイプのパターニングプロセスパラメータとは別に、異なる物理的構成に対して決定されるように構成され、第1のタイプのパターニングプロセスパラメータは、第2のタイプのパターニングプロセスパラメータとは異なる方向に、又は第2のタイプのパターニングプロセスパラメータとは異なるユニットセルの部分の組み合わせ間に存在する。ある実施形態では、本方法は、第2のタイプのパターニングプロセスパラメータが、異なる物理的構成に対して決定されるように構成された重み付けをさらに含む。
[0375] ある実施形態では、パターニングプロセスのパラメータを決定する方法が提供され、本方法は、パラメータの公称値において幾何学的対称性を有する構造によって再誘導された放射の検出表現を取得することであって、検出放射表現は、基板上のビームスポットが、構造で満たされるように、放射ビームで基板の照明を行うことにより取得されたものであり、及びパラメータの非公称値において、構造の物理的構成が、検出放射表現において非対称光学特性分布を生じさせること、及びハードウェアコンピュータシステムによって、各ピクセルに対して関連付けられた重み付けによって乗算された各ピクセルの光学特性値の検出放射表現の複数のピクセルに関する総計に基づいて、構造のパラメータの非公称値を決定することであって、非対称光学特性分布におけるピクセルに対する重み付けは、検出放射表現の対称光学特性分布部分のピクセルに対する重み付けとは異なること、を含む。
[0376] ある実施形態では、パラメータは、オーバーレイを含む。ある実施形態では、検出放射表現は、瞳表現である。ある実施形態では、検出放射は、主に0次放射であった。ある実施形態では、検出放射表現の対称光学特性分布の光学特性値を減少させる、又は排除するために、対称軸を挟んで光学特性値を減算するように、検出放射表現が処理される。ある実施形態では、光学特性は、強度及び/又は位相である。ある実施形態では、構造は、デバイス構造である。ある実施形態では、構造は、デバイス構造を含む基板ダイ内の非デバイス構造である。ある実施形態では、パラメータは、オーバーレイを含み、及び重み付けは、構造の第1のタイプのオーバーレイを、同じ光学特性値から同様に取得可能な構造の第2のタイプのオーバーレイとは別に決定するように構成される。ある実施形態では、本方法は、同じ光学特性値から、構造の第1のタイプのオーバーレイとは別に、構造の第2のタイプのオーバーレイを決定するように構成された重み付けをさらに含む。
[0377] ある実施形態では、公称物理的構成において幾何学的対称性を有する構造によって再誘導された放射の検出表現を取得することであって、公称物理的構成とは異なる構造の物理的構成が、検出表現において、非対称光学特性分布を生じさせ、及びパターニングプロセスパラメータが、物理的構成の変化を測定すること、及びハードウェアコンピュータシステムによって、検出表現から導出された光学特性値を処理する再構築プロセスを用いて、異なる物理的構成におけるパターニングプロセスパラメータの値を決定すること、を含む方法が提供される。
[0378] ある実施形態では、本方法は、上記表現における対称光学特性分布の光学特性値を減少させる、又は排除するために、対称軸を挟んで光学特性値を減算するように、上記表現を処理することをさらに含み、及び上記決定は、処理された検出表現から導出された光学特性値を処理する再構築プロセスを用いて、パターニングプロセスパラメータの値を決定することを含む。ある実施形態では、再構築プロセスは、構造の数学モデルを使用することによって、検出表現から導出された光学特性値と比較するための、構造によって再誘導される放射のシミュレーション表現を生成することを含む。ある実施形態では、数学モデルは、構造の複数のインスタンスの測定から導出された構造のプロファイルに基づく。ある実施形態では、再構築プロセスは、構造によって再誘導される放射のシミュレーション表現のライブラリに対して、検出表現から導出された光学特性値を比較することを含む。
[0379] ある実施形態では、公称物理的構成において幾何学的対称性を有する構造によって再誘導された放射の検出表現を取得することであって、公称物理的構成とは異なる構造の物理的構成が、検出表現において、非対称光学特性分布を生じさせ、及びパターニングプロセスパラメータが、物理的構成の変化を測定すること、及びハードウェアコンピュータシステムによって、検出表現から導出された光学特性値を処理する非線形解法を用いて、異なる物理的構成におけるパターニングプロセスパラメータの値を決定すること、を含む方法が提供される。
[0380] ある実施形態では、非線形解法は、1つ又は複数の変数項が、奇数乗の変数としてパターニングプロセスパラメータを有する1つ又は複数の変数項、及び/又は変数としての構造の別のパラメータと組み合わせて、変数としてパターニングプロセスパラメータを有する1つ又は複数の変数項のみから成る関数を解く。ある実施形態では、本方法は、上記表現における対称光学特性分布の光学特性値を減少させる、又は排除するために、対称軸を挟んで光学特性値を減算するように上記表現を処理すること、及び処理された検出表現から導出された光学特性値を処理する非線形解法を用いたパターニングプロセスパラメータの値の上記決定、をさらに含む。
[0381] ある実施形態では、パラメータ決定プロセスを構成する方法が提供され、本方法は、構造の数学モデルを取得することであって、数学モデルは、放射ビームで構造の照明を行う際の光応答を予測するように構成され、及び構造は、公称物理的構成において幾何学的対称性を有すること、ハードウェアコンピュータシステムによって、数学モデルを用いて、ある量の構造の物理的構成における摂動をシミュレーションすることによって、複数のピクセルの各々における光応答の対応する変化を決定して、複数のピクセル感度を取得すること、及びピクセル感度に基づいて、物理的構成の変化と関連付けられたパラメータの値をもたらすように、基板上の構造の測定ピクセル光学特性値と結合させるための複数の重みを決定することであって、各重みは、ピクセルに対応すること、を含む。
[0382] ある実施形態では、パラメータは、オーバーレイであり、及び異なる物理的構成は、構造の少なくとも一部の、構造の別の部分に対するシフトである。ある実施形態では、光応答は、瞳像の形態の光学特性を含む。ある実施形態では、光応答は、主に0次放射のものである。ある実施形態では、上記重みの決定は、ヤコビアン行列を使用することを含む。ある実施形態では、上記重みの決定は、ヘッセ行列を使用することを含む。ある実施形態では、上記重みの決定は、ムーア・ペンローズ擬似逆行列を使用することを含む。ある実施形態では、該当するピクセルと関連付けられた複数の重みの中の重みによって乗算された各ピクセルの光学特性値の検出放射表現の複数のピクセルに関する総計を用いて、パラメータの値を決定することができるように、重みが構成される。ある実施形態では、光学特性は、強度及び/又は位相である。ある実施形態では、構造は、デバイス構造である。ある実施形態では、構造は、デバイス構造を含む基板ダイ内の非デバイス構造である。ある実施形態では、本方法は、測定ピクセル光学特性値を取得するための測定設定の1セットを決定することであって、測定設定の1セットは、複数の重みに対応することをさらに含む。ある実施形態では、測定設定の1セットは、測定ビームの波長、測定ビームの偏光、測定ビームのドーズ、及び/又は構造の特定の1つの照明の検出器センサによって取得された幾つかの光学特性の示度数から選択された1つ又は複数を含む。ある実施形態では、上記数学モデルの取得は、構造を含む1つ又は複数の基板に対してCD測定を行うこと、及びCD測定に対して数学モデルを較正することにより、構造の物理的構成の摂動に対する構造の公称プロファイルを取得すること、を含む。ある実施形態では、本方法は、既知の異なる物理的構成及びパラメータの関連の予想値を有した複数の構造によって再誘導された放射の光学特性値を測定すること、重み及び測定光学特性値を結合させることによって、既知の異なる物理的構成の各々に関するパラメータの値を決定すること、パラメータの予想値を用いて、パラメータの決定値を評価すること、及び評価に応答して、数学モデルのパラメータを調整すること、及び/又は重みの1つ又は複数を調整すること、をさらに含む。
[0383] ある実施形態では、ハードウェアコンピュータシステムによって、構造の数学モデルを用いて、放射ビームで構造の照明を行う際の光応答を予測することであって、構造は、公称物理的構成において幾何学的対称性を有し、及びパターニングプロセスパラメータは、物理的構成の変化を測定すること、及びハードウェアコンピュータシステムによって、非線形解法を用いて、光応答に基づいて、変数としてのパターニングプロセスパラメータの数学関数の係数を決定することであって、決定された係数及び関数は、測定された構造のパターニングプロセスパラメータの値を決定するために、検出表現において非対称光学特性分布を生じさせる公称物理的構成とは異なる物理的構成における、基板上の構造からの検出放射の測定表現と共に使用されること、を含む方法が提供される。ある実施形態では、本方法は、数学モデルを使用して、ある量の構造の物理的構成における摂動をシミュレーションすることによって、光応答の対応する変化を決定することを含み、及び係数を決定することは、変化した光応答を使用する。ある実施形態では、本方法は、異なる物理的構成を有する基板上の構造によって再誘導された放射の検出表現を取得すること、及び検出表現から導出された光学特性値を処理し、及び決定された係数を用いる非線形解法を用いて、パターニングプロセスパラメータの値を決定すること、をさらに含む。ある実施形態では、非線形解法は、1つ又は複数の変数項が、奇数乗の変数としてパターニングプロセスパラメータを有する1つ又は複数の変数項、及び/又は変数としての構造の別のパラメータと組み合わせて、変数としてパターニングプロセスパラメータを有する1つ又は複数の変数項のみから成る関数を解く。ある実施形態では、本方法は、光応答における対称光学特性分布の光学特性値を減少させる、又は排除するために、対称軸を挟んで光学特性値を減算するように光応答を処理することをさらに含み、及び上記係数の決定は、処理された光応答から導出された光学特性値に基づく。ある実施形態では、数学モデルは、CD測定に対する数学モデルの較正から導出された構造の公称プロファイルを用いて、構造の公称プロファイルを取得する。ある実施形態では、係数は、光応答の複数のピクセルの各々に対して係数の1セットを含む。
[0384] ある実施形態では、パターニングプロセスによって生成された構造の異なるインスタンスに関する測定結果を取得することであって、測定結果は、構造の物理的構成の変化を測定するパターニングプロセスパラメータの複数の異なる設定値の各々において取得され、及びパターニングプロセスパラメータの各異なる設定値は、放射表現において非対称光学特性分布を生じさせる構造の物理的構成に対応すること、及びハードウェアコンピュータシステムによって、パターニングプロセスパラメータの値をもたらすために、構造のさらなるインスタンスの測定光学特性値と結合させるための重みに対応する複数のデータ駆動値を決定することであって、目的又はメリット関数、又は機械学習アルゴリズムにおいて、設定値及び測定結果を使用して、データ駆動値を決定すること、を含む方法が提供される。
[0385] ある実施形態では、本方法は、決定されたデータ駆動値を使用して、構造の数学モデルを修正すること、及び数学モデルを使用して、構造のさらなるインスタンスの測定光学特性値と結合させるための重みを導出すること、をさらに含む。ある実施形態では、本方法は、数学モデルのヘッセ行列を使用して、数学モデルにおいて具現化された構造の公称プロファイルの値を更新することをさらに含む。ある実施形態では、本方法は、修正された数学モデルのヘッセ行列を使用して、構造のさらなるインスタンスの測定光学特性値と結合させるための重みを計算することをさらに含む。ある実施形態では、測定結果は、構造の異なる複数のインスタンスによって再誘導された放射の複数の検出表現である。ある実施形態では、検出放射表現は、基板上のビームスポットが構造で満たされるように、放射ビームで基板の照明を行うことによって取得されたものである。ある実施形態では、本方法は、構造のインスタンスによって再誘導されることが予想される、及びパターニングプロセスにおける変動に対して予想される放射の1つ又は複数の合成表現を生成することをさらに含み、及び上記複数のデータ駆動値の決定は、設定値、測定結果、及び1つ又は複数の合成表現に基づく。ある実施形態では、放射の1つ又は複数の合成表現は、数学モデルのヘッセ行列を使用することによって生成される。ある実施形態では、放射の1つ又は複数の合成表現は、非線形シミュレーションを使用して生成される。ある実施形態では、パターニングプロセスパラメータは、オーバーレイである。ある実施形態では、本方法は、構造のさらなるインスタンスの測定光学特性値と結合した複数の重みに基づいて、構造のさらなるインスタンスに関するパターニングプロセスパラメータの値を決定することをさらに含む。ある実施形態では、測定光学特性値の各々は、瞳表現のピクセルに対応し、及び各ピクセルに対して関連付けられた重み付けによって乗算された各ピクセルの測定光学特性値の瞳表現の複数のピクセルに関する総計に基づいて、さらなるインスタンスに関するパターニングプロセスパラメータの値を決定することであって、瞳表現の非対称光学特性分布部分のピクセルに対する重み付けは、瞳表現の対称光学特性分布部分のピクセルに対する重み付けとは異なることを含む。
[0386] ある実施形態では、パターニングプロセスのパラメータを決定する方法が提供され、本方法は、ユニットセルの1つ又は複数の物理的インスタンスによって再誘導された放射の検出表現を取得することであって、ユニットセルは、パラメータの公称値において幾何学的対称性を有し、及び検出放射表現は、基板上のビームスポットが、ユニットセルの1つ又は複数の物理的インスタンスで満たされるように、放射ビームで基板の照明を行うことによって取得されたものであること、及びハードウェアコンピュータシステムによって、及び検出放射表現の光学特性値から、ユニットセルの第1のタイプのパラメータの値を、同じ光学特性値から同様に取得可能なユニットセルの第2のタイプのパラメータとは別に決定することであって、第1のタイプのパラメータは、第2のタイプのパラメータとは異なる方向に関するもの、又は第2のタイプのパラメータとは異なるユニットセルの部分の組み合わせ間に関するものであること、を含む。
[0387] ある実施形態では、パラメータは、オーバーレイを含む。ある実施形態では、第1及び第2のタイプのパラメータは、異なる方向に関し、及びユニットセルの同じ第1及び第2の部分に関するものである。ある実施形態では、第1のタイプのパラメータは、第2のタイプのパラメータとは異なるユニットセルの部分の組み合わせ間に関するものである。ある実施形態では、本方法は、第1のタイプのパラメータの値が決定されたのと同じ光学特性値から、第2のタイプのパラメータの値を決定することをさらに含む。ある実施形態では、上記第1のタイプのパラメータの値の決定は、ピクセル光学特性値に対して重みの1セットを使用する。ある実施形態では、第1のタイプのパラメータの値は、各ピクセルに対して関連付けられた重み付けによって乗算された各ピクセルの光学特性値の検出放射表現の複数のピクセルに関する総計を用いて決定される。ある実施形態では、パラメータによって測定される物理的影響に対してより大きな感度を有した、検出放射表現のピクセルからの光学特性値が、パラメータによって測定される物理的影響に対してより低い感度を有した、検出放射の他のピクセルからの光学特性値と比較して、第1のタイプのパラメータの値の決定に対してより大きな寄与を提供する。ある実施形態では、検出放射は、主に0次放射であった。ある実施形態では、検出放射表現は、瞳表現である。ある実施形態では、検出放射表現は、検出放射表現の対称光学特性分布の光学特性値を減少させる、又は排除するために、対称軸を挟んで光学特性値を減算するように処理される。ある実施形態では、光学特性は、強度及び/又は位相である。ある実施形態では、構造は、デバイス構造である。ある実施形態では、構造は、デバイス構造を含む基板ダイ内の非デバイス構造である。ある実施形態では、構造を製造するためのエッチングプロセス後に、検出放射表現が検出された。
[0388] ある実施形態では、パターニングプロセスのパラメータを決定する方法が提供され、本方法は、ユニットセルの1つ又は複数の物理的インスタンスによって再誘導された放射の検出表現を取得することであって、ユニットセルは、パラメータの公称値において幾何学的対称性を有し、及び検出放射表現は、基板上のビームスポットが、ユニットセルの1つ又は複数の物理的インスタンスで満たされるように、放射ビームで基板の照明を行うことにより取得されたものであること、及びハードウェアコンピュータシステムによって、及び検出放射表現の光学特性値から、ユニットセルの第1の部分とユニットセルの第2の部分との間に関するパラメータの値を、同じ光学特性値から同様に取得可能な、ユニットセルの第2の部分とユニットセルの第3の部分との間、又はユニットセルの第3の部分とユニットセルの第4の部分との間に関するパラメータの値とは別に決定すること、を含む。
[0389] ある実施形態では、パラメータは、オーバーレイを含む。ある実施形態では、本方法は、光学特性値から、上記又は各ユニットセルの第2の部分と第3の部分との間、又は上記又は各ユニットセルの第3の部分と第4の部分との間に関するパラメータの値を、上記又は各ユニットセルの第1の部分と第2の部分との間に関するパラメータの値とは別に決定することをさらに含む。ある実施形態では、上記パラメータ値の決定は、ピクセル光学特性値に対して重みの1セットを使用する。ある実施形態では、パラメータ値は、各ピクセルに対して関連付けられた重み付けによって乗算された各ピクセルの光学特性値の検出放射表現の複数のピクセルに関する総計を用いて決定される。ある実施形態では、パラメータによって測定される物理的影響に対してより大きな感度を有した、検出放射表現のピクセルからの光学特性値が、パラメータによって測定される物理的影響に対してより低い感度を有した、検出放射表現の他のピクセルからの光学特性値と比較して、パラメータ値の決定に対してより大きな寄与を提供する。ある実施形態では、検出放射は、主に0次放射であった。ある実施形態では、検出放射表現は、瞳表現である。ある実施形態では、検出放射表現は、検出放射表現の対称光学特性分布の光学特性値を減少させる、又は排除するために、対称軸を挟んで光学特性値を減算するように処理される。ある実施形態では、光学特性は、強度及び/又は位相である。ある実施形態では、構造は、デバイス構造である。ある実施形態では、構造は、デバイス構造を含む基板ダイ内の非デバイス構造である。ある実施形態では、構造を製造するためのエッチングプロセス後に、放射が検出される。
[0390] ある実施形態では、パラメータ決定プロセスを構成する方法が提供され、本方法は、基板上の構造の数学モデルを取得することであって、モデルは、放射ビームで構造の照明を行う際の光応答を予測するように構成され、及び構造は、公称パラメータ値において幾何学的対称性を有すること、ハードウェアコンピュータシステムによって、モデルを用いて、構造の第1のタイプのパラメータの変化をシミュレーションすることによって、複数のピクセルの各々における光応答の対応する第1の変化を決定し、及び第2のタイプのパラメータの変化をシミュレーションすることによって、複数のピクセルの各々における光応答の対応する第2の変化を決定することであって、第1のタイプのパラメータは、第2のタイプのパラメータとは異なる方向に関するもの、又は第2のタイプのパラメータとは異なる構造の部分の組み合わせ間に関するものであること、及び光応答の第1及び第2の変化に基づいて、第2のタイプのパラメータとは別に、同じ測定光学特性値から、第1のタイプのパラメータの値をもたらすように、測定ピクセル光学特性値と結合させるための複数の重みを決定すること、を含む。
[0391] ある実施形態では、パラメータは、オーバーレイを含む。ある実施形態では、第1のタイプのパラメータの複数の重みは、複数のピクセルの光応答における第2の変化の観点からの第2のタイプのパラメータの変化に対応したベクトルとの直交に対する、複数のピクセルの光応答における第1の変化の観点からの第1のタイプのパラメータの変化に対応したベクトルの後方投影の結果を用いて決定される。ある実施形態では、本方法は、光応答の第1及び第2の変化に基づいて、第1のタイプのパラメータとは別に、測定光学特性値から、第2のタイプのパラメータの値をもたらすように、測定ピクセル光学特性値と結合させるための複数の重みを決定することをさらに含む。ある実施形態では、第2のタイプのパラメータの複数の重みは、複数のピクセルの光応答における第1の変化の観点からの第1のタイプのパラメータの変化に対応したベクトルとの直交に対する、複数のピクセルの光応答における第2の変化の観点からの第2のタイプのパラメータの変化に対応したベクトルの後方投影の結果を用いて決定される。ある実施形態では、重みは、第1及び/又は第2のタイプのパラメータが、各ピクセルに対して関連付けられた重みによって乗算された各ピクセルの光学特性値の検出放射表現の複数のピクセルに関する総計を用いて決定されるように構成される。ある実施形態では、光応答は、瞳像の形態の光学特性を含む。ある実施形態では、光応答は、主に0次放射のものである。ある実施形態では、光学特性は、強度及び/又は位相である。ある実施形態では、構造は、デバイス構造である。ある実施形態では、構造は、デバイス構造を含む基板ダイ内の非デバイス構造である。
[0392] ある実施形態では、第1のパターニングプロセスによって作成されるように配置された第1の構造と、第2のパターニングプロセスによって作成されるように配置された第2の構造と、を含み、第1の構造及び/又は第2の構造は、デバイスパターンの機能面を生じさせるためには使用されず、第1及び第2の構造は、共にユニットセルの1つ又は複数のインスタンスを形成し、ユニットセルは、公称物理的構成において幾何学的対称性を有し、及びユニットセルは、第1のパターニングプロセス、第2のパターニングプロセス、及び/又は別のパターニングプロセスにおけるパターン配置の相対的シフトにより、公称物理的構成とは異なる物理的構成において、ユニットセルにおいて非対称性を生じさせるフィーチャを有する、メトロロジターゲットが提供される。
[0393] ある実施形態では、第1の構造は、第1の寸法及び/又は材料の構造を含み、及び第2の構造は、第2の寸法又は材料の構造を含み、フィーチャは、第2の寸法及び/又は材料とは異なる第1の寸法及び/又は材料を含む。ある実施形態では、第1の構造は、第1の方向にアレイに配置された構造を含み、及び少なくとも1つのそのような構造は、第1の方向に対して実質的に垂直な第2の方向に沿って配置されたボイドによって分離される複数のサブ構造を含み、及び/又は第2の構造は、第1の方向にアレイに配置された構造を含み、及び少なくとも1つのそのような構造は、第1の方向に対して実質的に垂直な第2の方向に沿って配置されたボイドによって分離される複数のサブ構造を含み、フィーチャは、第1の構造及び/又は第2の構造のボイドを含む。ある実施形態では、第1の構造及び/又は第2の構造のボイドは、第1及び第2のパターニングプロセスとは異なるパターニングプロセスを用いて製造される。ある実施形態では、第1の構造は、ボイドを含み、及び第2の構造は、ボイドを含む。ある実施形態では、第1の構造のボイドは、第2の構造のボイドとは異なるピッチを有する。ある実施形態では、第1の構造の少なくとも1つのボイドが、公称物理的構成において、第2の構造の少なくとも1つのボイドと並ぶ。ある実施形態では、第1の構造は、閉曲線構造を含み、及び第2の構造は、閉曲線構造を含む。ある実施形態では、構造は、構造が第2のアレイに配置される、又は構造が構造の第3のアレイに配置される方向に対して実質的に垂直な方向に、第1のアレイに配置される。
[0394] ある実施形態では、記録されたデータ構造を有するコンピュータ非一時的可読媒体を含むコンピュータプログラム製品が提供され、データ構造は、本明細書に記載されるようなメトロロジターゲットに対応する。ある実施形態では、本明細書に記載されるようなメトロロジターゲットに対応するパターンを含むレチクルが提供される。
[0395] ある実施形態では、メトロロジターゲットの第1の構造を作成することであって、第1の構造は、デバイスの対応するデバイスフィーチャを作成する第1のパターニングプロセスによって作成されること、メトロロジターゲットの第2の構造を作成することであって、第2の構造は、デバイスのさらなる対応するデバイスフィーチャを作成する第2のパターニングプロセスによって作成され、第1及び第2の構造は、共にユニットセルの1つ又は複数のインスタンスを形成し、ユニットセルは、公称物理的構成における幾何学的対称性を有すること、及びデバイスにおけるデバイスフィーチャの予想場所からの、デバイスにおけるデバイスフィーチャの場所の相対的シフトにより、公称物理的構成とは異なる物理的構成において、ユニットセルにおける非対称性を生じさせるメトロロジターゲットのフィーチャを導入すること、を含む方法が提供される。
[0396] ある実施形態では、第1の構造のフィーチャは、デバイスの対応するフィーチャと実質的に同じ寸法及び/又はピッチを有し、及び/又は第2の構造のフィーチャは、デバイスの対応するフィーチャと実質的に同じ寸法及び/又はピッチを有する。ある実施形態では、メトロロジターゲットのフィーチャは、第1の方向の相対的シフトに対して、ユニットセルの第1のタイプの非対称性を生じさせ、及び第2の異なる方向の相対的シフトに対して、ユニットセルの第2の異なるタイプの非対称性を生じさせる。ある実施形態では、本方法は、メトロロジターゲットの印刷適性、メトロロジターゲットの検出能、プロセス変動に対するメトロロジターゲットのロバスト性、及び/又はデバイスパターンに対するメトロロジターゲットのマッチングから選択された1つ又は複数を評価することをさらに含む。ある実施形態では、本方法は、デバイスパターンに対するメトロロジターゲットのマッチング、及びメトロロジターゲットの検出能を反復的に評価することを含む。
[0397] ある実施形態では、パターニングプロセスのパラメータの値を決定するためにパターニングプロセスを用いて基板に転写された、本明細書に記載されるようなメトロロジによって再誘導された放射を測定することを含む方法が提供される。ある実施形態では、パラメータは、オーバーレイ及び/又はエッジ配置誤差を含む。
[0398] 図32を参照し、コンピュータシステム3200が、示される。コンピュータシステム3200は、バス3202又は情報を通信するための他の通信機構と、情報を処理するためにバス3202と結合されたプロセッサ3204(又は複数のプロセッサ3204及び3205)とを包含する。コンピュータシステム3200は、ランダムアクセスメモリ(RAM)又は他の動的ストレージデバイスなどの、プロセッサ3204によって実行される情報及び命令を保存するためにバス3202に結合されたメインメモリ3206も包含する。メインメモリ3206は、プロセッサ3204によって実行される命令の実行中に、一時変数又は他の中間情報を保存するためにも使用されてもよい。コンピュータシステム3200は、リードオンリーメモリ(ROM)3208、又はプロセッサ3204のための静的情報及び命令を保存するためにバス3202に結合された他の静的ストレージデバイスをさらに包含する。情報及び命令を保存するための磁気ディスク又は光ディスクなどのストレージデバイス3210が設けられるとともに、バス3202に結合される。
[0399] コンピュータシステム3200は、バス3202を介して、情報をコンピュータユーザに表示するための、陰極線管(CRT)、フラットパネル、又はタッチパネルディスプレイなどのディスプレイ3212に結合されてもよい。英数字及び他のキーを包含する入力デバイス3214が、情報及びコマンド選択をプロセッサ3204に通信するためにバス3202に結合される。別のタイプのユーザ入力デバイスは、プロセッサ3204に方向情報及びコマンド選択を通信するため、及びディスプレイ3212上でカーソルの移動を制御するための、マウス、トラックボール、又はカーソル方向キーなどのカーソル制御部3216である。この入力デバイスは、一般的に、2つの軸(第1の軸(例えばx)及び第2の軸(例えばy))において、デバイスがある面内で位置を特定することを可能にする2つの自由度を有する。タッチパネル(スクリーン)ディスプレイが、入力デバイスとして使用されてもよい。
[0400] コンピュータシステム3200は、メインメモリ3206に含まれる1つ又は複数の命令の1つ又は複数のシーケンスを実行するプロセッサ3204に応答して、本明細書における処理装置として機能するのに適し得る。このような命令は、ストレージデバイス3210などの別のコンピュータ可読媒体からメインメモリ3206に読み込まれてもよい。メインメモリ3206に含まれる命令のシーケンスの実行は、プロセッサ3204に本明細書に記載のプロセスを行わせる。メインメモリ3206に含まれる命令のシーケンスを実行するために、多重処理構成の1つ又は複数のプロセッサが用いられてもよい。代替実施形態では、ソフトウェア命令の代わりに、又はソフトウェア命令と一緒に、ハードワイヤード回路が用いられてもよい。従って、実施形態は、ハードウェア回路及びソフトウェアの特定の組み合わせに限定されない。
[0401] 本明細書で使用される「コンピュータ可読媒体」という用語は、実行のためにプロセッサ3204に命令を提供することに関与するあらゆる媒体を指す。このような媒体は、限定されないが、不揮発性媒体、揮発性媒体、及び伝送媒体を含む、多くの形態をとり得る。不揮発性媒体は、例えば、ストレージデバイス3210などの光又は磁気ディスクを含む。揮発性媒体は、メインメモリ3206などの動的メモリを含む。伝送媒体は、同軸ケーブル、銅線及び光ファイバ(バス3202を含むワイヤを含む)を含む。伝送媒体は、無線周波数(RF)及び赤外線(IR)データ通信中に生成されるものなどの、音波又は光波の形態もとり得る。コンピュータ可読媒体の一般的形態は、例えば、フロッピーディスク、フレキシブルディスク、ハードディスク、磁気テープ、その他の磁気媒体、CD−ROM、DVD、その他の光媒体、パンチカード、紙テープ、孔のパターンを有したその他の物理媒体、RAM、PROM、及びEPROM、FLASH−EPROM、その他のメモリチップ又はカートリッジ、以下に記載されるような搬送波、又はコンピュータが読み取ることができるその他の媒体を含む。
[0402] コンピュータ可読媒体の様々な形態が、実行のためにプロセッサ3204に1つ又は複数の命令の1つ又は複数のシーケンスを搬送することに関与してもよい。例えば、命令は、最初は、リモートコンピュータの磁気ディスクにある場合がある。リモートコンピュータは、命令をそれの動的メモリにロードし、及びモデムを使用して電話回線上で命令を送ることができる。コンピュータシステム3200にローカルなモデムが、電話回線上のデータを受信し、及び赤外線送信機を用いてデータを赤外線信号に変換することができる。バス3202に結合された赤外線検出器が、赤外線信号で搬送されたデータを受信し、及びそのデータをバス3202にのせることができる。バス3202は、データをメインメモリ3206に搬送し、そこからプロセッサ3204が、命令の読み出し及び実行を行う。メインメモリ3206によって受信された命令は、任意選択的に、プロセッサ3204による実行の前又は後に、ストレージデバイス3210に保存されてもよい。
[0403] コンピュータシステム3200は、バス3202に結合された通信インターフェース3218も包含してもよい。通信インターフェース3218は、ローカルネットワーク3222に接続されたネットワークリンク3220に結合する双方向データ通信を提供する。例えば、通信インターフェース3218は、対応するタイプの電話回線にデータ通信接続を提供するデジタル総合サービス網(ISDN)カード又はモデムでもよい。別の例として、通信インターフェース3218は、互換性のあるLANへのデータ通信接続を提供するローカルエリアネットワーク(LAN)カードでもよい。ワイヤレスリンクが実施されてもよい。このような実施において、通信インターフェース3218は、様々なタイプの情報を表すデジタルデータストリームを搬送する電気、電磁、又は光信号の送信及び受信を行う。
[0404] ネットワークリンク3220は、一般的に、1つ又は複数のネットワークを通して、他のデータデバイスにデータ通信を提供する。例えば、ネットワークリンク3220は、ローカルネットワーク3222を通して、ホストコンピュータ3224又はインターネットサービスプロバイダ(ISP)3226によって操作されるデータ機器への接続を提供することができる。ISP3226は、次に、ワールドワイドパケットデータ通信ネットワーク(現在、一般に「インターネット」3228と呼ばれる)によるデータ通信サービスを提供する。ローカルネットワーク3222及びインターネット3228は共に、デジタルデータストリームを搬送する電気、電磁、又は光信号を使用する。コンピュータシステム3200に対して、及びコンピュータシステム3200からデジタルデータを搬送する、様々なネットワークを通る信号、及びネットワークリンク3220上の、及び通信インターフェース3218を通る信号は、情報を運ぶ搬送波の形態例である。
[0405] コンピュータシステム3200は、ネットワーク、ネットワークリンク3220、及び通信インターフェース3218を通して、メッセージを送信すること、及びプログラムコードを包含するデータを受信することができる。インターネット例では、サーバ3230は、インターネット3228、ISP3226、ローカルネットワーク3222、及び通信インターフェース3218を通して、アプリケーションプログラムの要求コードを送信する場合がある。1つ又は複数の実施形態によれば、そのようなダウンロードされたあるアプリケーションは、例えば本明細書に開示されるような方法を提供する。受信されたコードは、受信された際にプロセッサ3204によって実行されてもよく、及び/又は後で実行するためにストレージデバイス3210又は他の不揮発性ストレージに保存されてもよい。このようにして、コンピュータシステム3200は、搬送波の形態のアプリケーションコードを取得してもよい。
[0406] 本開示の実施形態は、本明細書に開示されるような方法を記述する機械可読命令の1つ又は複数のシーケンスを含むコンピュータプログラム、又はそのようなコンピュータプログラムを内部に保存したデータストレージ媒体(例えば、半導体メモリ、磁気又は光ディスク)の形態を取ってもよい。さらに、機械可読命令は、2つ以上のコンピュータプログラムにおいて実施されてもよい。2つ以上のコンピュータプログラムは、1つ又は複数の異なるメモリ及び/又はデータストレージ媒体に保存されてもよい。
[0407] 1つ又は複数のコンピュータプログラムが、リソグラフィ装置の少なくとも1つのコンポーネント内に位置する1つ又は複数のコンピュータプロセッサによって読み取られる際に、本明細書に記載のコントローラは、各々、又は組み合わせて動作可能であってもよい。これらのコントローラは、各々、又は組み合わせて、信号の受信、処理、及び送信を行うのに適した任意の構成を有していてもよい。1つ又は複数のプロセッサは、これらのコントローラの少なくとも1つと通信するように構成される。例えば、各コントローラは、上記の方法のための機械可読命令を包含するコンピュータプログラムを実行するための1つ又は複数のプロセッサを包含していてもよい。コントローラは、そのようなコンピュータプログラムを保存するためのデータストレージ媒体、及び/又はそのような媒体を受け入れるハードウェアを包含していてもよい。従って、コントローラは、1つ又は複数のコンピュータプログラムの機械可読命令に従って動作することができる。
[0408] 本文において、ICの製造におけるメトロロジ装置の使用に対して具体的な言及がなされる場合があるが、本明細書に記載のメトロロジ装置及びプロセスは、集積光学システム、磁気ドメインメモリ用のガイダンス及び検出パターン、フラットパネルディスプレイ、液晶ディスプレイ(LCD)、薄膜磁気ヘッドなどの製造といった他の適用例を有し得ることが理解されるものとする。当業者は、このような代替適用例の文脈において、本明細書の「ウェーハ」又は「ダイ」という用語の使用を、それぞれ、より一般的な用語である「基板」又は「ターゲット部分」と同義であると見なすことができることを認識するだろう。本明細書で言及される基板は、露光の前又は後に、例えばトラック(一般的に、レジストの層を基板に塗布し、及び露光レジストを現像するツール)、メトロロジツール、及び/又は1つ又は複数の様々な他のツールにおいて処理されてもよい。適用可能な場合、本明細書の開示は、上記及び他の基板処理ツールに適用されてもよい。さらに、基板は、例えば多層ICを作成するために、2回以上処理されてもよく、そのため、本明細書で使用される基板という用語は、複数の処理された層を既に含む基板を指す場合もある。
[0409] 上記において、光リソグラフィの文脈での本開示の実施形態の使用に対して具体的な言及がなされる場合があるが、本開示は、例えばナノインプリントリソグラフィといった他の適用例において使用されてもよく、及び状況が許せば、光リソグラフィに限定されないことが認識されるだろう。ナノインプリントリソグラフィの場合、パターニングデバイスは、インプリントテンプレート又はモールドである。
[0410] 本明細書で使用される「放射」及び「ビーム」という用語は、紫外線(UV)(例えば、約365、355、248、193、157、又は126nmの波長を有する)及び極端紫外線(EUV)(例えば、5〜20nmの範囲の波長を有する)を包含するあらゆるタイプの電磁放射、並びにイオンビーム又は電子ビームなどの粒子ビームを対象に含める。
[0411] 「レンズ」という用語は、状況が許せば、屈折、反射、磁気、電磁及び静電光学コンポーネントを包含する様々なタイプの光学コンポーネントの何れか1つ又は組み合わせを指す場合がある。
[0412] 本明細書における閾値と交差すること、又は閾値を通過することへの言及は、何かが、ある特定の値未満の、又はある特定の値以下の値を有すること、何かが、ある特定の値を上回る、又はある特定の値以上の値を有すること、何かが、例えばパラメータに基づいて何か他のものよりも高く、又は低くランク付けされること(例えば、ソーティングにより)などを包含する場合がある。
[0413] 本明細書におけるエラーを補正すること、又はエラーの補正は、エラーを排除すること、又は許容範囲内にまでエラーを減少させることを包含する。
[0414] 本明細書で使用される「最適化すること」及び「最適化」という用語は、リソグラフィ又はパターニング処理の結果及び/又はプロセスが、より望ましい特性(基板上の設計レイアウトの投影のより高い精度、より大きなプロセスウィンドウなど)を有するように、リソグラフィ装置、パターニングプロセスなどを調整することを指す、又は意味する。従って、本明細書で使用される「最適化すること」及び「最適化」という用語は、少なくとも1つの関連のメトリックにおいて、向上(例えば、局所最適)を提供する1つ又は複数の変数の1つ又は複数の値を、上記1つ又は複数の変数の1つ又は複数の値の初期セットと比較して識別するプロセスを指す、又は意味する。「最適」及び他の関連の用語は、それに応じて解釈されるものとする。ある実施形態では、最適化ステップは、1つ又は複数のメトリックにおいて、さらなる向上を提供するために反復的に適用することができる。
[0415] システムの最適化プロセスでは、システム又はプロセスの性能指数は、費用関数として表すことができる。最適化プロセスは、費用関数を最適化する(例えば、最小化又は最大化する)システム又はプロセスのパラメータ(設計変数)の1セットを求めるプロセスに帰着する。費用関数は、最適化の目標に応じた適切な形態を有することができる。例えば、費用関数は、システム又はプロセスの特定の特性(評価点)の意図された値(例えば、理想値)に対する、これらの特性の偏差の重み付けされた二乗平均平方根(RMS)でもよい。費用関数は、これらの偏差の最大値(すなわち、最悪の偏差)でもよい。本明細書における「評価点」という用語は、システム又はプロセスのあらゆる特性を包含するように広く解釈されるものとする。システムの設計変数は、システム又はプロセスの実施の実用性により、有限範囲に制限することができ、及び/又は相互依存であってもよい。リソグラフィ装置又はパターニングプロセスの場合、上記制限は、多くの場合、調節可能な範囲及び/又はパターニングデバイス製造可能性設計ルールなどのハードウェアの物理的性質及び特性に関連付けられ、及び評価点は、基板上のレジスト像上の物理的点、並びにドーズ及びフォーカスなどの非物理的特性を包含してもよい。
[0416] 本開示の具体的な実施形態を上記に記載したが、本開示は、記載された以外の方法で実施されてもよいことが認識されるだろう。例えば、本開示は、上記に開示されたような方法を記述する機械可読命令の1つ又は複数のシーケンスを含むコンピュータプログラム、又は内部にそのようなコンピュータプログラムを保存したデータストレージ媒体(例えば、半導体メモリ、磁気又は光ディスク)の形態を取ってもよい。
[0417] ブロック図では、図示されたコンポーネントが離散機能ブロックとして描かれるが、実施形態は、本明細書に記載される機能性が図示通りに編成されるシステムに限定されない。各コンポーネントによって提供される機能性は、現在描かれているものとは異なるように編成されたソフトウェア又はハードウェアモジュールによって提供されてもよく、例えば、そのようなソフトウェア又はハードウェアは、混合、結合、複製、分割、配布(例えば、データセンター内で、又は地理的に)が行われてもよく、あるいは、異なって編成されてもよい。本明細書に記載の機能性は、タンジブルで非一時的な機械可読媒体に保存されたコードを実行する1つ又は複数のコンピュータの1つ又は複数のプロセッサによって提供されてもよい。場合によっては、サードパーティコンテンツ配信ネットワークが、ネットワーク上で伝達される情報の一部又は全てをホスティングしてもよく、その場合、情報(例えばコンテンツ)が供給される、あるいは提供されると言われている範囲で、コンテンツ配信ネットワークからその情報を読み出す命令を送ることによって、情報が提供されてもよい。
[0418] 特に別段の記載のない限り、上記説明から明らかなように、本明細書全体を通して、「処理する」、「計算する」、「演算する」、「決定する」などの用語を利用した説明は、専用コンピュータ又は類似の専用電子処理/計算デバイスなどの特定の装置の動作又はプロセスを指すことが認識される。
[0419] 読み手は、本出願が幾つかの発明を記載することを認識するはずである。これらの発明を複数の分離した特許出願に分けるのではなく、出願人は、これらの発明を、それらの関連した主題が出願プロセスの経済性に適しているという理由で、単一の文書にまとめている。しかし、このような発明の異なる利点及び局面は、1つにまとめられないものとする。場合によっては、実施形態は、本明細書に記載された欠点の全てに対処するが、これらの発明は、独立して有用であり、幾つかの実施形態は、このような問題の一部にのみ対処し、又は本開示を精査する当業者には明白となる他の言及されていない利点を提供することが理解されるものとする。コストの制約により、本明細書に開示される幾つかの発明は、現在請求されていない場合があり、及び継続出願などの後の出願で、又は現在の請求項の補正により、請求される場合がある。同様に、スペースの制約により、本文書の要約書部分及び発明の概要部分のどちらも、上記発明の全て、又は上記発明の全ての局面の包括的リストを含むと見なされるべきものではない。
[0420] 本明細書及び図面は、本発明を開示された特定の形態に限定することを意図したものではなく、逆に、その意図は、添付の特許請求の範囲によって定義される本発明の精神及び範囲内に入る全ての変更形態、等価形態、及び代替形態を対象に含めることと理解されるものとする。
[0421] 本発明の様々な局面の変更形態及び代替実施形態は、本明細書に鑑みて、当業者には明らかとなるだろう。従って、本明細書及び図面は、単なる例示と見なされるべきものであり、及び本発明を実施する一般的な態様を当業者に教示する目的のものである。本明細書に図示及び記載される本発明の形態は、実施形態の例と見なされるべきであることが理解されるものとする。本発明の本明細書の恩恵を受けた後に全て当業者には明らかとなるように、要素及び材料が、本明細書に図示及び記載されたものの代わりに使用されてもよく、部品及びプロセスの反転又は削除が行われてもよく、特定のフィーチャが独立して利用されてもよく、及び複数の実施形態又は複数の実施形態のフィーチャが組み合わせられてもよい。以下の特許請求の範囲に記載されるような本発明の精神及び範囲から逸脱することなく、本明細書に記載された要素に変更が行われてもよい。本明細書に使用される見出しは、単なる構成目的のものであり、本明細書の範囲を限定するために使用されることは意図されない。
[0422] 本出願全体を通して、「してもよい(may)」という語は、義務的な意味(すなわち、「しなければならない(must)」の意味)ではなく、許容の意味(すなわち、「〜する可能性がある」の意味)で使用される。「含む(include)」、「含んでいる(including)」、及び「含む(includes)」などの語は、「限定されることなく含んでいること」を意味する。本出願全体を通して、単数形「a」、「an」、及び「the」は、内容が明らかにそうでないことを示さない限り、複数の指示対象を含む。従って、例えば、「1つの(an)」要素又は「1つの(a)」要素に対する言及は、「1つ又は複数の(one or more)」などの1つ又は複数の要素に対する他の用語及びフレーズの使用にもかかわらず、2つ以上の要素の組み合わせを含む。「又は(or)」という用語は、別段の指示のない限り、非排他的であり、すなわち、「及び(and)」と「又は(or)」の両方を包含する。例えば、「Xに応答して、Y」、「Xに際して、Y」、「もしXであれば、Y」「Xの時にY」などといった条件関係を表す用語は、先行詞が必要な因果条件であり、先行詞が十分な因果条件であり、又は先行詞が結果の一因となる因果条件である(例えば、「条件Yの獲得に際して、状態Xが生じる」は、「Yに際してのみXが生じる」及び「Xが、Y及びZに際して生じる」を包括する)因果関係を包含する。このような条件関係は、一部の結果が遅延され得ることから、先行詞の後にすぐさま続く獲得する結果に限定されず、条件文において、先行詞はそれらの結果に関係があり、例えば、先行詞は、結果が生じる可能性に関係する。複数の属性又は機能が複数の対象に対応付けられる文(例えば、1つ又は複数のプロセッサが、ステップA、B、C、及びDを行う)は、別段の指示がない限り、全てのそのような属性又は機能が、全てのそのような対象に対応付けられること、及び属性又は機能のサブセットが、属性又は機能のサブセットに対応付けられることの両方(例えば、全プロセッサのそれぞれが、ステップA〜Dを行うこと、及びプロセッサ1がステップAを行い、プロセッサ2がステップB及びステップCの一部を行い、及びプロセッサ3がステップCの一部及びステップDを行うケースの両方)を包含する。さらに別段の指示がない限り、1つの値又は動作が別の条件又は値に「基づく」文は、その条件又は値が、唯一の因子である場合、及びその条件又は値が、複数の因子の中の1つの因子である場合の両方を包含する。別段の指示のない限り、ある集団の「各々の」例が、ある特性を有するという文は、より大きな集団の他の点では同一又は類似のメンバーがその特性を持たないケースを排除すると解釈されるべきではなく、すなわち、「各々」は、必ずしも、「ありとあらゆる」を意味しない。
[0423] 特定の米国特許、米国特許出願、又は他の資料(例えば、論文)が援用された範囲で、上記米国特許、米国特許出願、及び他の資料の本文は、上記資料と、本明細書に明記された記載及び図面との間に矛盾のない範囲でのみ援用される。そのような矛盾の際には、上記援用された米国特許、米国特許出願、及び他の資料におけるそのような矛盾する本文は、厳密には本明細書に援用されない。
[0424] 上記の記載は、制限するものではなく、説明のためのものであることが意図される。従って、当業者には、以下に記載される請求項の範囲から逸脱することなく、記載された本開示に修正が行われてもよいことが明らかとなるだろう。

Claims (9)

  1. パターニングプロセスのパラメータを決定する方法であって、
    公称の物理的構成において幾何学的対称性を有する構造によって再誘導された放射の瞳像を検出することであって、前記瞳像は、前記放射の強度の観点から特定された像であり、前記強度の分布は、前記瞳像の中心又は中心軸に対して対称の分布部分と非対称の分布部分とを有し、前記非対称の分布部分は、前記構造が前記公称の物理的構成と異なる物理的構成を有することで生じること、
    前記瞳像の検出画像の各ピクセルに対して関連付けられた重み付けによって乗算された各ピクセルの前記強度の総計を用いて前記パラメータの値を決定すること、を含み、
    前記決定において、前記非対称の分布部分の各ピクセルの重み付けは、前記対称の分布部分の各ピクセルの重み付けよりも高く、
    前記パラメータが、オーバーレイであり、前記異なる物理的構成が、前記構造の少なくとも一部の、前記構造の別の部分に対するシフトである、
    方法。
  2. 前記放射は、0次放射である、請求項に記載の方法。
  3. 前記決定において、前記ピクセルの強度は、前記中心又は中心軸を挟んで位置するピクセルの強度を減算するように処理される、請求項1又は2の何れかに記載の方法。
  4. 前記構造が、デバイス構造である、請求項1〜の何れか一項に記載の方法。
  5. 前記構造が、デバイス構造を含む基板ダイ内の非デバイス構造である、請求項1〜の何れか一項に記載の方法。
  6. 前記構造はエッチングプロセスを経て形成されている、請求項1〜の何れか一項に記載の方法。
  7. 前記決定が、前記強度から、前記構造の第1のパラメータの値を、前記強度から同様に取得可能な前記構造の第2のパラメータの値とは別に決定することを含み、前記第1のパラメータが、前記第2のパラメータとは異なる方向に、又は前記第2のパラメータとは異なる前記構造の部分の組み合わせ間に存在する、請求項1〜の何れか一項に記載の方法。
  8. 請求項1〜の何れか一項に記載の検出する処理を行うように構成されたメトロロジ装置と、
    請求項1〜の何れか一項に記載の決定する処理を行なうように構成されたハードウェアプロセッサシステムと、を含むシステム。
  9. パターニングデバイスを保持するように構成されたサポート構造と、放射ビームを基板上に投影するように配置された投影光学システムと、を含むリソグラフィ装置をさらに含む、請求項に記載のシステム。
JP2018544893A 2016-03-01 2017-03-01 パターニングプロセスパラメータを決定する方法及び装置 Active JP6765435B2 (ja)

Applications Claiming Priority (13)

Application Number Priority Date Filing Date Title
US201662301880P 2016-03-01 2016-03-01
US62/301,880 2016-03-01
US201662435662P 2016-12-16 2016-12-16
US201662435670P 2016-12-16 2016-12-16
US201662435630P 2016-12-16 2016-12-16
US201662435649P 2016-12-16 2016-12-16
US62/435,662 2016-12-16
US62/435,649 2016-12-16
US62/435,670 2016-12-16
US62/435,630 2016-12-16
US201762458932P 2017-02-14 2017-02-14
US62/458,932 2017-02-14
PCT/EP2017/054714 WO2017148982A1 (en) 2016-03-01 2017-03-01 Method and apparatus to determine a patterning process parameter

Publications (2)

Publication Number Publication Date
JP2019508742A JP2019508742A (ja) 2019-03-28
JP6765435B2 true JP6765435B2 (ja) 2020-10-07

Family

ID=58192291

Family Applications (5)

Application Number Title Priority Date Filing Date
JP2018545817A Active JP6839718B2 (ja) 2016-03-01 2017-03-01 パターニングプロセスパラメータを決定するための方法及び装置
JP2018544500A Active JP6824999B2 (ja) 2016-03-01 2017-03-01 パターニングプロセスパラメータを決定する方法及び装置
JP2018544535A Active JP6707657B2 (ja) 2016-03-01 2017-03-01 パターニングプロセスパラメータを決定する方法及び装置
JP2018544893A Active JP6765435B2 (ja) 2016-03-01 2017-03-01 パターニングプロセスパラメータを決定する方法及び装置
JP2018545353A Active JP6782784B2 (ja) 2016-03-01 2017-03-01 パターニングプロセスパラメータを決定する方法及び装置

Family Applications Before (3)

Application Number Title Priority Date Filing Date
JP2018545817A Active JP6839718B2 (ja) 2016-03-01 2017-03-01 パターニングプロセスパラメータを決定するための方法及び装置
JP2018544500A Active JP6824999B2 (ja) 2016-03-01 2017-03-01 パターニングプロセスパラメータを決定する方法及び装置
JP2018544535A Active JP6707657B2 (ja) 2016-03-01 2017-03-01 パターニングプロセスパラメータを決定する方法及び装置

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2018545353A Active JP6782784B2 (ja) 2016-03-01 2017-03-01 パターニングプロセスパラメータを決定する方法及び装置

Country Status (7)

Country Link
US (12) US20170256465A1 (ja)
JP (5) JP6839718B2 (ja)
KR (5) KR102182355B1 (ja)
CN (5) CN109073997B (ja)
IL (5) IL261427B (ja)
TW (5) TWI651598B (ja)
WO (5) WO2017148996A1 (ja)

Families Citing this family (84)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2015193904A1 (en) * 2014-06-19 2015-12-23 Nova Measuring Instruments Ltd. Test structure design for metrology measurements in patterned samples
NL2017300A (en) 2015-08-27 2017-03-01 Asml Netherlands Bv Method and apparatus for measuring a parameter of a lithographic process, substrate and patterning devices for use in the method
US20170256465A1 (en) 2016-03-01 2017-09-07 Asml Netherlands B.V. Method and apparatus to determine a patterning process parameter
US10068323B2 (en) * 2016-04-10 2018-09-04 Kla-Tencor Corporation Aware system, method and computer program product for detecting overlay-related defects in multi-patterned fabricated devices
CN110582729B (zh) * 2017-05-04 2022-03-08 Asml控股股份有限公司 测量光学量测的性能的方法、衬底和设备
KR102290482B1 (ko) * 2017-06-19 2021-08-13 서스 마이크로텍 포토닉 시스템즈 인코포레이티드 광학계에서의 배율 보상 및 빔 조향 방법 및 장치
US10873404B2 (en) * 2017-07-13 2020-12-22 Benjamin J. Egg System and method for digital direction finding
WO2019015995A1 (en) * 2017-07-18 2019-01-24 Asml Netherlands B.V. METHODS AND APPARATUS FOR MEASURING A PARAMETER OF A CHARACTERISTIC MANUFACTURED ON A SEMICONDUCTOR SUBSTRATE
EP3435161A1 (en) * 2017-07-24 2019-01-30 ASML Netherlands B.V. Determining an edge roughness parameter of a periodic structure
CN110998449B (zh) * 2017-08-07 2022-03-01 Asml荷兰有限公司 计算量测
EP3444674A1 (en) 2017-08-14 2019-02-20 ASML Netherlands B.V. Method and apparatus to determine a patterning process parameter
WO2019035854A1 (en) * 2017-08-16 2019-02-21 Kla-Tencor Corporation MACHINE LEARNING IN RELATION TO METROLOGY MEASUREMENTS
EP3454124A1 (en) 2017-09-07 2019-03-13 ASML Netherlands B.V. Method to determine a patterning process parameter
EP3457212A1 (en) * 2017-09-18 2019-03-20 ASML Netherlands B.V. Method of controlling a patterning process, device manufacturing method
EP3477391A1 (en) * 2017-10-26 2019-05-01 ASML Netherlands B.V. Method of determining a value of a parameter of interest, method of cleaning a signal containing information about a parameter of interest
WO2019081211A1 (en) 2017-10-26 2019-05-02 Asml Netherlands B.V. METHOD FOR DETERMINING A VALUE OF A PARAMETER OF INTEREST, METHOD FOR CLEANING A SIGNAL CONTAINING INFORMATION REGARDING THIS PARAMETER OF INTEREST
WO2019086221A1 (en) 2017-10-31 2019-05-09 Asml Netherlands B.V. Metrology apparatus, method of measuring a structure, device manufacturing method
WO2019091678A1 (en) * 2017-11-07 2019-05-16 Asml Netherlands B.V. Metrology apparatus and a method of determining a characteristic of interest
EP3489756A1 (en) 2017-11-23 2019-05-29 ASML Netherlands B.V. Method and apparatus to determine a patterning process parameter
US10962888B2 (en) 2017-11-29 2021-03-30 Taiwan Semiconductor Manufacturing Co., Ltd Structures for acoustic wave overlay error determination using periodic structures
EP3492985A1 (en) 2017-12-04 2019-06-05 ASML Netherlands B.V. Method of determining information about a patterning process, method of reducing error in measurement data, method of calibrating a metrology process, method of selecting metrology targets
US11085754B2 (en) * 2017-12-12 2021-08-10 Kla Corporation Enhancing metrology target information content
CN111512236B (zh) * 2017-12-22 2023-01-24 Asml荷兰有限公司 涉及光学像差的图案化过程改进
CN111512238B (zh) 2017-12-28 2024-01-30 Asml荷兰有限公司 从设备部件中移除污染物颗粒的设备和方法
WO2019129485A1 (en) 2017-12-29 2019-07-04 Asml Netherlands B.V. Method and device for determining adjustments to sensitivity parameters
WO2019129468A1 (en) 2017-12-29 2019-07-04 Asml Netherlands B.V. Method of processing data, method of obtaining calibration data
EP3518040A1 (en) 2018-01-30 2019-07-31 ASML Netherlands B.V. A measurement apparatus and a method for determining a substrate grid
EP3521929A1 (en) * 2018-02-02 2019-08-07 ASML Netherlands B.V. Method of determining an optimal focus height for a metrology apparatus
EP3521930A1 (en) * 2018-02-02 2019-08-07 ASML Netherlands B.V. Method of optimizing a metrology process
WO2019179747A1 (en) * 2018-03-19 2019-09-26 Asml Netherlands B.V. Method for determining curvilinear patterns for patterning device
IL277294B2 (en) * 2018-03-19 2024-05-01 Kla Corp Spread measurement using multiple wavelengths
JP6964031B2 (ja) * 2018-03-27 2021-11-10 Tasmit株式会社 パターンエッジ検出方法
EP3557327A1 (en) 2018-04-18 2019-10-23 ASML Netherlands B.V. Method of determining a value of a parameter of interest of a target formed by a patterning process
CN108829079B (zh) * 2018-04-26 2020-09-01 安徽江淮汽车集团股份有限公司 一种tcu自动刷写***及方法
US10866508B2 (en) * 2018-05-18 2020-12-15 Taiwan Semiconductor Manufacturing Company Ltd. Method for manufacturing photomask and semiconductor manufacturing method thereof
DE102018207880A1 (de) * 2018-05-18 2019-11-21 Carl Zeiss Smt Gmbh Verfahren und Vorrichtung zum Bewerten einer unbekannten Auswirkung von Defekten eines Elements eines Photolithographieprozesses
US10579764B2 (en) 2018-06-06 2020-03-03 International Business Machines Corporation Co-modeling post-lithography critical dimensions and post-etch critical dimensions with multi-task neural networks
US10359706B1 (en) * 2018-06-11 2019-07-23 Kla-Tencor Corporation Integrated scanning electron microscopy and optical analysis techniques for advanced process control
KR20210013605A (ko) * 2018-06-19 2021-02-04 에이에스엠엘 네델란즈 비.브이. 제조 장치 및 연계된 장치를 제어하는 방법
WO2020004544A1 (ja) * 2018-06-29 2020-01-02 株式会社荏原製作所 バンプ高さ測定装置、基板処理装置、バンプ高さ測定方法、記憶媒体
WO2020011648A1 (en) 2018-07-13 2020-01-16 Asml Netherlands B.V. Pattern grouping method based on machine learning
KR20230155604A (ko) * 2018-08-28 2023-11-10 에이에스엠엘 네델란즈 비.브이. 최적의 계측 안내 시스템들 및 방법들
US20220042935A1 (en) * 2018-09-13 2022-02-10 Asml Netherlands B.V. Method and apparatus for monitoring beam profile and power
EP3640735A1 (en) 2018-10-18 2020-04-22 ASML Netherlands B.V. Methods and apparatus for inspection of a structure and associated apparatuses
EP3647871A1 (en) 2018-10-31 2020-05-06 ASML Netherlands B.V. Method of determing a value of a parameter of interest of a patterning process, device manufacturing method
EP3650940A1 (en) * 2018-11-09 2020-05-13 ASML Netherlands B.V. A method in the manufacturing process of a device, a non-transitory computer-readable medium and a system configured to perform the method
EP3654103A1 (en) * 2018-11-14 2020-05-20 ASML Netherlands B.V. Method for obtaining training data for training a model of a semicondcutor manufacturing process
WO2020106335A1 (en) * 2018-11-21 2020-05-28 Kla-Tencor Corporation Single cell grey scatterometry overlay targets and their measurement using varying illumination parameter(s)
JP7431824B2 (ja) 2018-11-21 2024-02-15 ケーエルエー コーポレイション スキャトロメトリオーバーレイ(scol)測定方法及びscol測定システム
EP3657257A1 (en) * 2018-11-26 2020-05-27 ASML Netherlands B.V. Method for of measuring a focus parameter relating to a structure formed using a lithographic process
KR102649158B1 (ko) * 2018-12-03 2024-03-20 에이에스엠엘 네델란즈 비.브이. 반도체 제조 공정의 수율을 예측하는 방법
EP3671347A1 (en) * 2018-12-19 2020-06-24 ASML Netherlands B.V. Method for controling a manufacturing process and associated apparatuses
CN113227907A (zh) * 2018-12-28 2021-08-06 Asml荷兰有限公司 基于来自经印刷的衬底的测量反馈确定图案分级
WO2020141071A1 (en) * 2018-12-31 2020-07-09 Asml Netherlands B.V. Method for calibrating a scanning charged particle microscope
EP3906442A1 (en) 2018-12-31 2021-11-10 ASML Netherlands B.V. Determining subset of components of an optical characteristic of patterning apparatus
US12013647B2 (en) 2018-12-31 2024-06-18 Asml Netherlands B.V. Metrology method
CN111611764B (zh) * 2019-02-22 2024-02-27 深圳晶源信息技术有限公司 一种光瞳评价方法及其***、电子装置
KR102199314B1 (ko) * 2019-03-07 2021-01-06 (주) 인텍플러스 디스플레이 패널 검사장치
EP3994523A1 (en) * 2019-07-02 2022-05-11 ASML Netherlands B.V. Metrology method and associated metrology and lithographic apparatuses
CN110398768B (zh) * 2019-07-15 2020-11-17 华中科技大学 一种基于像素电离室的束斑动态监测方法及***
US11308606B2 (en) * 2019-08-16 2022-04-19 Kla Corporation Design-assisted inspection for DRAM and 3D NAND devices
US11003164B2 (en) * 2019-08-30 2021-05-11 Micron Technology, Inc. Methods for aligning a physical layer to a pattern formed via multi-patterning, and associated systems
JP7310466B2 (ja) * 2019-09-10 2023-07-19 株式会社ニューフレアテクノロジー マルチ荷電粒子ビーム評価方法
EP4026164A4 (en) * 2019-09-16 2023-08-16 KLA Corporation SYSTEM AND METHOD FOR PERIODIC SEMICONDUCTOR DEVICES FRAMING FAILURE METROLOGY
JP7267882B2 (ja) * 2019-09-17 2023-05-02 キオクシア株式会社 基板、パターン、及び計測装置の較正方法
FI20195790A1 (en) * 2019-09-20 2021-03-21 Maillefer Extrusion Oy QUALITY FORECAST BASED ON MACHINE LEARNING OF MANUFACTURED FIBER OPTIC CABLE
WO2021083704A1 (en) * 2019-11-01 2021-05-06 Asml Netherlands B.V. Metrology method and lithographic apparatuses
US11360398B2 (en) * 2019-11-14 2022-06-14 Kla Corporation System and method for tilt calculation based on overlay metrology measurements
US11152270B2 (en) 2019-12-01 2021-10-19 Winbond Electronics Corp. Monitoring structure for critical dimension of lithography process
IL279727A (en) 2019-12-24 2021-06-30 Asml Netherlands Bv Method of determining information about pattern procedure, method of error reduction in measurement data, metrology process calibration method, method of selecting metrology targets
US11475303B2 (en) * 2020-04-14 2022-10-18 Microsoft Technology Licensing, Llc Spread neural networks
CN111538213B (zh) * 2020-04-27 2021-04-27 湖南大学 一种基于神经网络的电子束邻近效应矫正方法
US11436579B2 (en) 2020-05-04 2022-09-06 Bank Of America Corporation Performing enhanced deposit item processing using cognitive automation tools
CN111697088B (zh) * 2020-05-19 2022-03-01 苏州大学 一种图案化硅结构的制备方法及硅基光伏电池
WO2021260765A1 (ja) * 2020-06-22 2021-12-30 株式会社日立ハイテク 寸法計測装置、半導体製造装置及び半導体装置製造システム
US11967058B2 (en) * 2020-06-24 2024-04-23 Kla Corporation Semiconductor overlay measurements using machine learning
US11164307B1 (en) * 2020-07-21 2021-11-02 Kla Corporation Misregistration metrology by using fringe Moiré and optical Moiré effects
CN112132732B (zh) * 2020-09-10 2023-06-02 宁波大学科学技术学院 立体图像的像素预测方法、可逆信息隐藏提取方法、终端及存储介质
CN114911139A (zh) * 2021-02-09 2022-08-16 普思半导体股份有限公司 微影图案叠对校正方法及***与光罩图案产生方法
US20240184221A1 (en) * 2021-03-10 2024-06-06 Asml Netherlands B.V. Alignment method and associated alignment and lithographic apparatuses
IL308126A (en) 2021-05-06 2023-12-01 Asml Netherlands Bv A method for determining a stochastic index related to a lithographic process
EP4086703A1 (en) 2021-05-06 2022-11-09 ASML Netherlands B.V. Method for determining a stochastic metric relating to a lithographic process
WO2023025506A1 (en) * 2021-08-26 2023-03-02 Asml Netherlands B.V. Method for determing a measurement recipe and associated apparatuses
US20230175835A1 (en) * 2021-12-02 2023-06-08 Micron Technology, Inc. Apparatuses and methods for diffraction base overlay measurements

Family Cites Families (90)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5617340A (en) * 1994-04-28 1997-04-01 The United States Of America As Represented By The Secretary Of Commerce Method and reference standards for measuring overlay in multilayer structures, and for calibrating imaging equipment as used in semiconductor manufacturing
US5699282A (en) 1994-04-28 1997-12-16 The United States Of America As Represented By The Secretary Of Commerce Methods and test structures for measuring overlay in multilayer devices
DE69531854T2 (de) * 1994-08-02 2004-08-19 Koninklijke Philips Electronics N.V. Verfahren zur wiederholten abbildung eines maskenmusters auf einem substrat
US5701013A (en) * 1996-06-07 1997-12-23 Mosel Viltelic, Inc. Wafer metrology pattern integrating both overlay and critical dimension features for SEM or AFM measurements
US6622059B1 (en) * 2000-04-13 2003-09-16 Advanced Micro Devices, Inc. Automated process monitoring and analysis system for semiconductor processing
US7541201B2 (en) * 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US7317531B2 (en) * 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
EP1314198B1 (en) * 2000-08-30 2017-03-08 KLA-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
DE10043315C1 (de) * 2000-09-02 2002-06-20 Zeiss Carl Projektionsbelichtungsanlage
US6555274B1 (en) 2001-02-01 2003-04-29 Jongwook Kye Pupil filtering for a lithographic tool
US20030002043A1 (en) 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
TW569368B (en) 2001-11-14 2004-01-01 Tokyo Electron Ltd Substrate inspecting apparatus, coating and developing apparatus, and substrate inspecting method
US6673638B1 (en) * 2001-11-14 2004-01-06 Kla-Tencor Corporation Method and apparatus for the production of process sensitive lithographic features
US6571485B1 (en) 2001-11-30 2003-06-03 United Microelectronics Corp. Structure of an overlay mark and its dosimetry application
DE10224164B4 (de) 2002-05-31 2007-05-10 Advanced Micro Devices, Inc., Sunnyvale Eine zweidimensionale Struktur zum Bestimmen einer Überlagerungsgenauigkeit mittels Streuungsmessung
US7440105B2 (en) * 2002-12-05 2008-10-21 Kla-Tencor Technologies Corporation Continuously varying offset mark and methods of determining overlay
US7030966B2 (en) 2003-02-11 2006-04-18 Asml Netherlands B.V. Lithographic apparatus and method for optimizing an illumination source using photolithographic simulations
US20070069398A1 (en) 2003-04-08 2007-03-29 Smith Nigel P Overlay metrology mark
US7425396B2 (en) * 2003-09-30 2008-09-16 Infineon Technologies Ag Method for reducing an overlay error and measurement mark for carrying out the same
US7180593B2 (en) * 2003-11-05 2007-02-20 Macronix International Co., Ltd. Overlay mark for aligning different layers on a semiconductor wafer
WO2005069079A1 (de) * 2004-01-16 2005-07-28 Carl Zeiss Smt Ag Vorrichtung und verfahren zur wellenfrontvermessung eines optischen abbildungssystems und mikrolithographie-projektionsbelichtungsanlage
JP4734261B2 (ja) * 2004-02-18 2011-07-27 ケーエルエー−テンカー コーポレイション 連続変化するオフセットマークと、オーバレイ決定方法
US20050185174A1 (en) 2004-02-23 2005-08-25 Asml Netherlands B.V. Method to determine the value of process parameters based on scatterometry data
US7313769B1 (en) * 2004-03-01 2007-12-25 Advanced Micro Devices, Inc. Optimizing an integrated circuit layout by taking into consideration layout interactions as well as extra manufacturability margin
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7534552B2 (en) 2004-12-23 2009-05-19 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7403265B2 (en) 2005-03-30 2008-07-22 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing data filtering
US8009209B2 (en) 2005-09-30 2011-08-30 Simon Fraser University Methods and apparatus for detecting defects in imaging arrays by image analysis
US7525642B2 (en) 2006-02-23 2009-04-28 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7532305B2 (en) 2006-03-28 2009-05-12 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using overlay measurement
US7391513B2 (en) 2006-03-29 2008-06-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using overlay measurement quality indication
JP2007324371A (ja) 2006-06-01 2007-12-13 Ebara Corp オーバーレイ検査用オーバーレイマーク及びレンズ収差調査用マーク
CA2659311C (en) 2006-08-18 2012-01-10 Nippon Telegraph And Telephone Corporation Optical switch, optical switch control method, and communication system
US7913196B2 (en) * 2007-05-23 2011-03-22 United Microelectronics Corp. Method of verifying a layout pattern
US7911612B2 (en) 2007-06-13 2011-03-22 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7940386B1 (en) 2007-07-13 2011-05-10 Kla-Tencor Corporation Scatterometry target employing non-periodic defect features to enhance or optimize target sensitivity to a parameter of interest
US7460237B1 (en) 2007-08-02 2008-12-02 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
DE102007046850B4 (de) 2007-09-29 2014-05-22 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zum Bestimmen einer Überlagerungsgenauigkeit
NL1036032A1 (nl) * 2007-10-10 2009-04-15 Asml Netherlands Bv Overlay measurement on double patterning substrate.
NL1036123A1 (nl) 2007-11-13 2009-05-14 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
DK2087116T3 (da) 2007-12-17 2011-02-14 Suntory Holdings Ltd Mutant ILV5-gen og anvendelse deraf
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036459A1 (nl) 2008-02-13 2009-08-14 Asml Netherlands Bv Method and apparatus for angular-resolved spectroscopic lithography characterization.
NL1036597A1 (nl) 2008-02-29 2009-09-01 Asml Netherlands Bv Metrology method and apparatus, lithographic apparatus, and device manufacturing method.
DE102008042356A1 (de) 2008-09-25 2010-04-08 Carl Zeiss Smt Ag Projektionsbelichtungsanlage mit optimierter Justagemöglichkeit
NL2003492A (en) 2008-09-30 2010-03-31 Asml Netherlands Bv Method and system for determining a lithographic process parameter.
NL2003654A (en) 2008-11-06 2010-05-10 Brion Tech Inc Methods and system for lithography calibration.
NL2004094A (en) 2009-02-11 2010-08-12 Asml Netherlands Bv Inspection apparatus, lithographic apparatus, lithographic processing cell and inspection method.
CN102422226B (zh) 2009-05-11 2014-04-09 Asml荷兰有限公司 确定重叠误差的方法
US8356261B1 (en) 2009-07-02 2013-01-15 Asml Netherlands B.V. Determining the gradient and hessian of the image log slope for design rule optimization for accelerating source mask optimization (SMO)
KR101429629B1 (ko) 2009-07-31 2014-08-12 에이에스엠엘 네델란즈 비.브이. 계측 방법 및 장치, 리소그래피 시스템, 및 리소그래피 처리 셀
US8189202B2 (en) 2009-08-04 2012-05-29 Zygo Corporation Interferometer for determining overlay errors
JP2013502592A (ja) 2009-08-24 2013-01-24 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジ方法および装置、リソグラフィ装置、リソグラフィプロセシングセル、およびメトロロジターゲットを備える基板
NL2006700A (en) 2010-06-04 2011-12-06 Asml Netherlands Bv Method and apparatus for measuring a structure on a substrate, computer program products for implementing such methods & apparatus.
KR101793538B1 (ko) 2010-07-19 2017-11-03 에이에스엠엘 네델란즈 비.브이. 오버레이 오차를 결정하는 장치 및 방법
CN103201682B (zh) * 2010-11-12 2015-06-17 Asml荷兰有限公司 量测方法和设备、光刻***和器件制造方法
NL2007425A (en) 2010-11-12 2012-05-15 Asml Netherlands Bv Metrology method and apparatus, and device manufacturing method.
US9588439B1 (en) 2010-12-21 2017-03-07 Asml Netherlands B.V. Information matrix creation and calibration test pattern selection based on computational lithography model parameters
IL217843A (en) * 2011-02-11 2016-11-30 Asml Netherlands Bv A system and method for testing, a lithographic system, a cell for lithographic processing, and a method for producing a device
US8539394B2 (en) 2011-03-02 2013-09-17 Carl Zeiss Sms Ltd. Method and apparatus for minimizing overlay errors in lithography
NL2008957A (en) 2011-07-08 2013-01-09 Asml Netherlands Bv Methods and systems for pattern design with tailored response to wavefront aberration.
NL2009004A (en) 2011-07-20 2013-01-22 Asml Netherlands Bv Inspection method and apparatus, and lithographic apparatus.
KR101765814B1 (ko) 2011-11-30 2017-08-08 에이에스엠엘 네델란즈 비.브이. 검사 방법 및 장치, 및 대응하는 리소그래피 장치
US10107621B2 (en) 2012-02-15 2018-10-23 Nanometrics Incorporated Image based overlay measurement with finite gratings
JP5816772B2 (ja) * 2012-05-29 2015-11-18 エーエスエムエル ネザーランズ ビー.ブイ. オーバレイの補正に対するアライメントマークの有用性を決定するための方法、および、リソグラフィ装置とオーバレイ測定システムとの組み合わせ
CN103472004B (zh) * 2012-06-08 2016-04-20 上海微电子装备有限公司 一种光刻工艺参数测量装置及方法
WO2014005828A1 (en) * 2012-07-05 2014-01-09 Asml Netherlands B.V. Metrology for lithography
US10013518B2 (en) 2012-07-10 2018-07-03 Kla-Tencor Corporation Model building and analysis engine for combined X-ray and optical metrology
WO2014062972A1 (en) 2012-10-18 2014-04-24 Kla-Tencor Corporation Symmetric target design in scatterometry overlay metrology
WO2014194095A1 (en) 2013-05-30 2014-12-04 Kla-Tencor Corporation Combined imaging and scatterometry metrology
JP6275834B2 (ja) * 2013-07-03 2018-02-07 エーエスエムエル ネザーランズ ビー.ブイ. 検査装置及び方法、リソグラフィ装置、リソグラフィ処理セル並びにデバイス製造方法
WO2015009619A1 (en) 2013-07-15 2015-01-22 Kla-Tencor Corporation Producing resist layers using fine segmentation
CN105452962B (zh) 2013-08-07 2018-02-09 Asml荷兰有限公司 量测方法和设备、光刻***和器件制造方法
WO2015080858A1 (en) * 2013-12-01 2015-06-04 Kla-Tencor Corporation Target element types for process parameter metrology
WO2015082158A1 (en) 2013-12-05 2015-06-11 Asml Netherlands B.V. Method and apparatus for measuring a structure on a substrate, models for error correction, computer program products for implementing such methods & apparatus
WO2015090838A1 (en) 2013-12-19 2015-06-25 Asml Netherlands B.V. Inspection methods, substrates having metrology targets, lithographic system and device manufacturing method
KR102246286B1 (ko) 2013-12-30 2021-04-30 에이에스엠엘 네델란즈 비.브이. 메트롤로지 타겟의 디자인을 위한 방법 및 장치
KR101860038B1 (ko) * 2013-12-30 2018-05-21 에이에스엠엘 네델란즈 비.브이. 메트롤로지 타겟의 디자인을 위한 방법 및 장치
JP2015170764A (ja) 2014-03-07 2015-09-28 株式会社東芝 収差量算出方法および位置ずれ量算出方法
EP2927747A3 (en) 2014-03-31 2016-03-09 IMEC vzw Quality assessment of directed self-assembling method
CN106462076B (zh) 2014-06-02 2018-06-22 Asml荷兰有限公司 设计度量目标的方法、具有度量目标的衬底、测量重叠的方法、以及器件制造方法
WO2015193904A1 (en) * 2014-06-19 2015-12-23 Nova Measuring Instruments Ltd. Test structure design for metrology measurements in patterned samples
CN105278253B (zh) * 2014-07-11 2018-01-19 上海微电子装备(集团)股份有限公司 套刻误差测量装置及方法
CN106575630B (zh) * 2014-07-13 2021-05-25 科磊股份有限公司 使用叠加及成品率关键图案的度量
US10359369B2 (en) 2014-08-07 2019-07-23 Nova Measuring Instruments Ltd. Metrology test structure design and measurement scheme for measuring in patterned structures
WO2016092506A1 (en) 2014-12-10 2016-06-16 Nova Measuring Instruments Ltd. Test structure for use in metrology measurements of patterns
WO2016123552A1 (en) * 2015-01-30 2016-08-04 Kla-Tencor Corporation Device metrology targets and methods
CN107430349B (zh) * 2015-03-05 2020-03-10 Asml荷兰有限公司 用于检查及量测的方法和设备
CN105511235B (zh) 2016-02-15 2017-08-08 京东方科技集团股份有限公司 套刻键标、形成套刻键标的方法和测量套刻精度的方法
US20170256465A1 (en) * 2016-03-01 2017-09-07 Asml Netherlands B.V. Method and apparatus to determine a patterning process parameter

Also Published As

Publication number Publication date
US20170255112A1 (en) 2017-09-07
KR20180116383A (ko) 2018-10-24
KR102184584B1 (ko) 2020-12-02
US20170256465A1 (en) 2017-09-07
US10615084B2 (en) 2020-04-07
IL261427B (en) 2022-07-01
US20210335678A1 (en) 2021-10-28
TWI707198B (zh) 2020-10-11
KR102151012B1 (ko) 2020-09-03
KR20180118707A (ko) 2018-10-31
TW201743141A (zh) 2017-12-16
TW201734632A (zh) 2017-10-01
CN109073995A (zh) 2018-12-21
CN109073997B (zh) 2021-12-07
IL261335A (en) 2018-10-31
CN109073995B (zh) 2021-03-09
TW201741779A (zh) 2017-12-01
TWI727003B (zh) 2021-05-11
CN109073999B (zh) 2021-08-24
IL261393B (en) 2022-05-01
US11728224B2 (en) 2023-08-15
US20170255736A1 (en) 2017-09-07
KR102182415B1 (ko) 2020-11-25
US20200185281A1 (en) 2020-06-11
JP6707657B2 (ja) 2020-06-10
JP2019508741A (ja) 2019-03-28
CN109073996A (zh) 2018-12-21
US11101184B2 (en) 2021-08-24
WO2017148986A1 (en) 2017-09-08
US20200126872A1 (en) 2020-04-23
IL261376A (en) 2018-10-31
TW201743143A (zh) 2017-12-16
US11784098B2 (en) 2023-10-10
KR102182355B1 (ko) 2020-11-25
US20200013685A1 (en) 2020-01-09
US20170255737A1 (en) 2017-09-07
CN109073998B (zh) 2021-10-26
US10546790B2 (en) 2020-01-28
KR20180116384A (ko) 2018-10-24
CN109073996B (zh) 2020-12-01
JP2019508745A (ja) 2019-03-28
JP2019508742A (ja) 2019-03-28
JP2019512110A (ja) 2019-05-09
IL261427A (en) 2018-10-31
WO2017148996A1 (en) 2017-09-08
JP2019508744A (ja) 2019-03-28
IL261426A (en) 2018-10-31
IL261376B (en) 2021-08-31
TWI651598B (zh) 2019-02-21
CN109073998A (zh) 2018-12-21
US20210035871A1 (en) 2021-02-04
JP6839718B2 (ja) 2021-03-10
US20240014078A1 (en) 2024-01-11
US11101185B2 (en) 2021-08-24
US11710668B2 (en) 2023-07-25
WO2017149003A1 (en) 2017-09-08
IL261393A (en) 2018-10-31
US10453758B2 (en) 2019-10-22
US20210384086A1 (en) 2021-12-09
CN109073999A (zh) 2018-12-21
TWI659277B (zh) 2019-05-11
KR20180118708A (ko) 2018-10-31
TWI662381B (zh) 2019-06-11
IL261335B (en) 2021-05-31
US10811323B2 (en) 2020-10-20
CN109073997A (zh) 2018-12-21
WO2017148982A1 (en) 2017-09-08
TW201743142A (zh) 2017-12-16
KR20180116388A (ko) 2018-10-24
US20170255738A1 (en) 2017-09-07
JP6782784B2 (ja) 2020-11-11
IL261426B (en) 2022-04-01
KR102184641B1 (ko) 2020-12-01
US11145557B2 (en) 2021-10-12
JP6824999B2 (ja) 2021-02-03
WO2017149009A1 (en) 2017-09-08

Similar Documents

Publication Publication Date Title
JP6765435B2 (ja) パターニングプロセスパラメータを決定する方法及び装置
TWI700547B (zh) 用於計算度量衡之方法及電腦程式產品
JP7191954B2 (ja) パターニングプロセスパラメータを決定する方法および装置
JP6931119B2 (ja) パターニングプロセスパラメータを決定する方法
JP6999797B2 (ja) パターニングプロセスパラメータを決定するための方法及びメトロロジ装置
JP2021505973A (ja) パターニングプロセスについての情報を決定する方法、測定データにおける誤差を低減する方法、メトロロジプロセスを較正する方法、メトロロジターゲットを選択する方法
JP2021513098A (ja) メトロロジプロセスを最適化する方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20181023

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20190917

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20191010

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200108

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200306

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200529

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20200909

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20200915

R150 Certificate of patent or registration of utility model

Ref document number: 6765435

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250